Sample records for n-type si nanowires

  1. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  2. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  3. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  4. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  5. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  6. The kinetic friction of ZnO nanowires on amorphous SiO2 and SiN substrates

    NASA Astrophysics Data System (ADS)

    Roy, Aditi; Xie, Hongtao; Wang, Shiliang; Huang, Han

    2016-12-01

    ZnO nanowires were bent on amorphous SiO2 and SiN substrates in an ambient atmosphere using optical nanomanipulation. The kinetic friction between the nanowires and substrate was determined from the bent shape of the nanowires. The kinetic friction force per unit area, i.e. frictional shear stress, for the ZnO/SiO2 and ZnO/SiN nanowire/substrate systems being measured were 1.05 ± 0.28 and 2.08 ± 0.33 MPa, respectively. The surface roughness and the Hamaker constant of SiO2 and SiN substrates had significant effect on the frictional stresses.

  7. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  8. Nonpolar p-GaN/n-Si heterojunction diode characteristics: a comparison between ensemble and single nanowire devices

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, Sandip; Tyagi, A. K.

    2015-10-01

    The electrical and photodiode characteristics of ensemble and single p-GaN nanowire and n-Si heterojunction devices were studied. Ideality factor of the single nanowire p-GaN/n-Si device was found to be about three times lower compared to that of the ensemble nanowire device. Apart from the deep-level traps in p-GaN nanowires, defect states due to inhomogeneity in Mg dopants in the ensemble nanowire device are attributed to the origin of the high ideality factor. Photovoltaic mode of the ensemble nanowire device showed an improvement in the fill-factors up to 60% over the single nanowire device with fill-factors up to 30%. Responsivity of the single nanowire device in the photoconducting mode was found to be enhanced by five orders, at 470 nm. The enhanced photoresponse of the single nanowire device also confirms the photoconduction due to defect states in p-GaN nanowires.

  9. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    PubMed

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  10. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  11. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  12. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  13. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    PubMed

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  14. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  15. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  16. Understanding the role of Si doping on surface charge and optical properties: Photoluminescence study of intrinsic and Si-doped InN nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, S.; Mi, Z.; Kibria, M. G.; Li, Q.; Wang, G. T.

    2012-06-01

    In the present work, the photoluminescence (PL) characteristics of intrinsic and Si-doped InN nanowires are studied in detail. For intrinsic InN nanowires, the emission is due to band-to-band carrier recombination with the peak energy at ˜0.64 eV (at 300 K) and may involve free-exciton emission at low temperatures. The PL spectra exhibit a strong dependence on optical excitation power and temperature, which can be well characterized by the presence of very low residual electron density and the absence or a negligible level of surface electron accumulation. In comparison, the emission of Si-doped InN nanowires is characterized by the presence of two distinct peaks located at ˜0.65 and ˜0.73-0.75 eV (at 300 K). Detailed studies further suggest that these low-energy and high-energy peaks can be ascribed to band-to-band carrier recombination in the relatively low-doped nanowire bulk region and Mahan exciton emission in the high-doped nanowire near-surface region, respectively; this is a natural consequence of dopant surface segregation. The resulting surface electron accumulation and Fermi-level pinning, due to the enhanced surface doping, are confirmed by angle-resolved x-ray photoelectron spectroscopy measurements on Si-doped InN nanowires, which is in direct contrast to the absence or a negligible level of surface electron accumulation in intrinsic InN nanowires. This work elucidates the role of charge-carrier concentration and distribution on the optical properties of InN nanowires.

  17. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  18. Effect of indium on photovoltaic property of n-ZnO/p-Si heterojunction device prepared using solution-synthesized ZnO nanowire film

    NASA Astrophysics Data System (ADS)

    Kathalingam, Adaikalam; Kim, Hyun-Seok; Park, Hyung-Moo; Valanarasu, Santiyagu; Mahalingam, Thaiyan

    2015-01-01

    Preparation of n-ZnO/p-Si heterostructures using solution-synthesized ZnO nanowire films and their photovoltaic characterization is reported. The solution-grown ZnO nanowire film is characterized using scanning electron microscope, electron dispersive x-ray, and optical absorption studies. Electrical and photovoltaic properties of the fabricated heterostructures are studied using e-beam-evaporated aluminum as metal contacts. In order to use transparent contact and to simultaneously collect the photogenerated carriers, sandwich-type solar cells were fabricated using ZnO nanorod films grown on p-silicon and indium tin oxide (ITO) coated glass as ITO/n-ZnO NR/p-Si. The electrical properties of these structures are analyzed from current-voltage (I-V) characteristics. ZnO nanowire film thickness-dependent photovoltaic properties are also studied. Indium metal was also deposited over the ZnO nanowires and its effects on the photovoltaic response of the devices were studied. The results demonstrated that all the samples exhibit a strong rectifying behavior indicating the diode nature of the devices. The sandwich-type ITO/n-ZnO NR/p-Si solar cells exhibit improved photovoltaic performance over the Al-metal-coated n-ZnO/p-Si structures. The indium deposition is found to show enhancement in photovoltaic behavior with a maximum open-circuit voltage (Voc) of 0.3 V and short-circuit current (Isc) of 70×10-6 A under ultraviolet light excitation.

  19. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  20. Ultralow power complementary inverter circuits using axially doped p- and n-channel Si nanowire field effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2016-06-09

    We have successfully synthesized axially doped p- and n-type regions on a single Si nanowire (NW). Diodes and complementary metal-oxide-semiconductor (CMOS) inverter devices using single axial p- and n-channel Si NW field-effect transistors (FETs) were fabricated. We show that the threshold voltages of both p- and n-channel Si NW FETs can be lowered to nearly zero by effectively controlling the doping concentration. Because of the high performance of the p- and n-type Si NW channel FETs, especially with regard to the low threshold voltage, the fabricated NW CMOS inverters have a low operating voltage (<3 V) while maintaining a high voltage gain (∼6) and ultralow static power dissipation (≤0.3 pW) at an input voltage of ±3 V. This result offers a viable way for the fabrication of a high-performance high-density logic circuit using a low-temperature fabrication process, which makes it suitable for flexible electronics.

  1. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  2. Cathodoluminescence study of one-dimensional free-standing widegap-semiconductor nanostructures: GaN nanotubes, Si3N4 nanobelts and ZnS/Si nanowires.

    PubMed

    Sekiguchi, Takashi; Hu, Junqing; Bando, Yoshio

    2004-01-01

    Luminescence properties of one-dimensional free-standing widegap-semiconductor nanostructures were characterized by means of cathodoluminescence (CL). GaN nanopipes, alpha-Si3N4 nanobelts and ZnS/Si nanowires were fabricated by a catalyst-free method, namely grown in an induction furnace from powders. After the observation of morphology by scanning electron microscopy as well as the confirmation of their crystal structures by transmission electron microscopy, their CL spectra and images were observed. The CL spectra mapping as well as the monochromatic CL imaging revealed the variation of the luminescence spectra of different nanowires as well as that along a single wire. These results revealed the optical features of nanostructures.

  3. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  4. Shape Evolution of Highly Lattice-Mismatched InN/InGaN Nanowire Heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Lifan; Hazari, Arnab; Bhattacharya, Pallab; Millunchick, Joanna M.

    2018-02-01

    We have investigated the structure and shape of GaN-based nanowires grown on (001) Si substrates for optoelectronic device applications. The nanowire heterostructures contained InN disks and In0.4Ga0.6N barrier layers in the active region. The resulting nanowire array comprised two differently shaped nanowires: shorter pencil-like nanowires and longer bead-like nanowires. The two different nanowire shapes evolve due to a variation in the In incorporation rate, which was faster for the bead-like nanowires. Both types of nanowires exhibited evidence of significant migration of both Ga and In during growth. Ga tended to diffuse away and down along the sidewalls, resulting in a Ga-rich shell for all nanowires. Despite the complex structure and great variability in the In composition, the optical properties of the nanowire arrays were very good, with strong luminescence peaking at ˜ 1.63 μm.

  5. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  6. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  7. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  8. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  9. Doping process of p-type GaN nanowires: A first principle study

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Diao, Yu; Feng, Shu

    2017-10-01

    The process of p-type doping for GaN nanowires is investigated using calculations starting from first principles. The influence of different doping elements, sites, types, and concentrations is discussed. Results suggest that Mg is an optimal dopant when compared to Be and Zn due to its stronger stability, whereas Be atoms are more inclined to exist in the interspace of a nanowire. Interstitially-doped GaN nanowires show notable n-type conductivity, and thus, Be is not a suitable dopant, which is to be expected since systems with inner substitutional dopants are more favorable than those with surface substitutions. Both interstitial and substitutional doping affect the atomic structure near dopants and induce charge transfer between the dopants and adjacent atoms. By altering doping sites and concentrations, nanowire atomic structures remain nearly constant. Substitutional doping models show p-type conductivity, and Mg-doped nanowires with doping concentrations of 4% showing the strongest p-type conductivity. All doping configurations are direct bandgap semiconductors. This study is expected to direct the preparation of high-quality GaN nanowires.

  10. Effect of Si-doping on InAs nanowire transport and morphology

    NASA Astrophysics Data System (ADS)

    Wirths, S.; Weis, K.; Winden, A.; Sladek, K.; Volk, C.; Alagha, S.; Weirich, T. E.; von der Ahe, M.; Hardtdegen, H.; Lüth, H.; Demarina, N.; Grützmacher, D.; Schäpers, Th.

    2011-09-01

    The effect of Si-doping on the morphology, structure, and transport properties of nanowires was investigated. The nanowires were deposited by selective-area metal organic vapor phase epitaxy in an N2 ambient. It is observed that doping systematically affects the nanowire morphology but not the structure of the nanowires. However, the transport properties of the wires are greatly affected. Room-temperature four-terminal measurements show that with an increasing dopant supply the conductivity monotonously increases. For the highest doping level the conductivity is higher by a factor of 25 compared to only intrinsically doped reference nanowires. By means of back-gate field-effect transistor measurements it was confirmed that the doping results in an increased carrier concentration. Temperature dependent resistance measurements reveal, for lower doping concentrations, a thermally activated semiconductor-type increase of the conductivity. In contrast, the nanowires with the highest doping concentration show a metal-type decrease of the resistivity with decreasing temperature.

  11. Determination of n-Type Doping Level in Single GaAs Nanowires by Cathodoluminescence.

    PubMed

    Chen, Hung-Ling; Himwas, Chalermchai; Scaccabarozzi, Andrea; Rale, Pierre; Oehler, Fabrice; Lemaître, Aristide; Lombez, Laurent; Guillemoles, Jean-François; Tchernycheva, Maria; Harmand, Jean-Christophe; Cattoni, Andrea; Collin, Stéphane

    2017-11-08

    We present an effective method of determining the doping level in n-type III-V semiconductors at the nanoscale. Low-temperature and room-temperature cathodoluminescence (CL) measurements are carried out on single Si-doped GaAs nanowires. The spectral shift to higher energy (Burstein-Moss shift) and the broadening of luminescence spectra are signatures of increased electron densities. They are compared to the CL spectra of calibrated Si-doped GaAs layers, whose doping levels are determined by Hall measurements. We apply the generalized Planck's law to fit the whole spectra, taking into account the electron occupation in the conduction band, the bandgap narrowing, and band tails. The electron Fermi levels are used to determine the free electron concentrations, and we infer nanowire doping of 6 × 10 17 to 1 × 10 18  cm -3 . These results show that cathodoluminescence provides a robust way to probe carrier concentrations in semiconductors with the possibility of mapping spatial inhomogeneities at the nanoscale.

  12. High performance Si nanowire field-effect-transistors based on a CMOS inverter with tunable threshold voltage.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Sohn, Jung Inn; Cha, Seung Nam; Whang, Dongmok; Kim, Jong Min; Kang, Dae Joon

    2014-05-21

    We successfully fabricated nanowire-based complementary metal-oxide semiconductor (NWCMOS) inverter devices by utilizing n- and p-type Si nanowire field-effect-transistors (NWFETs) via a low-temperature fabrication processing technique. We demonstrate that NWCMOS inverter devices can be operated at less than 1 V, a significantly lower voltage than that of typical thin-film based complementary metal-oxide semiconductor (CMOS) inverter devices. This low-voltage operation was accomplished by controlling the threshold voltage of the n-type Si NWFETs through effective management of the nanowire (NW) doping concentration, while realizing high voltage gain (>10) and ultra-low static power dissipation (≤3 pW) for high-performance digital inverter devices. This result offers a viable means of fabricating high-performance, low-operation voltage, and high-density digital logic circuits using a low-temperature fabrication processing technique suitable for next-generation flexible electronics.

  13. A High-Efficiency Si Nanowire Array/Perovskite Hybrid Solar Cell.

    PubMed

    Yan, Xin; Zhang, Chen; Wang, Jiamin; Zhang, Xia; Ren, Xiaomin

    2017-12-01

    A low-cost Si nanowire array/perovskite hybrid solar cell is proposed and simulated. The solar cell consists of a Si p-i-n nanowire array filled with CH 3 NH 3 PbI 3 , in which both the nanowires and perovskite absorb the incident light while the nanowires act as the channels for transporting photo-generated electrons and holes. The hybrid structure has a high absorption efficiency in a broad wavelength range of 300~800 nm. A large short-circuit current density of 28.8 mA/cm 2 and remarkable conversion efficiency of 13.3% are obtained at a thin absorber thickness of 1.6 μm, which are comparable to the best results of III-V nanowire solar cells.

  14. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  15. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  16. Vertical nanowire heterojunction devices based on a clean Si/Ge interface.

    PubMed

    Chen, Lin; Fung, Wayne Y; Lu, Wei

    2013-01-01

    Different vertical nanowire heterojunction devices were fabricated and tested based on vertical Ge nanowires grown epitaxially at low temperatures on (111) Si substrates with a sharp and clean Si/Ge interface. The nearly ideal Si/Ge heterojuctions with controlled and abrupt doping profiles were verified through material analysis and electrical characterizations. In the nSi/pGe heterojunction diode, an ideality factor of 1.16, subpicoampere reverse saturation current, and rectifying ratio of 10(6) were obtained, while the n+Si/p+Ge structure leads to Esaki tunnel diodes with a high peak tunneling current of 4.57 kA/cm(2) and negative differential resistance at room temperature. The large valence band discontinuity between the Ge and Si in the nanowire heterojunctions was further verified in the p+Si/pGe structure, which shows a rectifying behavior instead of an Ohmic contact and raises an important issue in making Ohmic contacts to heterogeneously integrated materials. A raised Si/Ge structure was further developed using a self-aligned etch process, allowing greater freedom in device design for applications such as the tunneling field-effect transistor (TFET). All measurement data can be well-explained and fitted with theoretical models with known bulk properties, suggesting that the Si/Ge nanowire system offers a very clean heterojunction interface with low defect density, and holds great potential as a platform for future high-density and high-performance electronics.

  17. Strong light absorption capability directed by structured profile of vertical Si nanowires

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2017-11-01

    Si nanowire arrays (SiNWAs) with random fractal geometry was fabricated using fast, mask-less, non-lithographic and facile approach by incorporating metal assisted electroless etching of n-type Si (111) substrates. The FESEM images demonstrate the formation of nano-porous surfaces that provide effective path for the incoming light to get trapped into the cavity of nanowires. The length of NWs increases from ∼1 to 10 μm with increase in the etching time having a diameter in the range of ∼25-82 nm. A transformation from zero to first order kinetics after a prolonged etching has been determined. The synthesized SiNWAs show high light trapping properties, including a maximum photon absorption across the entire visible and near IR range below the band gap of Si. The SiNWAs etched for 15 min exhibit extremely low specular and total reflectance of ∼0.2% and 4.5%, respectively over a broadband of wavelength. The reduction in the reflection loss is accompanied with the gradient of refractive index from air to Si substrate as well as due to the sub-wavelength structures, which manifests the light scattering effect. The COMSOL multiphysics simulation has been performed to study the high broadband light absorption capability in terms of the strong localized light field confinement by varying the length of the nanowire. Moreover, the SiNWs induces the dewetting ability at the solid/liquid interface and enhances the superhydrophobicity. Furthermore, a maximum length scale of 100-200 nm manifests a strong heterogeneity along the planar section of the surface of SiNWs. The study thus provides an insight on the light propagation into the random fractal geometries of Si nanowires. These outstanding properties should contribute to the structural optimization of various optoelectronic and photonic devices.

  18. SbSI Nanosensors: from Gel to Single Nanowire Devices

    NASA Astrophysics Data System (ADS)

    Mistewicz, Krystian; Nowak, Marian; Paszkiewicz, Regina; Guiseppi-Elie, Anthony

    2017-02-01

    The gas-sensing properties of antimony sulfoiodide (SbSI) nanosensors have been tested for humidity and carbon dioxide in nitrogen. The presented low-power SbSI nanosensors have operated at relatively low temperature and have not required heating system for recovery. Functionality of sonochemically prepared SbSI nanosensors made of xerogel as well as single nanowires has been compared. In the latter case, small amount of SbSI nanowires has been aligned in electric field and bonded ultrasonically to Au microelectrodes. The current and photocurrent responses of SbSI nanosensors have been investigated as function of relative humidity. Mechanism of light-induced desorption of H2O from SbSI nanowires' surface has been discussed. SbSI nanosensors have been tested for concentrations from 51 to 106 ppm of CO2 in N2, exhibiting a low detection limit of 40(31) ppm. The current response sensitivity has shown a tendency to decrease with increasing CO2 concentration. The experimental results have been explained taking into account proton-transfer process and Grotthuss' chain reaction, as well as electronic theory of adsorption and catalysis on semiconductors.

  19. Capping of rare earth silicide nanowires on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Appelfeller, Stephan; Franz, Martin; Kubicki, Milan

    The capping of Tb and Dy silicide nanowires grown on Si(001) was studied using scanning tunneling microscopy and cross-sectional high-resolution transmission electron microscopy. Several nanometers thick amorphous Si films deposited at room temperature allow an even capping, while the nanowires maintain their original structural properties. Subsequent recrystallization by thermal annealing leads to more compact nanowire structures and to troughs in the Si layer above the nanowires, which may even reach down to the nanowires in the case of thin Si films, as well as to V-shaped stacking faults forming along (111) lattice planes. This behavior is related to strain duemore » to the lattice mismatch between the Si overlayer and the nanowires.« less

  20. Fabrication of Heterojunction Diode Based on n-ZnO Nanowires/p-Si Substrate: Temperature Dependent Transport Characteristics.

    PubMed

    Badran, R I; Umar, Ahmad

    2017-01-01

    Herein, we report the growth and characterizations of well-crystalline n-ZnO nanowires assembled in micro flower-shaped morphologies. The nanowires are grown on p-Silicon substrate and characterized in terms of their structural, morphological and electrical properties. Temperature dependent transport characteristics of the fabricated n-ZnO/p-Si heterojunction diode were examined. The morphological studies revealed that the nanowires are grown in high-density and arrange in special micro flower shaped morphology. The structural characterizations confirmed that the nanowires are well-crystalline and possessing wurtzite hexagonal phase. The electrical properties were evaluated by examining the I–V characteristics of the fabricated n-ZnO/p-Si heterojunction diode. The I–V characteristics were studied at temperature <300 K and ≥300 K in the forward and reverse bias conditions. The detailed temperature dependent electrical properties revealed that the fabricated heterojunction assembly shows a diode-like behavior with a turn-on voltage of 5 V at almost all temperatures and the delivered current changes between ˜1 to ˜5 μA when temperature changes from 77 K to 425 K. The rectifying behavior of the fabricated heterojunction diode, at 5 V, was demonstrated by rectifying ratio of ˜4 at 77 K which decreases to ˜1.5 at 425 K. This analysis also showed that the mean potential barrier of the fabricated heterojunction (˜1.2 eV) is larger than the energy difference (0.72 eV) of the work functions between Si and ZnO.

  1. Structure evolution and electrical transport property of Si nanowire

    NASA Astrophysics Data System (ADS)

    Wang, Y.; Li, Q. Q.; Dong, J. C.; He, Y. Z.; Li, H.

    2015-02-01

    Various optimized Si and its alloy nanowires, from a monoatomic chain to helical and multishell coaxial cylinder, have been obtained. Results reveal that the structure of the Si nanowires transforms as the radii of the carbon nanotubes increase, despite of the chirality of the CNTs. We also calculate the physical properties, such as density of states, transmission functions, current-voltage (I-V) characteristics, and conductance spectra (G-V) of optimized nanowires and alloy nanowires sandwiched between two gold contacts. Interestingly, compared with the pure Si nanowires, the conductance of the alloy nanowires is even lower.

  2. Highly flexible, nonflammable and free-standing SiC nanowire paper

    NASA Astrophysics Data System (ADS)

    Chen, Jianjun; Liao, Xin; Wang, Mingming; Liu, Zhaoxiang; Zhang, Judong; Ding, Lijuan; Gao, Li; Li, Ye

    2015-03-01

    Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber reinforced ceramic composites. Herein, free-standing SiC nanowire paper consisting of ultralong single-crystalline SiC nanowires was prepared through a facile vacuum filtration approach. The ultralong SiC nanowires were synthesized by a sol-gel and carbothermal reduction method. The flexible paper composed of SiC nanowires is ~100 nm in width and up to several hundreds of micrometers in length. The nanowires are intertwisted with each other to form a three-dimensional network-like structure. SiC nanowire paper exhibits high flexibility and strong mechanical stability. The refractory performance and thermal stability of SiC nanowire paper were also investigated. The paper not only exhibits excellent nonflammability in fire, but also remains well preserved without visible damage when it is heated in an electric oven at a high temperature (1000 °C) for 3 h. With its high flexibility, excellent nonflammability, and high thermal stability, the free-standing SiC nanowire paper may have the potential to improve the ablation resistance of high temperature ceramic composites.Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber

  3. Negative Photoconductance in Heavily Doped Si Nanowire Field-Effect Transistors.

    PubMed

    Baek, Eunhye; Rim, Taiuk; Schütt, Julian; Baek, Chang-Ki; Kim, Kihyun; Baraban, Larysa; Cuniberti, Gianaurelio

    2017-11-08

    We report the first observation of negative photoconductance (NPC) in n- and p-doped Si nanowire field-effect transistors (FETs) and demonstrate the strong influence of doping concentrations on the nonconventional optical switching of the devices. Furthermore, we show that the NPC of Si nanowire FETs is dependent on the wavelength of visible light due to the phonon-assisted excitation to multiple conduction bands with different band gap energies that would be a distinct optoelectronic property of indirect band gap semiconductor. We attribute the main driving force of NPC in Si nanowire FETs to the photogenerated hot electrons trapping by dopants ions and interfacial states. Finally, comparing back- and top-gate modulation, we derive the mechanisms of the transition between negative and positive photoconductance regimes in nanowire devices. The transition is decided by the competition between the light-induced interfacial trapping and the recombination of mobile carriers, which is dependent on the light intensity and the doping concentration.

  4. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    PubMed

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  5. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  6. Manganese silicide nanowires on Si(001).

    PubMed

    Liu, H J; Owen, J H G; Miki, K; Renner, Ch

    2011-05-04

    A method for promoting the growth of manganese silicide nanowires on Si(001) at 450 °C is described. The anisotropic surface stress generated by bismuth nanolines blocks the formation of embedded structures and stabilizes the nucleation of manganese silicide islands which grow in a preferred direction, forming nanowires with a band gap of approximately 0.6 eV, matching the reported band gap of MnSi(1.7). This method may also provide a means to form silicide nanowires of other metals where they do not otherwise form. © 2011 IOP Publishing Ltd

  7. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  8. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  9. Modification of SiO2 nanowires with metallic nanocrystals from supercritical CO2.

    PubMed

    Ye, Xiang-Rong; Zhang, Hai-Feng; Lin, Yuehe; Wang, Lai-Sheng; Wai, Chien M

    2004-01-01

    Through hydrogen reduction of metal precursors in supercritical CO2, Cu, and Pd, nanocrystals were deposited onto SiO2 nanowires to form different types of nanostructured materials, including nanocrystal-nanowire, spherical aggregation-nanowire, shell-nanowire composites, and "mesoporous" metals supported by the framework of nanowires. This supercritical fluid deposition technique is an attractive approach for modifying nanowires because of its generality and simplicity; the modified nanowires could be useful as catalysts and for further fabrication of multifunctional composites.

  10. Micro-Raman investigations of InN-GaN core-shell nanowires on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Sangeetha, P.; Jeganathan, K.; Ramakrishnan, V.

    2013-06-01

    The electron-phonon interactions in InN-GaN core-shell nanowires grown by plasma assisted- molecular beam epitaxy (MBE) on Si (111) substrate have been analysed using micro-Raman spectroscopic technique with the excitation wavelength of 633, 488 and 325 nm. The Raman scattering at 633 nm reveals the characteristic E2 (high) and A1 (LO) phonon mode of InN core at 490 and 590 cm-1 respectively and E2 (high) phonon mode of GaN shell at 573 cm-1. The free carrier concentration of InN core is found to be low in the order ˜ 1016 cm-3 due to the screening of charge carriers by thin GaN shell. Diameter of InN core evaluated using the spatial correlation model is consistent with the transmission electron microscopic measurement of ˜15 nm. The phonon-life time of core-shell nanowire structure is estimated to be ˜0.4 ps. The micro-Raman mapping and its corresponding localised spectra for 325 nm excitation exhibit intense E2 (high) phonon mode of GaN shell at 573 cm-1 as the decrease of laser interaction length and the signal intensity is quenched at the voids due to high spacing of NWs.

  11. Mode tunable p-type Si nanowire transistor based zero drive load logic inverter.

    PubMed

    Moon, Kyeong-Ju; Lee, Tae-Il; Lee, Sang-Hoon; Han, Young-Uk; Ham, Moon-Ho; Myoung, Jae-Min

    2012-07-25

    A design platform for a zero drive load logic inverter consisting of p-channel Si nanowire based transistors, which controlled their operating mode through an implantation into a gate dielectric layer was demonstrated. As a result, a nanowire based class D inverter having a 4.6 gain value at V(DD) of -20 V was successfully fabricated on a substrate.

  12. Rectifying properties of p-GaN nanowires and an n-silicon heterojunction vertical diode.

    PubMed

    Manna, Sujit; Ashok, Vishal D; De, S K

    2010-12-01

    The heterojunction of a Pd-doped p-GaN nanowire and n-Si (100) is fabricated vertically by the vapor-liquid-solid method. The average diameter of the nanowire is 40 nm. The vertical junction reveals a significantly high rectification ratio of 10(3) at 5 V, a moderate ideality factor of ∼2, and a high breakdown voltage of ∼40 V. The charge transport across the p-n junction is dominated by the electron-hole recombination process. The voltage dependence of capacitance indicates a graded-type junction. The resistance of the junction decreases with an increase in the bias voltage confirmed by impedance measurements.

  13. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  14. Si-H induced synthesis of Si/Cu2O nanowire arrays for photoelectrochemical water splitting

    NASA Astrophysics Data System (ADS)

    Zhang, Shaoyang; She, Guangwei; Li, Shengyang; Mu, Lixuan; Shi, Wensheng

    2018-01-01

    We report a facile and low-cost method to synthesize Si/Cu2O heterojunction nanowire arrays, without SiOx, at the Si/Cu2O interface. The reductive Si-H bonds on the surface of Si nanowires plays a key role in situ by reducing Cu(II) ions to Cu2O nanocubes and avoiding the SiOx interface layer. Different pH values would vary the electrochemical potential of reactions and as a result, different products would be formed. Utilized as a photoanode for water splitting, Si/Cu2O nanowire arrays exhibit good photoelectrochemical performance.

  15. Electronic transport through Al/InN nanowire/Al junctions

    DOE PAGES

    Lu, Tzu -Ming; Wang, George T.; Pan, Wei; ...

    2016-02-10

    We report non-linear electronic transport measurement of Al/Si-doped n-type InN nanowire/Al junctions performed at T = 0.3 K, below the superconducting transition temperature of the Al electrodes. The proximity effect is observed in these devices through a strong dip in resistance at zero bias. In addition to the resistance dip at zero bias, several resistance peaks can be identified at bias voltages above the superconducting gap of the electrodes, while no resistance dip is observed at the superconducting gap. The resistance peaks disappear as the Al electrodes turn normal beyond the critical magnetic field except one which remains visible atmore » fields several times higher than critical magnetic field. An unexpected non-monotonic magnetic field dependence of the peak position is observed. As a result, we discuss the physical origin of these observations and propose that the resistance peaks could be the McMillan-Rowell oscillations arising from different closed paths localized near different regions of the junctions.« less

  16. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  17. Precise and selective sensing of DNA-DNA hybridization by graphene/Si-nanowires diode-type biosensors.

    PubMed

    Kim, Jungkil; Park, Shin-Young; Kim, Sung; Lee, Dae Hun; Kim, Ju Hwan; Kim, Jong Min; Kang, Hee; Han, Joong-Soo; Park, Jun Woo; Lee, Hosun; Choi, Suk-Ho

    2016-08-18

    Single-Si-nanowire (NW)-based DNA sensors have been recently developed, but their sensitivity is very limited because of high noise signals, originating from small source-drain current of the single Si NW. Here, we demonstrate that chemical-vapor-deposition-grown large-scale graphene/surface-modified vertical-Si-NW-arrays junctions can be utilized as diode-type biosensors for highly-sensitive and -selective detection of specific oligonucleotides. For this, a twenty-seven-base-long synthetic oligonucleotide, which is a fragment of human DENND2D promoter sequence, is first decorated as a probe on the surface of vertical Si-NW arrays, and then the complementary oligonucleotide is hybridized to the probe. This hybridization gives rise to a doping effect on the surface of Si NWs, resulting in the increase of the current in the biosensor. The current of the biosensor increases from 19 to 120% as the concentration of the target DNA varies from 0.1 to 500 nM. In contrast, such biosensing does not come into play by the use of the oligonucleotide with incompatible or mismatched sequences. Similar results are observed from photoluminescence microscopic images and spectra. The biosensors show very-uniform current changes with standard deviations ranging ~1 to ~10% by ten-times endurance tests. These results are very promising for their applications in accurate, selective, and stable biosensing.

  18. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  19. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    PubMed

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  20. Efficient n-type doping of zinc-blende III-V semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Besteiro, Lucas V.; Tortajada, Luis; Souto, J.; Gallego, L. J.; Chelikowsky, James R.; Alemany, M. M. G.

    2014-03-01

    We demonstrate that it is preferable to dope III-V semiconductor nanowires by n-type anion substitution as opposed to cation substitution. Specifically, we show the dopability of zinc-blende nanowires is more efficient when the dopants are placed at the anion site as quantified by formation energies and the stabilization of DX-like defect centers. The comparison with previous work on n - type III-V semiconductor nanocrystals also allows to determine the role of dimensionality and quantum confinement on doping characteristics of materials. Our results are based on first-principles calculations of InP nanowires by using the PARSEC code. Work supported by the Spanish MICINN (FIS2012-33126) and Xunta de Galicia (GPC2013-043) in conjunction with FEDER. JRC acknowledges support from DoE (DE-FG02-06ER46286 and DESC0008877). Computational support was provided in part by CESGA.

  1. Large scale synthesis of α-Si3N4 nanowires through a kinetically favored chemical vapour deposition process

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Huang, Zhaohui; Zhang, Xiaoguang; Fang, Minghao; Liu, Yan-gai; Wu, Xiaowen; Min, Xin

    2018-01-01

    Understanding the kinetic barrier and driving force for crystal nucleation and growth is decisive for the synthesis of nanowires with controllable yield and morphology. In this research, we developed an effective reaction system to synthesize very large scale α-Si3N4 nanowires (hundreds of milligrams) and carried out a comparative study to characterize the kinetic influence of gas precursor supersaturation and liquid metal catalyst. The phase composition, morphology, microstructure and photoluminescence properties of the as-synthesized products were characterized by X-ray diffraction, fourier-transform infrared spectroscopy, field emission scanning electron microscopy, transmission electron microscopy and room temperature photoluminescence measurement. The yield of the products not only relates to the reaction temperature (thermodynamic condition) but also to the distribution of gas precursors (kinetic condition). As revealed in this research, by controlling the gas diffusion process, the yield of the nanowire products could be greatly improved. The experimental results indicate that the supersaturation is the dominant factor in the as-designed system rather than the catalyst. With excellent non-flammability and high thermal stability, the large scale α-Si3N4 products would have potential applications to the improvement of strength of high temperature ceramic composites. The photoluminescence spectrum of the α-Si3N4 shows a blue shift which could be valued for future applications in blue-green emitting devices. There is no doubt that the large scale products are the base of these applications.

  2. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  3. Synthesis and structural property of Si nanosheets connected to Si nanowires using MnCl2/Si powder source

    NASA Astrophysics Data System (ADS)

    Meng, Erchao; Ueki, Akiko; Meng, Xiang; Suzuki, Hiroaki; Itahara, Hiroshi; Tatsuoka, Hirokazu

    2016-08-01

    Si nanosheets connected to Si nanowires were synthesized using a MnCl2/Si powder source with an Au catalyst. The synthesis method has benefits in terms of avoiding conventionally used air-sensitive SiH4 or SiCl4. The existence of the Si nanosheets connected to the Si<111> nanowires, like sprouts or leaves with petioles, was observed, and the surface of the nanosheets was Si{111}. The nanosheets were grown in the growth direction of <211> perpendicular to that of the Si nanowires. It was evident from these structural features of the nanosheets that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes, which do not appear for Si bulk crystals, of the Si(111) nanosheets obtained by high resolution transmission electron microscopy was clearly explained due to the extra diffraction spots that arose by the reciprocal lattice streaking effect.

  4. Ultrahigh-Speed Electrically Injected 1.55 micrometer Quantum Dot Microtube and Nanowire Lasers on Si

    DTIC Science & Technology

    2015-08-30

    Ultrahigh-Speed Electrically Injected 1.55 um Quantum Dot Microtube and Nanowire Lasers on Si In this report, we describe the progress made in rolled...up InP-based tube lasers and in the growth and characterization of III-nitride nanowire structures on Si. We report on the demonstration of...injected AlGaN nanowire lasers that can operate in the UV-AII (315-340 nm), UV-B (280-315nm), and UV-C (200-280 nm). The views, opinions and/or findings

  5. Dewetting process of Au films on SiO2 nanowires: Activation energy evaluation

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Grimaldi, M. G.

    2015-05-01

    SiO2 nanowires gain scientific and technological interest in application fields ranging from nano-electronics, optics and photonics to bio-sensing. Furthermore, the SiO2 nanowires chemical and physical properties, and so their performances in devices, can be enhanced if decorated by metal nanoparticles (such Au) due to local plasmonic effects. In the present paper, we propose a simple, low-cost and high-throughput three-steps methodology for the mass-production of Au nanoparticles coated SiO2 nanowires. It is based on (1) production of the SiO2 nanowires on Si surface by solid state reaction of an Au film with the Si substrate at high temperature; (2) sputtering deposition of Au on the SiO2 nanowires to obtain the nanowires coated by an Au film; and (3) furnace annealing processes to induce the Au film dewetting on the SiO2 nanowires surface. Using scanning electron microscopy analyses, we followed the change of the Au nanoparticles mean versus the annealing time extracting values for the characteristic activation energy of the dewetting process of the Au film on the SiO2 nanowires surface. Such a study can allow the tuning of the nanowires/nanoparticles sizes for desired technological applications.

  6. Structure, morphology, and photoluminescence of porous Si nanowires: effect of different chemical treatments

    PubMed Central

    2013-01-01

    The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material. PMID:24025542

  7. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  8. Ultralight, Recoverable, and High-Temperature-Resistant SiC Nanowire Aerogel.

    PubMed

    Su, Lei; Wang, Hongjie; Niu, Min; Fan, Xingyu; Ma, Mingbo; Shi, Zhongqi; Guo, Sheng-Wu

    2018-04-24

    Ultralight ceramic aerogels with the property combination of recoverable compressibility and excellent high-temperature stability are attractive for use in harsh environments. However, conventional ceramic aerogels are usually constructed by oxide ceramic nanoparticles, and their practical applications have always been limited by the brittle nature of ceramics and volume shrinkage at high temperature. Silicon carbide (SiC) nanowire offers the integrated properties of elasticity and flexibility of one-dimensional (1D) nanomaterials and superior high-temperature thermal and chemical stability of SiC ceramics, which makes it a promising building block for compressible ceramic nanowire aerogels (NWAs). Here, we report the fabrication and properties of a highly porous three-dimensional (3D) SiC NWA assembled by a large number of interweaving 3C-SiC nanowires of 20-50 nm diameter and tens to hundreds of micrometers in length. The SiC NWA possesses ultralow density (∼5 mg cm -3 ), excellent mechanical properties of large recoverable compression strain (>70%) and fatigue resistance, refractory property, oxidation and high-temperature resistance, and thermal insulating property (0.026 W m -1 K -1 at room temperature in N 2 ). When used as absorbents, the SiC NWAs exhibit an adsorption selectivity of low-viscosity organic solvents with high absorption capacity (130-237 g g -1 ). The successful fabrication of such an attractive material may provide promising perspectives to the design and fabrication of other compressible and multifunctional ceramic NWAs.

  9. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    PubMed

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  10. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  11. Highly efficient and low voltage silver nanowire-based OLEDs employing a n-type hole injection layer.

    PubMed

    Lee, Hyungjin; Lee, Donghwa; Ahn, Yumi; Lee, Eun-Woo; Park, Lee Soon; Lee, Youngu

    2014-08-07

    Highly flexible and efficient silver nanowire-based organic light-emitting diodes (OLEDs) have been successfully fabricated by employing a n-type hole injection layer (HIL). The silver nanowire-based OLEDs without light outcoupling structures exhibited excellent device characteristics such as extremely low turn-on voltage (3.6 V) and high current and power efficiencies (44.5 cd A(-1) and 35.8 lm W(-1)). In addition, flexible OLEDs with the silver nanowire transparent conducting electrode (TCE) and n-type HIL fabricated on plastic substrates showed remarkable mechanical flexibility as well as device performance.

  12. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  13. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction.

    PubMed

    Hsu, Hsun-Feng; Huang, Wan-Ru; Chen, Ting-Hsuan; Wu, Hwang-Yuan; Chen, Chun-An

    2013-05-10

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.

  14. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction

    PubMed Central

    2013-01-01

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation. PMID:23663726

  15. In situ TEM observation of preferential amorphization in single crystal Si nanowire

    NASA Astrophysics Data System (ADS)

    Su, Jiangbin; Zhu, Xianfang

    2018-06-01

    The nanoinstability of a single crystal Si nanowire under electron beam irradiation was in situ investigated at room temperature by the transmission electron microscopy technique. It was observed that the Si nanowire amorphized preferentially from the surface towards the center, with the increasing of the electron dose. In contrast, in the center of the Si nanowire the amorphization seemed much more difficult, being accompanied by the rotation of crystal grains and the compression of d-spacing. Such a preferential amorphization, which is athermally induced by the electron beam irradiation, can be well accounted for by our proposed concepts of the nanocurvature effect and the energetic beam-induced athermal activation effect, while the classical knock-on mechanism and the electron beam heating effect seem inadequate to explain these processes. Furthermore, the findings revealed the difference of amorphization between a Si nanowire and a Si film under electron beam irradiation. Also, the findings have important implications for the nanoinstability and nanoprocessing of future Si nanowire-based devices.

  16. In situ TEM observation of preferential amorphization in single crystal Si nanowire.

    PubMed

    Su, Jiangbin; Zhu, Xianfang

    2018-06-08

    The nanoinstability of a single crystal Si nanowire under electron beam irradiation was in situ investigated at room temperature by the transmission electron microscopy technique. It was observed that the Si nanowire amorphized preferentially from the surface towards the center, with the increasing of the electron dose. In contrast, in the center of the Si nanowire the amorphization seemed much more difficult, being accompanied by the rotation of crystal grains and the compression of d-spacing. Such a preferential amorphization, which is athermally induced by the electron beam irradiation, can be well accounted for by our proposed concepts of the nanocurvature effect and the energetic beam-induced athermal activation effect, while the classical knock-on mechanism and the electron beam heating effect seem inadequate to explain these processes. Furthermore, the findings revealed the difference of amorphization between a Si nanowire and a Si film under electron beam irradiation. Also, the findings have important implications for the nanoinstability and nanoprocessing of future Si nanowire-based devices.

  17. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  18. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  19. A novel passivation process of silicon nanowires by a low-cost PECVD technique for deposition of hydrogenated silicon nitride using SiH4 and N2 as precursor gases

    NASA Astrophysics Data System (ADS)

    Bouaziz, Lamia; Dridi, Donia; Karyaoui, Mokhtar; Angelova, Todora; Sanchez Plaza, Guillermo; Chtourou, Radhouane

    2017-03-01

    In this work, a different SiNx passivation process of silicon nanowires has been opted for the deposition of a hydrogenated silicon nitride (SiNx:H) by a low-cost plasma enhanced chemical vapor deposition (PECVD) using silane ( SiH4 and nitrogen ( N2 as reactive gases. This study is focused on the effect of the gas flow ratio on chemical composition, morphological, optical and optoelectronic properties of silicon nanowires. The existence of Si-N and Si-H bonds was proven by the Fourier transmission infrared (FTIR) spectrum. Morphological structures were shown by scanning electron microscopy (SEM), and the roughness was investigated by atomic force microscopy (AFM). A low reflectivity less than 6% in the wavelength range 250-1200nm has been shown by UV-visible spectroscopy. Furthermore, the thickness and the refractive index of the passivation layer is determined by ellipsometry measurements. As a result, an improvement in minority carrier lifetime has been obtained by reducing surface recombination of silicon nanowires.

  20. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    PubMed

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  1. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  2. Defect-Induced Nucleation and Epitaxy: A New Strategy toward the Rational Synthesis of WZ-GaN/3C-SiC Core-Shell Heterostructures.

    PubMed

    Liu, Baodan; Yang, Bing; Yuan, Fang; Liu, Qingyun; Shi, Dan; Jiang, Chunhai; Zhang, Jinsong; Staedler, Thorsten; Jiang, Xin

    2015-12-09

    In this work, we demonstrate a new strategy to create WZ-GaN/3C-SiC heterostructure nanowires, which feature controllable morphologies. The latter is realized by exploiting the stacking faults in 3C-SiC as preferential nucleation sites for the growth of WZ-GaN. Initially, cubic SiC nanowires with an average diameter of ∼100 nm, which display periodic stacking fault sections, are synthesized in a chemical vapor deposition (CVD) process to serve as the core of the heterostructure. Subsequently, hexagonal wurtzite-type GaN shells with different shapes are grown on the surface of 3C-SiC wire core. In this context, it is possible to obtain two types of WZ-GaN/3C-SiC heterostructure nanowires by means of carefully controlling the corresponding CVD reactions. Here, the stacking faults, initially formed in 3C-SiC nanowires, play a key role in guiding the epitaxial growth of WZ-GaN as they represent surface areas of the 3C-SiC nanowires that feature a higher surface energy. A dedicated structural analysis of the interfacial region by means of high-resolution transmission electron microscopy (HRTEM) revealed that the disordering of the atom arrangements in the SiC defect area promotes a lattice-matching with respect to the WZ-GaN phase, which results in a preferential nucleation. All WZ-GaN crystal domains exhibit an epitaxial growth on 3C-SiC featuring a crystallographic relationship of [12̅10](WZ-GaN) //[011̅](3C-SiC), (0001)(WZ-GaN)//(111)(3C-SiC), and d(WZ-GaN(0001)) ≈ 2d(3C-SiC(111)). The approach to utilize structural defects of a nanowire core to induce a preferential nucleation of foreign shells generally opens up a number of opportunities for the epitaxial growth of a wide range of semiconductor nanostructures which are otherwise impossible to acquire. Consequently, this concept possesses tremendous potential for the applications of semiconductor heterostructures in various fields such as optics, electrics, electronics, and photocatalysis for energy harvesting

  3. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  4. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  5. Ultra-thin g-C{sub 3}N{sub 4} nanosheets wrapped silicon nanowire array for improved chemical stability and enhanced photoresponse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Beibei; Yu, Hongtao; Quan, Xie, E-mail: quanxie@dlut.edu.cn

    2014-11-15

    Highlights: • g-C{sub 3}N{sub 4}, as an oxygen free and metal free protective material for Si, was proposed. • g-C{sub 3}N{sub 4} nanosheets wrapped Si nanowire array was synthesized. • SiNW/g-C{sub 3}N{sub 4} exhibited enhancement of photoelectrochemical stability and photocurrent. - Abstract: In order to inhibit the oxidation of Si materials in aqueous solution, Si nanowire array was wrapped by ultra-thin g-C{sub 3}N{sub 4} nanosheets via an electrophoresis process. Scanning electron microscopy and transmission electron microscopy images showed that g-C{sub 3}N{sub 4} nanosheets were evenly distributed on the surface of Si nanowire array. X-ray diffraction patterns indicated that Si nanowiremore » array/g-C{sub 3}N{sub 4} nanosheets were composed of Si (4 0 0 crystal plane) and g-C{sub 3}N{sub 4} (0 0 2 and 1 0 0 crystal planes). The cyclic voltammetry curves revealed that the corrosion of Si nanowire array was restrained under the protection of g-C{sub 3}N{sub 4} nanosheets. Furthermore, the photocurrent density of Si nanowire array/g-C{sub 3}N{sub 4} nanosheets increased by nearly 3 times compared to that of bare Si nanowire array due to the effective charge separation caused by the built-in electric field at the interface. This work will facilitate the applications of Si materials in aqueous solution, such as solar energy harvest and photocatalytic pollution control.« less

  6. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  7. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates

    NASA Astrophysics Data System (ADS)

    Mahato, J. C.; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B. N.

    2017-10-01

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types—flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi2 and Si are A-type. In the ridged NWs CoSi2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  8. GaAs/AlGaAs core multishell nanowire-based light-emitting diodes on Si.

    PubMed

    Tomioka, Katsuhiro; Motohisa, Junichi; Hara, Shinjiroh; Hiruma, Kenji; Fukui, Takashi

    2010-05-12

    We report on integration of GaAs nanowire-based light-emitting-diodes (NW-LEDs) on Si substrate by selective-area metalorganic vapor phase epitaxy. The vertically aligned GaAs/AlGaAs core-multishell nanowires with radial p-n junction and NW-LED array were directly fabricated on Si. The threshold current for electroluminescence (EL) was 0.5 mA (current density was approximately 0.4 A/cm(2)), and the EL intensity superlinearly increased with increasing current injections indicating superluminescence behavior. The technology described in this letter could help open new possibilities for monolithic- and on-chip integration of III-V NWs on Si.

  9. Effect of doping on the intersubband absorption in Si- and Ge-doped GaN/AlN heterostructures

    NASA Astrophysics Data System (ADS)

    Ajay, A.; Lim, C. B.; Browne, D. A.; Polaczyński, J.; Bellet-Amalric, E.; Bleuse, J.; den Hertog, M. I.; Monroy, E.

    2017-10-01

    In this paper, we study band-to-band and intersubband (ISB) characteristics of Si- and Ge-doped GaN/AlN heterostructures (planar and nanowires) structurally designed to absorb in the short-wavelength infrared region, particularly at 1.55 μm. Regarding the band-to-band properties, we discuss the variation of the screening of the internal electric field by free carriers, as a function of the doping density and well/nanodisk size. We observe that nanowire heterostructures consistently present longer photoluminescence decay times than their planar counterparts, which supports the existence of an in-plane piezoelectric field associated to the shear component of the strain tensor in the nanowire geometry. Regarding the ISB characteristics, we report absorption covering 1.45-1.75 μm using Ge-doped quantum wells, with comparable performance to Si-doped planar heterostructures. We also report similar ISB absorption in Si- and Ge-doped nanowire heterostructures indicating that the choice of dopant is not an intrinsic barrier for observing ISB phenomena. The spectral shift of the ISB absorption as a function of the doping concentration due to many body effects confirms that Si and Ge efficiently dope GaN/AlN nanowire heterostructures.

  10. Fabrication of n-type Si nanostructures by direct nanoimprinting with liquid-Si ink

    NASA Astrophysics Data System (ADS)

    Takagishi, Hideyuki; Masuda, Takashi; Yamazaki, Ken; Shimoda, Tatsuya

    2018-01-01

    Nanostructures of n-type amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) with a height of 270 nm and line widths of 110-165 nm were fabricated directly onto a substrate through a simple imprinting process that does not require vacuum conditions or photolithography. The n-type Liquid-Si ink was synthesized via photopolymerization of cyclopentasilane (Si5H10) and white phosphorus (P4). By raising the temperature from 160 °C to 200 °C during the nanoimprinting process, well-defined angular patterns were fabricated without any cracking, peeling, or deflections. After the nanoimprinting process, a-Si was produced by heating the nanostructures at 400°C-700 °C, and poly-Si was produced by heating at 800 °C. The dopant P diffuses uniformly in the Si films, and its concentration can be controlled by varying the concentration of P4 in the ink. The specific resistance of the n-type poly-Si pattern was 7.0 × 10-3Ω ṡ cm, which is comparable to the specific resistance of flat n-type poly-Si films.

  11. Dependence of Morphology of SiOx Nanowires on the Supersaturation of Au-Si Alloy Liquid Droplets Formed on the Au-Coated Si Substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Han; Li, Ji-Xue; Jin, Ai-Zi; Zhang, Ze

    2001-11-01

    A thermodynamic theory about the dependence of morphology of SiOx nanowires on the super-saturation of alloy liquid droplets has been proposed on the basis of the vapour-liquid-solid growth mechanism and has been supported experimentally. By changing the Si concentration in the Au-Si liquid droplets formed on the Au-coated Si substrate, firework-, tulip- and bud-shaped SiOx nanowires were synthesized by a thermal evaporation method and distributed concentrically around some void defects in the Si substrate. Voids were formed underneath the surface of the Si substrate during the thermal evaporation at 850°C and resulted in the Si-concentration deficient thus different saturation of Au-Si droplets. Electron microscopy analysis showed that the nanowires had an amorphous structure and were terminated by Au-Si particles.

  12. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  13. Carrier concentration dependent photoluminescence properties of Si-doped InAs nanowires

    NASA Astrophysics Data System (ADS)

    Sonner, M.; Treu, J.; Saller, K.; Riedl, H.; Finley, J. J.; Koblmüller, G.

    2018-02-01

    We report the effects of intentional n-type doping on the photoluminescence (PL) properties of InAs nanowires (NWs). Employing silicon (Si) as a dopant in molecular beam epitaxy grown NWs, the n-type carrier concentration is tuned between 1 × 1017 cm-3 and 3 × 1018 cm-3 as evaluated from Fermi-tail fits of the high-energy spectral region. With the increasing carrier concentration, the PL spectra exhibit a distinct blueshift (up to ˜50 meV), ˜2-3-fold peak broadening, and a redshift of the low-energy tail, indicating both the Burstein-Moss shift and bandgap narrowing. The low-temperature bandgap energy (EG) decreases from ˜0.44 eV (n ˜ 1017 cm-3) to ˜0.41 eV (n ˜ 1018 cm-3), following a ΔEG ˜ n1/3 dependence. Simultaneously, the PL emission is quenched nearly 10-fold, while the pump-power dependent analysis of the integrated PL intensity evidences a typical 2/3-power-law scaling, indicative of non-radiative Auger recombination at high carrier concentrations. Carrier localization and activation at stacking defects are further observed in undoped InAs NWs by temperature-dependent measurements but are absent in Si-doped InAs NWs due to the increased Fermi energy.

  14. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  15. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  16. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  17. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  18. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  19. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  20. Electrical properties of sub-100 nm SiGe nanowires

    NASA Astrophysics Data System (ADS)

    Hamawandi, B.; Noroozi, M.; Jayakumar, G.; Ergül, A.; Zahmatkesh, K.; Toprak, M. S.; Radamson, H. H.

    2016-10-01

    In this study, the electrical properties of SiGe nanowires in terms of process and fabrication integrity, measurement reliability, width scaling, and doping levels were investigated. Nanowires were fabricated on SiGe-on oxide (SGOI) wafers with thickness of 52 nm and Ge content of 47%. The first group of SiGe wires was initially formed by using conventional I-line lithography and then their size was longitudinally reduced by cutting with a focused ion beam (FIB) to any desired nanometer range down to 60 nm. The other nanowire group was manufactured directly to a chosen nanometer level by using sidewall transfer lithography (STL). It has been shown that the FIB fabrication process allows manipulation of the line width and doping level of nanowires using Ga atoms. The resistance of wires thinned by FIB was 10 times lower than STL wires which shows the possible dependency of electrical behavior on fabrication method. Project support by the Swedish Foundation for Strategic Research “SSF” (No. EM-011-0002) and the Scientific and Technological Research Council of Turkey (No. TÜBİTAK).

  1. Synthesis and electrical characterization of intrinsic and in situ doped Si nanowires using a novel precursor

    PubMed Central

    Molnar, Wolfgang; Wojcik, Tomasz; Pongratz, Peter; Auner, Norbert; Bauch, Christian; Bertagnolli, Emmerich

    2012-01-01

    Summary Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes SinCl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation. PMID:23019552

  2. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  3. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.

    PubMed

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei

    2015-11-16

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.

  4. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire

    PubMed Central

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C.; Luo, Tengfei

    2015-01-01

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics. PMID:26568511

  5. Nanoparticle-density-dependent field emission of surface-decorated SiC nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Qizheng; School of Materials and Chemical Engineering, Ningbo University of Technology, Ningbo City 315016; State Key Lab of New Fine Ceramics and Fine Processing, Tsinghua University, Beijing City 100084

    2016-08-22

    Increasing the electron emission site density of nanostructured emitters with limited field screening effects is one of the key issues for improving the field emission (FE) properties. In this work, we reported the Au-nanoparticles-density-dependent field emission behaviors of surface-decorated SiC nanowires. The Au nanoparticles (AuNPs) decorated around the surface of the SiC nanowires were achieved via an ion sputtering technique, by which the densities of the isolated AuNPs could be adjusted by controlling the fixed sputtering times. The measured FE characteristics demonstrated that the turn-on fields of the SiC nanowires were tuned to be of 2.06, 1.14, and 3.35 V/μm withmore » the increase of the decorated AuNPs densities, suggesting that a suitable decorated AuNPs density could render the SiC nanowires with totally excellent FE performances by increasing the emission sites and limiting the field screening effects.« less

  6. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  7. Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires

    NASA Astrophysics Data System (ADS)

    Kagimura, R.; Nunes, R. W.; Chacham, H.

    2007-01-01

    We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.

  8. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along theirmore » edges.« less

  9. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  10. Gamma ray irradiated silicon nanowires: An effective model to investigate defects at the interface of Si/SiOx

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Kui; Zhao, Yi; Liu, Liangbin

    2014-01-20

    The effect of gamma ray irradiation on silicon nanowires was investigated. Here, an additional defect emerged in the gamma-ray-irradiated silicon nanowires and was confirmed with electron spin resonance spectra. {sup 29}Si nuclear magnetic resonance spectroscopy showed that irradiation doses had influence on the Q{sup 4} unit structure. This phenomenon indicated that the unique core/shell structure of silicon nanowires might contribute to induce metastable defects under gamma ray irradiation, which served as a satisfactory model to investigate defects at the interface of Si/SiOx.

  11. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  12. Fabrication of p-type CuO thin films using chemical bath deposition technique and their solar cell applications with Si nanowires

    NASA Astrophysics Data System (ADS)

    Akgul, Funda Aksoy; Akgul, Guvenc

    2017-02-01

    Recently, CuO has attracted much interest owing to its suitable material properties, inexpensive fabrication cost and potential applications for optoelectronic devices. In this study, CuO thin films were deposited on glass substrates using chemical bath deposition technique and post-deposition annealing effect on the properties of the prepared samples were investigated. p-n heterojunction solar cells were then constructed by coating of p-type CuO films onto the vertically well-aligned n-type Si nanowires synthesized through MACE method. Photovoltaic performance of the fabricated devices were determined with current-voltage (I-V) measurements under AM 1.5 G illumination. The optimal short-circuit current density, open-circuit voltage, fill factor and power conversion efficiency were found to be 3.2 mA/cm-2, 337 mV, 37.9 and 0.45%, respectively. The observed performance clearly indicates that the investigated device structure could be a promising candidate for high-performance low-cost new-generation photovoltaic diodes.

  13. Deformation mechanisms of bent Si nanowires governed by the sign and magnitude of strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lihua, E-mail: wlh@bjut.edu.cn, E-mail: xdhan@bjut.edu.cn, E-mail: j.zou@uq.edu.au; Materials Engineering, The University of Queensland, Brisbane, QLD 4072; Kong, Deli

    2016-04-11

    In this study, the deformation mechanisms of bent Si nanowires are investigated at the atomic scale with bending strain up to 12.8%. The sign and magnitude of the applied strain are found to govern their deformation mechanisms, in which the dislocation types (full or partial dislocations) can be affected by the sign (tensile or compressive) and magnitude of the applied strain. In the early stages of bending, plastic deformation is controlled by 60° full dislocations. As the bending increases, Lomer dislocations can be frequently observed. When the strain increases to a significant level, 90° partial dislocations induced from the tensilemore » surfaces of the bent nanowires are observed. This study provides a deeper understanding of the effect of the sign and magnitude of the bending strain on the deformation mechanisms in bent Si nanowires.« less

  14. InGaN/GaN dot-in-nanowire monolithic LEDs and lasers on (001) silicon

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2017-02-01

    GaN-based nanowire arrays have been grown on (001)Si substrate by plasma-assisted molecular beam epitaxy and their structural and optical properties have been determined. InxGa1-xN disks inserted in the nanowires behave as quantum dots with emission ranging from visible to near-infrared. We have exploited these nanowire heterostructure arrays to realize light-emitting diodes and diode lasers in which the quantum dots form the active light emitting media. The fabrication and characteristics of 630nm light-emitting diodes and 1.3μm edge-emitting diode lasers are described.

  15. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  16. III-nitride nanowire LEDs and diode lasers: monolithic light sources on (001) Si emitting in the 600-1300nm range

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2018-02-01

    GaN-based nanowire heterostructure arrays epitaxially grown on (001)Si substrates have unique properties and present the potential to realize useful devices. The active light-emitting region in the nanowire heterostructures are usually InGaN disks, whose composition can be varied to tune the emission wavelength. We have demonstrated light emitting diodes and edgeemitting diode lasers with power outputs 10mW with emission in the 600-1300nm wavelength range. These light sources are therefore useful for a variety of applications, including silicon photonics. Molecular beam epitaxial growth of the nanowire heterostructure arrays on (001)Si substrates and the characteristics of 1.3μm nanowire array edge emitting lasers, guided wave photodiodes and a monolithic photonic integrated circuit designed for 1.3μm operation are described.

  17. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    PubMed Central

    2010-01-01

    We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively. PMID:21076699

  18. Fabrication of Coaxial Si1- x Ge x Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    NASA Astrophysics Data System (ADS)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-10-01

    We report on bifurcate reactions on the surface of well-aligned Si1- x Ge x nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1- x Ge x nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1- x Ge x or SiO2/Si1- x Ge x coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  19. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    PubMed

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  20. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation.

    PubMed

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal-oxide-semiconductor-compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm 2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance.

  1. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation

    PubMed Central

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    Abstract For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal–oxide–semiconductor–compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance. PMID:29868148

  2. Spectroscopic ellipsometry of columnar porous Si thin films and Si nanowires

    NASA Astrophysics Data System (ADS)

    Fodor, Bálint; Defforge, Thomas; Agócs, Emil; Fried, Miklós; Gautier, Gaël; Petrik, Péter

    2017-11-01

    Columnar mesoporous Si thin films and dense nanowire (SiNW) carpets were investigated by spectroscopic ellipsometry in the visible-near-infrared wavelength range. Porous Si layers were formed by electrochemical etching while structural anisotropy was controlled by the applied current. Layers of highly oriented SiNWs, with length up to 4.1 μm were synthesized by metal-assisted chemical etching. Ellipsometric spectra were fitted with different multi-layered, effective medium approximation-based (EMA) models. Isotropic, in-depth graded, anisotropic and hybrid EMA models were investigated with the help of the root mean square errors obtained from the fits. Ellipsometric-fitted layer thicknesses were also cross-checked by scanning electron microscopy showing an excellent agreement. Furthermore, in the case of mesoporous silicon, characterization also revealed that, at low current densities (<100 mA/cm2), in-depth inhomogeneity shows a more important feature in the ellipsometric spectra than anisotropy. On the other hand, at high current densities (>100 mA/cm2) this behavior turns around, and anisotropy becomes the dominant feature describing the spectra. Characterization of SiNW layers showed a very high geometrical anisotropy. However, the highest fitted geometrical anisotropy was obtained for the layer composed of ∼1 μm long SiNWs indicating that for thicker layers, collapse of the nanowires occurs.

  3. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  4. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  5. Theoretical prediction of novel ultrafine nanowires formed by Si12C12 cage-like clusters

    NASA Astrophysics Data System (ADS)

    Yong, Yongliang; Song, Bin; He, Pimo

    2014-02-01

    Using density functional theory calculations, we predict that novel SiC ultrafine nanowires can be produced via the coalescence of stable Si12C12 clusters. For the isolated Si12C12 clusters, we find that the cage-like structure with a distinct segregation between Si and C atoms is energetically more favourable than the fullerene-like structure with alternating Si-C bonds. Via the coalescence of Si12C12 clusters, three novel stable nanowires have been characterised. The band structure reveals that these nanowires are semiconductors with narrow gap, indicating that they may be used as infrared detectors and thermoelectrics.

  6. Catalyst- and template-free low-temperature in situ growth of n-type CdS nanowire on p-type CdTe film and p-n heterojunction properties

    PubMed Central

    Ma, Ligang; Liu, Wenchao; Cai, Hongling; Zhang, Fengming; Wu, Xiaoshan

    2016-01-01

    CdS is an important semiconductor used in optoelectronic devices. Simple techniques for growing CdS nanostructures are thus essential at a low cost. This study presents a novel method for growing single-crystal n-type CdS nanowires on p-type CdTe films by thermal annealing in an H2S/N2 mixed gas flow, which does not require the help of a catalyst or template. The formation process and growth mechanism of the nanowires are investigated. Well-dispersed whiskerlike CdS nanostructures are obtained at an appropriate annealing temperature and duration. We suggest that the stress-driving mechanism of nanowire formation may contribute to the growth of CdS nanowires, and that the evaporation of Te through the boundaries of the CdS grain seeds plays an important role in the sustainable growth of nanowire. In addition, CdS/CdTe heterojunction device is fabricated on Mo glass. The I-V characteristic of the heterojunction in dark shows typical rectifying diode behavior. The turn-on voltage can be regulated by annealing conditions. Meanwhile, the obvious photovoltaic effect is obtained on the in situ growth heterojunction prepared at low annealing temperature. Hence, this is a new fabricated method for CdTe-based materials in the field of energy conversion. PMID:27958306

  7. Guided Growth of Horizontal p-Type ZnTe Nanowires

    PubMed Central

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  8. Guided Growth of Horizontal p-Type ZnTe Nanowires.

    PubMed

    Reut, Gilad; Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2016-08-04

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor-liquid-solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means.

  9. Ten-gram scale SiC@SiO2 nanowires: high-yield synthesis towards industrialization, in situ growth mechanism and their peculiar photoluminescence and electromagnetic wave absorption properties.

    PubMed

    Li, Z J; Yu, H Y; Song, G Y; Zhao, J; Zhang, H; Zhang, M; Meng, A L; Li, Q D

    2017-02-01

    SiC@SiO 2 nanowires, as a functional nanocomposite, have attracted widespread attention due to their fascinating performance and broad application prospect. However, the low-cost, high yield preparation of large-scale SiC@SiO 2 nanowires is still a bottleneck, which hinders their industrial application. Herein, a carbothermal reduction strategy has been developed to synthesize SiC@SiO 2 nanowires, which breaks through the handicap of the traditional growth pattern that uses the aid of a substrate. Systematic characterization results illustrate that the yield of the as-obtained products greatly depends on the heating rate, and ten-gram scale SiC@SiO 2 nanowires (∼27.2 g) composed of a cubic β-SiC core and homogeneous amorphous SiO 2 coating are achieved under the optimum process parameters. The in situ mechanisms of expansion-insertion-growth and inhibition of expansion-package-obstruction are proposed to rationally interpret the growth process of SiC@SiO 2 nanowires and the effect of various heating rates, respectively. Furthermore, the SiC@SiO 2 nanowires display violet-blue photoluminescence and electromagnetic wave absorption properties. This study not only provides some beneficial suggestions for the commercial production of SiC@SiO 2 nanowires, but also reveals promising applications of SiC@SiO 2 nanowires in the optical and electromagnetic shielding fields. Moreover, the developed novel in situ growth mechanism enriches the growth theory of one-dimension nanomaterials and offers inspiration for their industrial-scale production.

  10. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  11. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  12. Strong polarization-dependent terahertz modulation of aligned Ag nanowires on Si substrate.

    PubMed

    Lee, Gyuseok; Maeng, Inhee; Kang, Chul; Oh, Myoung-Kyu; Kee, Chul-Sik

    2018-05-14

    Optically tunable, strong polarization-dependent transmission of terahertz pulses through aligned Ag nanowires on a Si substrate is demonstrated. Terahertz pulses primarily pass through the Ag nanowires and the transmittance is weakly dependent on the angle between the direction of polarization of the terahertz pulse and the direction of nanowire alignment. However, the transmission of a terahertz pulse through optically excited materials strongly depends on the polarization direction. The extinction ratio increases as the power of the pumping laser increases. The enhanced polarization dependency is explained by the redistribution of photocarriers, which accelerates the sintering effect along the direction of alignment of the Ag nanowires. The photocarrier redistribution effect is examined by the enhancement of terahertz emission from the sample. Oblique metal nanowires on Si could be utilized for designing optically tunable terahertz polarization modulators.

  13. p-Type modulation doped InGaN/GaN dot-in-a-wire white-light-emitting diodes monolithically grown on Si(111).

    PubMed

    Nguyen, H P T; Zhang, S; Cui, K; Han, X; Fathololoumi, S; Couillard, M; Botton, G A; Mi, Z

    2011-05-11

    Full-color, catalyst-free InGaN/GaN dot-in-a-wire light-emitting diodes (LEDs) were monolithically grown on Si(111) by molecular beam epitaxy, with the emission characteristics controlled by the dot properties in a single epitaxial growth step. With the use of p-type modulation doping in the dot-in-a-wire heterostructures, we have demonstrated the most efficient phosphor-free white LEDs ever reported, which exhibit an internal quantum efficiency of ∼56.8%, nearly unaltered CIE chromaticity coordinates with increasing injection current, and virtually zero efficiency droop at current densities up to ∼640 A/cm(2). The remarkable performance is attributed to the superior three-dimensional carrier confinement provided by the electronically coupled dot-in-a-wire heterostructures, the nearly defect- and strain-free GaN nanowires, and the significantly enhanced hole transport due to the p-type modulation doping.

  14. Analysis of the PEDOT:PSS/Si nanowire hybrid solar cell with a tail state model

    NASA Astrophysics Data System (ADS)

    Ho, Kuan-Ying; Li, Chi-Kang; Syu, Hong-Jhang; Lai, Yi; Lin, Ching-Fuh; Wu, Yuh-Renn

    2016-12-01

    In this paper, the electrical properties of the poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS)/silicon nanowire hybrid solar cell have been analyzed and an optimized structure is proposed. In addition, the planar PEDOT:PSS/c-Si hybrid solar cell is also modeled for comparison. We first developed a simulation software which is capable of modeling organic/inorganic hybrid solar cells by including Gaussian shape density of states into Poisson and drift-diffusion solver to present the tail states and trap states in the organic material. Therefore, the model can handle carrier transport, generation, and recombination in both organic and inorganic materials. Our results show that at the applied voltage near open-circuit voltage (Voc), the recombination rate becomes much higher at the PEDOT:PSS/Si interface region, which limits the fill factor and Voc. Hence, a modified structure with a p-type amorphous silicon (a-Si) layer attached on the interface of Si layer and an n+-type Si layer inserted near the bottom contact are proposed. The highest conversion efficiency of 16.10% can be achieved if both structures are applied.

  15. Fabrication and characterization of Ga-doped ZnO / Si heterojunction nanodiodes

    NASA Astrophysics Data System (ADS)

    Akgul, Guvenc; Akgul, Funda Aksoy

    2017-02-01

    In this study, temperature-dependent electrical properties of n-type Ga-doped ZnO thin film / p-type Si nanowire heterojunction diodes were reported. Metal-assisted chemical etching (MACE) process was performed to fabricate Si nanowires. Ga-doped ZnO films were then deposited onto nanowires through chemical bath deposition (CBD) technique to build three-dimensional nanowire-based heterojunction diodes. Fabricated devices revealed significant diode characteristics in the temperature range of 220 - 360 K. Electrical measurements shown that diodes had a well-defined rectifying behavior with a good rectification ratio of 103 ±3 V at room temperature. Ideality factor (n) were changed from 2.2 to 1.2 with increasing temperature.

  16. Silicon nanowires: electron holography studies of doped p-n junctions and biased Schottky barriers.

    PubMed

    He, Kai; Cho, Jeong-Hyun; Jung, Yeonwoong; Picraux, S Tom; Cumings, John

    2013-03-22

    We report an in situ examination of individual Si p-n junction nanowires (NWs) using off-axis electron holography (EH) during transmission electron microscopy. The SiNWs were synthesized by chemical vapor deposition with an axial dopant profile from n- to p-type, and then placed inside the transmission electron microscope as a cantilever geometry in contact with a movable Pt probe for in situ biasing measurements during simultaneous EH observations. The phase shift from EH indicates the potential shift between the p- and n-segments to be 1.03 ± 0.17 V due to the built-in voltage. The I-V characteristics of a single SiNW indicate the formation of a Schottky barrier between the NW tip and the movable Pt contact. EH observations show a strong concentration of electric field at this contact, preventing a change in the Si energy bands in the p-n junction region due to the applied bias.

  17. Enhancement of Si solar cell efficiency using ZnO nanowires with various diameters

    NASA Astrophysics Data System (ADS)

    Gholizadeh, A.; Reyhani, A.; Parvin, P.; Mortazavi, S. Z.; Mehrabi, M.

    2018-01-01

    Here, Zinc Oxide nanowires are synthesized using thermal chemical vapor deposition of a Zn granulate source and used to enhance a significant Si-solar cell efficiency with simple and low cost method. The nanowires are grown in various O2 flow rates. Those affect the shape, yield, structure and the quality of ZnO nanowires according to scanning electron microscopy and x-ray diffraction analyses. This delineates that the ZnO nanostructure is dependent on the synthesis conditions. The photoluminescence spectroscopy of ZnO indicates optical emission at the Ultra-Violet and blue-green regions whose intensity varies as a function of diameter of ZnO nano-wires. The optical property of ZnO layer is measured by UV-visible and diffuse reflection spectroscopy that demonstrate high absorbance at 280-550 nm. Furthermore, the photovoltaic characterization of ZnO nanowires is investigated based on the drop casting on Si-solar cell. The ZnO nanowires with various diameters demonstrate different effects on the efficiency of Si-solar cells. We have shown that the reduction of the spectral reflectance and down-shifting process as well as the reduction of photon trapping are essential parameters on the efficiency of Si-solar cells. However, the latter is dominated here. In fact, the trapped photons during the electron-hole generation are dominant due to lessening the absorption rate in ZnO nano-wires. The results indicate that the mean diameters reduction of ZnO nanowires is also essential to improve the fill factor. The external and internal quantum efficiency analyses attest the efficiency improvement over the blue region which is related to the key parameters above.

  18. Synthesis and excellent field emission properties of three-dimensional branched GaN nanowire homostructures

    NASA Astrophysics Data System (ADS)

    Li, Enling; Sun, Lihe; Cui, Zhen; Ma, Deming; Shi, Wei; Wang, Xiaolin

    2016-10-01

    Three-dimensional branched GaN nanowire homostructures have been synthesized on the Si substrate via a two-step approach by chemical vapor deposition. Structural characterization reveals that the single crystal GaN nanowire trunks have hexagonal wurtzite characteristics and grow along the [0001] direction, while the homoepitaxial single crystal branches grow in a radial direction from the six-sided surfaces of the trunks. The field emission measurements demonstrate that the branched GaN nanowire homostructures have excellent field emission properties, with low turn-on field at 2.35 V/μm, a high field enhancement factor of 2938, and long emission current stability. This indicates that the present branched GaN nanowire homostructures will become valuable for practical field emission applications.

  19. SiC Nanowires Synthesized by Rapidly Heating a Mixture of SiO and Arc-Discharge Plasma Pretreated Carbon Black.

    PubMed

    Wang, Feng-Lei; Zhang, Li-Ying; Zhang, Ya-Fei

    2008-11-22

    SiC nanowires have been synthesized at 1,600 degrees C by using a simple and low-cost method in a high-frequency induction furnace. The commercial SiO powder and the arc-discharge plasma pretreated carbon black were mixed and used as the source materials. The heating-up and reaction time is less than half an hour. It was found that most of the nanowires have core-shell SiC/SiO(2) nanostructures. The nucleation, precipitation, and growth processes were discussed in terms of the oxide-assisted cluster-solid mechanism.

  20. SiC Nanowires Synthesized by Rapidly Heating a Mixture of SiO and Arc-Discharge Plasma Pretreated Carbon Black

    PubMed Central

    2009-01-01

    SiC nanowires have been synthesized at 1,600 °C by using a simple and low-cost method in a high-frequency induction furnace. The commercial SiO powder and the arc-discharge plasma pretreated carbon black were mixed and used as the source materials. The heating-up and reaction time is less than half an hour. It was found that most of the nanowires have core-shell SiC/SiO2nanostructures. The nucleation, precipitation, and growth processes were discussed in terms of the oxide-assisted cluster-solid mechanism. PMID:20596456

  1. Mesoscopic Ni particles and nanowires by pulsed electrodeposition into porous Si

    NASA Astrophysics Data System (ADS)

    Michelakaki, E.; Valalaki, K.; G. Nassiopoulou, A.

    2013-04-01

    We report in this article on the formation of mesoscopic Ni particles and filling of continuous Ni nanowires into porous Si layers of thickness in the range of 0.5-4 μm with anisotropic vertical pores of average diameter in the range of 30-45 nm using pulsed electrodeposition from a Ni salt solution. The effect of pulse duration, number of pulses, and total process time on pore filling was investigated for porous Si with different porosities and porous Si layer thicknesses in the above thickness range. Scanning and transmission electron microscopy were used to characterize the samples. It was found that pore filling starts with Ni nucleation and nanoparticle formation at different points of the pore walls along the whole pore length and continues with nanoparticle coalescence to form continuous Ni nanowires that completely fill the pores. The mechanism involved in pore filling is particle nucleation and diffusion-controlled growth of Ni nanoparticles that coalesce to nanowires. From the beginning of the process, a metal film starts to form on the porous Si surface, and its thickness increases with increasing the process time. However, the presence of this film does not impede further pore filling and nanowire formation into the pores. This supports further the diffusion-controlled growth mechanism. Finally, it was demonstrated that full pore filling and continuous Ni nanowire formation were also achieved under direct current electrodeposition, and the results are quite similar to those obtained with pulsed electrodeposition when the same total deposition time is used in both cases.

  2. Strain and stability of ultrathin Ge layers in Si/Ge/Si axial heterojunction nanowires

    DOE PAGES

    Ross, Frances M.; Stach, Eric A.; Wen, Cheng -Yen; ...

    2015-02-05

    The abrupt heterointerfaces in the Si/Ge materials system presents useful possibilities for electronic device engineering because the band structure can be affected by strain induced by the lattice mismatch. In planar layers, heterointerfaces with abrupt composition changes are difficult to realize without introducing misfit dislocations. However, in catalytically grown nanowires, abrupt heterointerfaces can be fabricated by appropriate choice of the catalyst. Here we grow nanowires containing Si/Ge and Si/Ge/Si structures respectively with sub-1nm thick Ge "quantum wells" and we measure the interfacial strain fields using geometric phase analysis. Narrow Ge layers show radial strains of several percent, with a correspondingmore » dilation in the axial direction. Si/Ge interfaces show lattice rotation and curvature of the lattice planes. We conclude that high strains can be achieved, compared to what is possible in planar layers. In addition, we study the stability of these heterostructures under heating and electron beam irradiation. The strain and composition gradients are supposed to the cause of the instability for interdiffusion.« less

  3. Synthesis, fabrication and characterization of Ge/Si axial nanowire heterostructure tunnel FETs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Picraux, Samuel T; Dayeh, Shadi A

    2010-01-01

    Axial Ge/Si heterostructure nanowires allow energy band-edge engineering along the axis of the nanowire, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two advances in the area of heterostructure nanowires and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure nanowires with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these nanowires for high-on currents and suppressed ambipolar behavior. Initial prototype devices resulted in a current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5}more » I{sub on}/I{sub off} ratios. These results demonstrate the potential of such asymmetric heterostructures (both in the semiconductor channel and metal-semiconductor barrier heights) for low-power and high performance electronics.« less

  4. Scalable fabrication of nanowire photonic and electronic circuits using spin-on glass.

    PubMed

    Zimmler, Mariano A; Stichtenoth, Daniel; Ronning, Carsten; Yi, Wei; Narayanamurti, Venkatesh; Voss, Tobias; Capasso, Federico

    2008-06-01

    We present a method which can be used for the mass-fabrication of nanowire photonic and electronic devices based on spin-on glass technology and on the photolithographic definition of independent electrical contacts to the top and the bottom of a nanowire. This method allows for the fabrication of nanowire devices in a reliable, fast, and low cost way, and it can be applied to nanowires with arbitrary cross section and doping type (p and n). We demonstrate this technique by fabricating single-nanowire p-Si(substrate)-n-ZnO(nanowire) heterojunction diodes, which show good rectification properties and, furthermore, which function as ultraviolet light-emitting diodes.

  5. Formation of Different Si3N4 Nanostructures by Salt-Assisted Nitridation.

    PubMed

    Liu, Xiongzhang; Guo, Ran; Zhang, Sengjing; Li, Qingda; Saito, Genki; Yi, Xuemei; Nomura, Takahiro

    2018-04-11

    Silicon nitride (Si 3 N 4 ) products with different nanostructure morphologies and different phases for Si 3 N 4 ceramic with high thermal conductivity were synthesized by a direct nitriding method. NaCl and NH 4 Cl were added to raw Si powders, and the reaction was carried out under a nitrogen gas flow of 100 mL/min. The phase composition and morphologies of the products were systemically characterized by X-ray diffraction, field emission scanning electron microscopy, and high-resolution transmission electron microscopy. At 1450 °C, the NaCl content was 30 wt %, the NH 4 Cl content was 3 wt %, and the maximum α-Si 3 N 4 content was 96 wt %. The process of Si nitridation can be divided into three stages by analyzing the reaction schemes: in the first stage (25-900 °C), NH 4 Cl decomposition and the generation of stacked amorphous Si 3 N 4 occurs; in the second stage (900-1450 °C), NaCl melts and Si 3 N 4 generates; and in the third stage (>1450 °C), α-Si 3 N 4 → β-Si 3 N 4 phase change and the evaporation of NaCl occurs. The products are made of two layers: a thin upper layer of nanowires containing different nanostructures and a lower layer mainly comprising fluffy, blocky, and short needlelike products. The introduction of NaCl and NH 4 Cl facilitated the evaporation of Si powders and the decomposition of Al 2 O 3 from porcelain boat and furnace tube, which resulted in the mixing of N 2 , O 2 , Al 2 O, and Si vapors and generated Al x Si y O z nanowires with rough surfaces and lead to thin Si 3 N 4 nanowires, nanobranches by the vapor-solid (VS), vapor-liquid-solid (VLS), and the double-stage VLS base and VS tip growth mechanisms.

  6. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  7. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  8. Electrical and optical evaluation of n-type doping in In x Ga(1-x)P nanowires.

    PubMed

    Zeng, Xulu; Mourão, Renato T; Otnes, Gaute; Hultin, Olof; Dagytė, Vilgailė; Heurlin, Magnus; Borgström, Magnus T

    2018-06-22

    To harvest the benefits of III-V nanowires in optoelectronic devices, the development of ternary materials with controlled doping is needed. In this work, we performed a systematic study of n-type dopant incorporation in dense In x Ga (1-x) P nanowire arrays using tetraethyl tin (TESn) and hydrogen sulfide (H 2 S) as dopant precursors. The morphology, crystal structure and material composition of the nanowires were characterized by use of scanning electron microscopy, transmission electron microscopy and energy dispersive x-ray analysis. To investigate the electrical properties, the nanowires were broken off from the substrate and mechanically transferred to thermally oxidized silicon substrates, after which electron beam lithography and metal evaporation were used to define electrical contacts to selected nanowires. Electrical characterization, including four-probe resistivity and Hall effect, as well as back-gated field effect measurements, is combined with photoluminescence spectroscopy to achieve a comprehensive evaluation of the carrier concentration in the doped nanowires. We measure a carrier concentration of ∼1 × 10 16 cm -3 in nominally intrinsic nanowires, and the maximum doping level achieved by use of TESn and H 2 S as dopant precursors using our parameters is measured to be ∼2 × 10 18 cm -3 , and ∼1 × 10 19 cm -3 , respectively (by Hall effect measurements). Hence, both TESn and H 2 S are suitable precursors for a wide range of n-doping levels in In x Ga (1-x) P nanowires needed for optoelectronic devices, grown via the vapor-liquid-solid mode.

  9. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  10. Cytocompatibility and cellular internalization mechanisms of SiC/SiO2 nanowires.

    PubMed

    Cacchioli, A; Ravanetti, F; Alinovi, R; Pinelli, S; Rossi, F; Negri, M; Bedogni, E; Campanini, M; Galetti, M; Goldoni, M; Lagonegro, P; Alfieri, R; Bigi, F; Salviati, G

    2014-08-13

    First evidence of in vitro cytocompatibility of SiC/SiO2 core-shell nanowires is reported. Different internalization mechanisms by adenocarcinomic alveolar basal epithelial cells, monocytic cell line derived from an acute monocytic leukemia, breast cancer cells, and normal human dermal fibroblasts are shown. The internalization occurs mainly for macropinocytosis and sporadically by direct penetration in all cell models considered, whereas it occurred for phagocytosis only in monocytic leukemia cells. The cytocompatibility of the nanowires is proved by the analysis of cell proliferation, cell cycle progression, and oxidative stress on the cells treated with NWs as compared to controls. Reactive oxygen species generation was detected as an early event that then quickly run out with a rapid decrease only in adenocarcinomic alveolar basal epithelial and human dermal fibroblasts cells. In all the cell lines, the intracellular presence of NWs induce the same molecular events but to a different extent: peroxidation of membrane lipids and oxidation of proteins. The NWs do not elicit either midterm (72 h) or long-term (10 days) cytotoxic activity leading to irreversible cellular damages or death. Our results are important in view of a possible use of SiC/SiO2 core-shell structures acting as biomolecule-delivery vectors or intracellular electrodes.

  11. One-dimensional Si/Ge nanowires and their heterostructures for multifunctional applications—a review

    NASA Astrophysics Data System (ADS)

    Ray, Samit K.; Katiyar, Ajit K.; Raychaudhuri, Arup K.

    2017-03-01

    Remarkable progress has been made in the field of one-dimensional semiconductor nanostructures for electronic and photonic devices. Group-IV semiconductors and their heterostructures have dominated the years of success in microelectronic industry. However their use in photonic devices is limited since they exhibit poor optical activity due to indirect band gap nature of Si and Ge. Reducing their dimensions below a characteristic length scale of various fundamental parameters like exciton Bohr radius, phonon mean free path, critical size of magnetic domains, exciton diffusion length etc result in the significant modification of bulk properties. In particular, light emission from Si/Ge nanowires due to quantum confinement, strain induced band structure modification and impurity doping may lead to the integration of photonic components with mature silicon CMOS technology in near future. Several promising applications based on Si and Ge nanowires have already been well established and studied, while others are now at the early demonstration stage. The control over various forms of energy and carrier transport through the unconstrained dimension makes Si and Ge nanowires a promising platform to manufacture advanced solid-state devices. This review presents the progress of the research with emphasis on their potential application of Si/Ge nanowires and their heterostructures for electronic, photonic, sensing and energy devices.

  12. Preparation and characterization of CdS/Si coaxial nanowires

    NASA Astrophysics Data System (ADS)

    Fu, X. L.; Li, L. H.; Tang, W. H.

    2006-04-01

    CdS/Si coaxial nanowires were fabricated via a simple one-step thermal evaporation of CdS powder in mass scale. Their crystallinities, general morphologies and detailed microstructures were characterized by using X-ray diffraction, scanning electron microscope, transmission electron microscope and Raman spectra. The CdS core crystallizes in a hexagonal wurtzite structure with lattice constants of a=0.4140 nm and c=0.6719 nm, and the Si shell is amorphous. Five Raman peaks from the CdS core were observed. They are 1LO at 305 cm -1, 2LO at 601 cm -1, A 1-TO at 212 cm -1, E 1-TO at 234 cm -1, and E 2 at 252 cm -1. Photoluminescence measurements show that the nanowires have two emission bands around 510 and 590 nm, which originate from the intrinsic transitions of CdS cores and the amorphous Si shells, respectively.

  13. Silicide Nanowires for Low-Resistance CMOS Transistor Contacts.

    NASA Astrophysics Data System (ADS)

    Zollner, Stefan

    2007-03-01

    Transition metal (TM) silicide nanowires are used as contacts for modern CMOS transistors. (Our smallest wires are ˜20 nm thick and ˜50 nm wide.) While much research on thick TM silicides was conducted long ago, materials perform differently at the nanoscale. For example, the usual phase transformation sequences (e.g., Ni, Ni2Si, NiSi, NiSi2) for the reaction of thick metal films on Si no longer apply to nanostructures, because the surface and interface energies compete with the bulk energy of a given crystal structure. Therefore, a NiSi film will agglomerate into hemispherical droplets of NiSi by annealing before it reaches the lowest-energy (NiSi2) crystalline structure. These dynamics can be tuned by addition of impurities (such as Pt in Ni). The Si surface preparation is also a more important factor for nanowires than for silicidation of thick TM films. Ni nanowires formed on Si surfaces that were cleaned and amorphized by sputtering with Ar ions have a tendency to form NiSi2 pyramids (``spikes'') even at moderate temperatures (˜400^oC), while similar Ni films formed on atomically clean or hydrogen-terminated Si form uniform NiSi nanowires. Another issue affecting TM silicides is the barrier height between the silicide contact and the silicon transistor. For most TM silicides, the Fermi level of the silicide is aligned with the center of the Si band gap. Therefore, silicide contacts experience Schottky barrier heights of around 0.5 eV for both n-type and p-type Si. The resulting contact resistance becomes a significant term for the overall resistance of modern CMOS transistors. Lowering this contact resistance is an important goal in CMOS research. New materials are under investigation (for example PtSi, which has a barrier height of only 0.3 eV to p-type Si). This talk will describe recent results, with special emphasis on characterization techniques and electrical testing useful for the development of silicide nanowires for CMOS contacts. In collaboration

  14. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  15. Superior lithium electroactive mesoporous Si@carbon core-shell nanowires for lithium battery anode material.

    PubMed

    Kim, Hyesun; Cho, Jaephil

    2008-11-01

    Mesoporous Si@carbon core-shell nanowires with a diameter of approximately 6.5 nm were prepared for a lithium battery anode material using a SBA-15 template. As-synthesized nanowires demonstrated excellent first charge capacity of 3163 mA h/g with a Coulombic efficiency of 86% at a rate of 0.2 C (600 mA/g) between 1.5 and 0 V in coin-type half-cells. Moreover, the capacity retention after 80 cycles was 87% and the rate capability at 2 C (6000 mA/g) was 78% the capacity at 0.2 C.

  16. Surface sensitization mechanism on negative electron affinity p-GaN nanowires

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu; Lu, Feifei

    2018-03-01

    The surface sensitization is the key to prepare negative electron affinity photocathode. The thesis emphasizes on the study of surface sensitization mechanism of p-type doping GaN nanowires utilizing first principles based on density function theory. The adsorption energy, work function, dipole moment, geometry structure, electronic structure and optical properties of Mg-doped GaN nanowires surfaces with various coverages of Cs atoms are investigated. The GaN nanowire with Mg doped in core position is taken as the sensitization base. At the initial stage of sensitization, the best adsorption site for Cs atom on GaN nanowire surface is BN, the bridge site of two adjacent N atoms. Surface sensitization generates a p-type internal surface with an n-type surface state, introducing a band bending region which can help reduce surface barrier and work function. With increasing Cs coverage, work functions decrease monotonously and the "Cs-kill" phenomenon disappears. For Cs coverage of 0.75 ML and 1 ML, the corresponding sensitization systems reach negative electron affinity state. Through surface sensitization, the absorption curves are red shifted and the absorption coefficient is cut down. All theoretical calculations can guide the design of negative electron affinity Mg doped GaN nanowires photocathode.

  17. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    PubMed

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  18. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  19. Coupling of semiconductor nanowires with neurons and their interfacial structure.

    PubMed

    Lee, Ki-Young; Shim, Sojung; Kim, Il-Soo; Oh, Hwangyou; Kim, Sunoh; Ahn, Jae-Pyeong; Park, Seung-Han; Rhim, Hyewhon; Choi, Heon-Jin

    2009-12-04

    We report on the compatibility of various nanowires with hippocampal neurons and the structural study of the neuron-nanowire interface. Si, Ge, SiGe, and GaN nanowires are compatible with hippocampal neurons due to their native oxide, but ZnO nanowires are toxic to neuron due to a release of Zn ion. The interfaces of fixed Si nanowire and hippocampal neuron, cross-sectional samples, were prepared by focused ion beam and observed by transmission electron microscopy. The results showed that the processes of neuron were adhered well on the nanowire without cleft.

  20. Electrical and optical evaluation of n-type doping in In x Ga(1‑x)P nanowires

    NASA Astrophysics Data System (ADS)

    Zeng, Xulu; Mourão, Renato T.; Otnes, Gaute; Hultin, Olof; Dagytė, Vilgailė; Heurlin, Magnus; Borgström, Magnus T.

    2018-06-01

    To harvest the benefits of III–V nanowires in optoelectronic devices, the development of ternary materials with controlled doping is needed. In this work, we performed a systematic study of n-type dopant incorporation in dense In x Ga(1‑x)P nanowire arrays using tetraethyl tin (TESn) and hydrogen sulfide (H2S) as dopant precursors. The morphology, crystal structure and material composition of the nanowires were characterized by use of scanning electron microscopy, transmission electron microscopy and energy dispersive x-ray analysis. To investigate the electrical properties, the nanowires were broken off from the substrate and mechanically transferred to thermally oxidized silicon substrates, after which electron beam lithography and metal evaporation were used to define electrical contacts to selected nanowires. Electrical characterization, including four-probe resistivity and Hall effect, as well as back-gated field effect measurements, is combined with photoluminescence spectroscopy to achieve a comprehensive evaluation of the carrier concentration in the doped nanowires. We measure a carrier concentration of ∼1 × 1016 cm‑3 in nominally intrinsic nanowires, and the maximum doping level achieved by use of TESn and H2S as dopant precursors using our parameters is measured to be ∼2 × 1018 cm‑3, and ∼1 × 1019 cm‑3, respectively (by Hall effect measurements). Hence, both TESn and H2S are suitable precursors for a wide range of n-doping levels in In x Ga(1‑x)P nanowires needed for optoelectronic devices, grown via the vapor–liquid–solid mode.

  1. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  2. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces.

    PubMed

    Hong, Ie-Hong; Liao, Yung-Cheng; Tsai, Yung-Feng

    2013-11-05

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process.

  3. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces

    PubMed Central

    2013-01-01

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process. PMID:24188092

  4. Facet-selective nucleation and conformal epitaxy of Ge shells on Si nanowires

    DOE PAGES

    Nguyen, Binh -Minh; Swartzentruber, Brian; Ro, Yun Goo; ...

    2015-10-08

    Knowledge of nanoscale heteroepitaxy is continually evolving as advances in material synthesis reveal new mechanisms that have not been theoretically predicted and are different than what is known about planar structures. In addition to a wide range of potential applications, core/shell nanowire structures offer a useful template to investigate heteroepitaxy at the atomistic scale. We show that the growth of a Ge shell on a Si core can be tuned from the theoretically predicted island growth mode to a conformal, crystalline, and smooth shell by careful adjustment of growth parameters in a narrow growth window that has not been exploredmore » before. In the latter growth mode, Ge adatoms preferentially nucleate islands on the {113} facets of the Si core, which outgrow over the {220} facets. Islands on the low-energy {111} facets appear to have a nucleation delay compared to the {113} islands; however, they eventually coalesce to form a crystalline conformal shell. As a result, synthesis of epitaxial and conformal Si/Ge/Si core/multishell structures enables us to fabricate unique cylindrical ring nanowire field-effect transistors, which we demonstrate to have steeper on/off characteristics than conventional core/shell nanowire transistors.« less

  5. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    NASA Astrophysics Data System (ADS)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  6. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    PubMed Central

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  7. Thermal conductivity of bulk and nanowire Mg₂Si xSn 1–x alloys from first principles

    DOE PAGES

    Li, Wu; Lindsay, L.; Broido, D. A.; ...

    2012-11-29

    The lattice thermal conductivity (κ) of the thermoelectric materials, Mg₂Si, Mg₂Sn, and their alloys, are calculated for bulk and nanowires, without adjustable parameters. We find good agreement with bulk experimental results. For large nanowire diameters, size effects are stronger for the alloy than for the pure compounds. For example, in 200 nm diameter nanowires κ is lower than its bulk value by 30%, 20%, and 20% for Mg₂Si₀.₆Sn₀.₄, Mg₂Si, and Mg₂Sn, respectively. For nanowires less than 20 nm thick, the relative decrease surpasses 50%, and it becomes larger in the pure compounds than in the alloy. At room temperature, κmore » of Mg₂Si xSn 1–x is less sensitive to nanostructuring size effects than Si xGe 1–x, but more sensitive than PbTe xSe 1–x. This suggests that further improvement of Mg₂Si xSn 1–x as a nontoxic thermoelectric may be possible.« less

  8. Hydrothermal synthesis and characterization of Si and Sr co-substituted hydroxyapatite nanowires using strontium containing calcium silicate as precursors.

    PubMed

    Zhang, Na; Zhai, Dong; Chen, Lei; Zou, Zhaoyong; Lin, Kaili; Chang, Jiang

    2014-04-01

    In the absence of any organic surfactants and solvents, the silicon (Si) and strontium (Sr) co-substituted hydroxyapatite [Ca10(PO4)6(OH)2, Si/Sr-HAp] nanowires were synthesized via hydrothermal treatment of the Sr-containing calcium silicate (Sr-CS) powders as the precursors in trisodium phosphate (Na3PO4) aqueous solution. The morphology, phase, chemical compositions, lattice constants and the degradability of the products were characterized. The Si/Sr-HAp nanowires with diameter of about 60nm and up to 2μm in length were obtained after hydrothermal treatment of the Sr-CS precursors. The Sr and Si substitution amount of the HAp nanowires could be well regulated by facile tailoring the Sr substitution level of the precursors and the reaction ratio of the precursor/solution, respectively. The SiO4 tetrahedra and Sr(2+) ions occupied the crystal sites of the HAp, and the lattice constants increased apparently with the increase of the substitution amount. EDS mapping also suggested the uniform distribution of Si and Sr in the synthetic nanowires. Moreover, the Si/Sr-substitution apparently improved the degradability of the HAp materials. Our study suggested that the precursor transformation method provided a facile approach to synthesize the Si/Sr co-substituted HAp nanowires with controllable substitution amount, and the synthetic Si/Sr-HAp nanowires might be used as bioactive materials for hard tissue regeneration applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. Synthesis of polystyrene coated SiC nanowires as fillers in a polyurethane matrix for electromechanical conversion.

    PubMed

    Rybak, Andrzej; Warde, Micheline; Beyou, Emmanuel; Chaumont, Philippe; Bechelany, Mikhael; Brioude, Arnaud; Toury, Bérangère; Cornu, David; Miele, Philippe; Guiffard, Benoit; Seveyrat, Laurence; Guyomar, Daniel

    2010-04-09

    Grafting of polystyrene (PS) from silica coating of silicon carbide nanowires (SiCNWs) has been performed by a two-step nitroxide mediated free radical polymerization (NMP) of styrene. First, an alkoxyamine based on N-tert-butyl-N-(1-diethylphosphono-2,2-dimethylpropyl) nitroxide (DEPN) was covalently attached onto NWs through free surface silanol groups. To immobilize the alkoxyamine initiator on the silica surface, alkoxylamine was formed in situ by the simultaneous reaction of polymerizable acryloxy propyl trimethoxysilane (APTMS), azobis isobutyronitrile (AIBN), and DEPN, which was used as a radical trap. Polystyrene chains with controlled molecular weights and narrow polydispersity were then grown from the alkoxyamine-functionalized NWs surface in the presence of a 'free' sacrificial styrylDEPN alkoxyamine. Both the initiator and polystyrene chains were characterized by FTIR and (13)C solid-state NMR and quantified by TGA. Ensuing nanocomposites were characterized by FEG-SEM, TEM and Raman spectroscopy. EDX analysis performed on functionalized nanowires during FEG-SEM analysis also gave evidence of grafting by a strong increase in the average C/Si atomic ratio. Incorporation of 2 wt% NWs into the polyurethane (PU) matrix has been carried out to prepare homogeneous nanocomposite films. The electric field induced thickness strain response has been investigated for the polystyrene-grafted silica coated SiC NWs (PU-SiC@SiO(2)@PS) nanocomposites and compared to pure polyurethane film and PU-SiC@SiO(2) nanocomposite without polystyrene grafting. At a moderate electric field of 10 V microm(-1), SiC@SiO(2)@PS loading increased the strain level of pure PU by a factor of 2.2. This improvement came partially due to polystyrene grafting since PU-SiC@SiO(2) films showed only a 1.7 times increase. The observed higher strain response of these nanocomposites makes them very attractive for micro-electromechanical applications.

  10. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g-1.

    PubMed

    Kim, Dongheun; Li, Nan; Sheehan, Chris J; Yoo, Jinkyoung

    2018-04-26

    Si/Ge core/shell nanowire heterostructures have been expected to provide high energy and power densities for lithium ion battery anodes due to the large capacity of Si and the high electrical and ionic conductivities of Ge. Although the battery anode performances of Si/Ge core/shell nanowire heterostructures have been characterized, the degradation of Si/Ge core/shell nanowire heterostructures has not been thoroughly investigated. Here we report the compositional and structural changes of the Si/Ge core/shell nanowire heterostructure over cycling of lithiation and delithiation at different charging rates. The Si/Ge core/shell nanowire heterostructure holds the core and shell structure at a charging rate of 0.8 A g-1 up to 50 cycles. On the other hand, compositional intermixing and loss of Si occur at a charging rate of 20 A g-1 within 50 cycles. The operation condition-dependent degradation provides a new aspect of materials research for the development of high performance lithium ion battery anodes with a long cycle life.

  11. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  12. Highly Efficient SERS Nanowire/Ag Composites

    DTIC Science & Technology

    2007-01-01

    Ga2O3 nanowires was performed by the vapor- liquid-solid (VLS) growth in a tube furnace, using Si(100) and Si(111) substrates and a 20 nm Au film3. Ga...Rhodamine 6G/methanol and DNT/methanol dilutions. The Ga2O3 /Ag nanowire composite substrates are shown in Figure 1a. As can be seen, they consist of a...significant improvement over nanosphere-type SERS substrates. Conclusion: Randomly oriented Ga2O3 /Ag nanowire networks have been formed and we

  13. Comparison of GaP nanowires grown from Au and Sn vapor-liquid-solid catalysts as photoelectrode materials

    NASA Astrophysics Data System (ADS)

    Lee, Sudarat; Wen, Wen; Cheek, Quintin; Maldonado, Stephen

    2018-01-01

    Gallium phosphide (GaP) nanowire film electrodes have been prepared via solid sublimation of GaP powder using both gold (Au) and tin (Sn) nanoparticles as the vapor-liquid-solid (VLS) catalysts on Si(1 1 1) and GaP(1 1 1)B substrates. The resultant GaP nanowires are compared and contrasted in terms of structures and photoactivity in photoelectrochemical half cells. Raman spectra implicated a difference in the surface condition of the two types of nanowires. Complete wet etching removal of metallic VLS catalysts from the as-prepared GaP nanowires was possible with Sn catalysts but not with Au catalysts. The photoresponses of both Sn- and Au-seeded GaP nanowire films were collected and examined under 100 mW cm-2 white light illumination. Au-seeded nanowire films exhibited strong n-type characteristics when measured in nonaqueous electrolyte with ferrocene/ferricenium as the redox species while Sn-seeded nanowires showed behavior consistent with degenerate n-type doping.

  14. One step growth of GaN/SiO2 core/shell nanowire in vapor-liquid-solid route by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Barick, B. K.; Yadav, Shivesh; Dhar, S.

    2017-11-01

    GaN/SiO2 core/shell nanowires are grown by cobalt phthalocyanine catalyst assisted vapor-liquid-solid route, in which Si wafer coated with a mixture of gallium and indium is used as the source for Ga and Si and ammonia is used as the precursor for nitrogen and hydrogen. Gallium in the presence of indium and hydrogen, which results from the dissociation of ammonia, forms Si-Ga-In alloy at the growth temperature ∼910 °C. This alloy acts as the source of Si, Ga and In. A detailed study using a variety of characterization tools reveals that these wires, which are several tens of micron long, has a diameter distribution of the core ranging from 20 to 50 nm, while the thickness of the amorphous SiO2 shell layer is about 10 nm. These wires grow along [ 1 0 1 bar 0 ] direction. It has also been observed that the average diameter of these wires decreases, while their density increases as the gallium proportion in the Ga-In mixture is increased.

  15. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Kotekar-Patil, D.; Nguyen, B.-M.; Yoo, J.; Dayeh, S. A.; Frolov, S. M.

    2017-09-01

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry-Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1-3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  16. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotekar-Patil, D.; Nguyen, B-M; Yoo, J.

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry–Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1–3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  17. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    DOE PAGES

    Kotekar-Patil, D.; Nguyen, B-M; Yoo, J.; ...

    2017-09-04

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry–Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1–3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  18. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  19. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  20. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  1. Reflectance and fast polarization dynamics of GaN/Si nanowire ensemble.

    PubMed

    Korona, Krzysztof Piotr; Zytkiewicz, Zbigniew R; Sobanska, Marta; Sosada, Florentyna; Dróżdż, Piotr Andrzej; Klosek, Kamil; Tchutchulashvili, Giorgi

    2018-06-25

    Optical phenomena in high-quality GaN nanowires (NWs) ensemble grown on Si substrate have been studied by reflectance and time-resolved luminescence. Such NWs form a structure that acts as a virtual layer that specifically reflects and polarizes light and can be characterized by an effective refractive index. In fact we have found that the NW ensembles of high NW density (high filling fraction) behave rather like a layer of effective medium described by Maxwell Garnett approximation. Moreover, light extinction and strong depolarization are observed that we assign to scattering and interference of light inside the NW ensemble. The wavelength range of high extinction and depolarization correlates well with transverse localization wavelength estimated for such ensemble of NWs, so we suppose that these effects are due to Anderson localization of light. We also report results of time-resolved measurements of polarization of individual emission centers including free and bound excitons (D0XA, 3.47 eV), inversion domain boundaries (IDB, 3.45eV) and stacking faults (SF, 3.42 eV). The emission of the D0XA and SF lines is polarized perpendicular to GaN c-axis while the 3.45 eV line is polarized along the c-axis what supports hypothesis that this line is emitted from IDBs. Time-dependent depolarization of luminescence is observed during the first 0.1 ns after excitation and is interpreted as the result of interaction of the emission centers with hot particles existing during short time after excitation. . © 2018 IOP Publishing Ltd.

  2. Mechanical behavior enhancement of ZnO nanowire by embedding different nanowires

    NASA Astrophysics Data System (ADS)

    Vazinishayan, Ali; Yang, Shuming; Lambada, Dasaradha Rao; Wang, Yiming

    2018-06-01

    In this work, we employed commercial finite element modeling (FEM) software package ABAQUS to analyze mechanical properties of ZnO nanowire before and after embedding with different kinds of nanowires, having different materials and cross-section models such as Au (circular), Ag (pentagonal) and Si (rectangular) using three point bending technique. The length and diameter of the ZnO nanowire were measured to be 12,280 nm and 103.2 nm, respectively. In addition, Au, Ag and Si nanowires were considered to have the length of 12,280 nm and the diameter of 27 nm. It was found that after embedding Si nanowire with rectangular cross-section into the ZnO nanowire, the distribution of Von Misses stresses criterion, displacement and strain were decreased than the other nanowires embedded. The highest stiffness, the elastic deformation and the high strength against brittle failure have been made by Si nanowire comparison to the Au and Ag nanowires, respectively.

  3. Charge instability in double quantum dots in Ge/Si core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Zarassi, Azarin; Su, Zhaoen; Schwenderling, Jens; Frolov, Sergey M.; Hocevar, Moïra; Nguyen, Binh-Minh; Yoo, Jinkyoung; Dayeh, Shadi A.

    Controlling dephasing times are of great challenge in the studies of spin qubit. Reported long spin coherence time and predicted strong spin-orbit interaction of holes in Ge/Si core/shell nanowires, as well as their weak coupling to very few nuclear spins of these group IV semiconductors, persuade electrical spin control. We have established Pauli spin blockade in gate-tunable quantum dots formed in these nanowires. The g-factor has been measured and evidence of spin-orbit interaction has been observed in the presence of magnetic field. However, electrical control of spins requires considerable stability in the double dot configuration, and imperfectly these dots suffer from poor stability. We report on fabrication modifications on Ge/Si core/shell nanowires, as well as measurement techniques to suppress the charge instabilities and ease the way to study spin-orbit coupling and resolve electric dipole spin resonance.

  4. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  5. Thermal conductivity of hexagonal Si and hexagonal Si nanowires from first-principles

    NASA Astrophysics Data System (ADS)

    Raya-Moreno, Martí; Aramberri, Hugo; Seijas-Bellido, Juan Antonio; Cartoixà, Xavier; Rurali, Riccardo

    2017-07-01

    We calculate the thermal conductivity, κ, of the recently synthesized hexagonal diamond (lonsdaleite) Si using first-principles calculations and solving the Boltzmann Transport Equation. We find values of κ which are around 40% lower than in the common cubic diamond polytype of Si. The trend is similar for [111] Si nanowires, with reductions of the thermal conductivity that are even larger than in the bulk in some diameter range. The Raman active modes are identified, and the role of mid-frequency optical phonons that arise as a consequence of the reduced symmetry of the hexagonal lattice is discussed. We also show briefly that popular classic potentials used in molecular dynamics might not be suited to describe hexagonal polytypes, discussing the case of the Tersoff potential.

  6. p-Type dopant incorporation and surface charge properties of catalyst-free GaN nanowires revealed by micro-Raman scattering and X-ray photoelectron spectroscopy.

    PubMed

    Wang, Q; Liu, X; Kibria, M G; Zhao, S; Nguyen, H P T; Li, K H; Mi, Z; Gonzalez, T; Andrews, M P

    2014-09-07

    Micro-Raman scattering and X-ray photoelectron spectroscopy were employed to investigate Mg-doped GaN nanowires. With the increase of Mg doping level, pronounced Mg-induced local vibrational modes were observed. The evolution of longitudinal optical phonon-plasmon coupled mode, together with detailed X-ray photoelectron spectroscopy studies, show that the near-surface region of nanowires can be transformed from weakly n-type to p-type with the increase of Mg doping.

  7. Ni-silicide growth kinetics in Si and Si/SiO2 core/shell nanowires.

    PubMed

    Ogata, K; Sutter, E; Zhu, X; Hofmann, S

    2011-09-07

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from ∼ 10 to 100 nm is presented. For temperatures between 300 and 440 °C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for (111) orientated SiNWs. In situ TEM silicidation experiments show that NiSi(2) is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.

  8. Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, S.; Sutter, E.; Ogata, K.

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from {approx} 10 to 100 nm is presented. For temperatures between 300 and 440 C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Nimore » flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In situ TEM silicidation experiments show that NiSi{sub 2} is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.« less

  9. Dependence of performance of Si nanowire solar cells on geometry of the nanowires.

    PubMed

    Khan, Firoz; Baek, Seong-Ho; Kim, Jae Hyun

    2014-01-01

    The dependence of performance of silicon nanowires (SiNWs) solar cells on the growth condition of the SiNWs has been described. Metal-assisted electroless etching (MAE) technique has been used to grow SiNWs array. Different concentration of aqueous solution containing AgNO3 and HF for Ag deposition is used. The diameter and density of SiNWs are found to be dependent on concentration of solution used for Ag deposition. The diameter and density of SiNWs have been used to calculate the filling ratio of the SINWs arrays. The filling ratio is increased with increase in AgNO3 concentration, whereas it is decreased with increase in HF concentration. The minimum reflectance value achieved is ~1% for SiNWs of length of ~1.2 μ m in the wavelength range of 300-1000 nm. The performance and diode parameters strongly depend on the geometry of SiNWs. The maximum short circuit current density achieved is 35.6 mA/cm(2). The conversion efficiency of solar cell is 9.73% for SiNWs with length, diameter, and wire density of ~1.2 μ m, ~75 nm, and 90 μ m(-2), respectively.

  10. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  11. Self-limited growth of the CaF nanowire on the Si(5 5 12)-2 × 1 template

    NASA Astrophysics Data System (ADS)

    Kim, Hidong; Duvjir, Ganbat; Dugerjav, Otgonbayar; Li, Huiting; Motlak, Moaaed; Arvisbaatar, Amarmunkh; Seo, Jae M.

    2012-10-01

    The atomic structure and interfacial bonding of the ordered-and-isolated CaF nanowires on Si(5 5 12)-2 × 1 have been disclosed by scanning tunneling microscopy and synchrotron photoemission spectroscopy. Initially, CaF molecules dissociated from thermally deposited CaF2 molecules are adsorbed preferentially on the chain structures of Si(5 5 12)-2 × 1 held at 500 °C. With increasing CaF2 deposition amount, one-dimensional (1D) CaF nanowires composed of (113) and (111) facets are formed. The line density of these CaF nanowires increases as a function of deposition amount. Finally, at a submonolayer coverage, the surface is saturated with these 1D nanowires except for the (225) subunit, while the original period of Si(5 5 12)-2 × 1, 5.35 nm, is preserved. It has been deduced by the present studies that, owing to these preferential adsorption of CaF and facet-dependent growth of a CaF layer within a unit periodic length of Si(5 5 12)-2 × 1, such a self-limited growth of the CaF nanowire with a high aspect ratio becomes possible.

  12. Topological Insulator Bi2Se3/Si-Nanowire-Based p-n Junction Diode for High-Performance Near-Infrared Photodetector.

    PubMed

    Das, Biswajit; Das, Nirmalya S; Sarkar, Samrat; Chatterjee, Biplab K; Chattopadhyay, Kalyan K

    2017-07-12

    Chemically derived topological insulator Bi 2 Se 3 nanoflake/Si nanowire (SiNWs) heterojunctions were fabricated employing all eco-friendly cost-effective chemical route for the first time. X-ray diffraction studies confirmed proper phase formation of Bi 2 Se 3 nanoflakes. The morphological features of the individual components and time-evolved hybrid structures were studied using field emission scanning electron microscope. High resolution transmission electron microscopic studies were performed to investigate the actual nature of junction whereas elemental distributions at junction, along with overall stoichiometry of the samples were analyzed using energy dispersive X-ray studies. Temperature dependent current-voltage characteristics and variation of barrier height and ideality factor was studied between 50 and 300 K. An increase in barrier height and decrease in the ideality factor were observed with increasing temperature for the sample. The rectification ratio (I + /I - ) for SiNWs substrate over pristine Si substrate under dark and near-infrared (NIR) irradiation of 890 nm was found to be 3.63 and 10.44, respectively. Furthermore, opto-electrical characterizations were performed for different light power intensities and highest photo responsivity and detectivity were determined to be 934.1 A/W and 2.30 × 10 13 Jones, respectively. Those values are appreciably higher than previous reports for topological insulator based devices. Thus, this work establishes a hybrid system based on topological insulator Bi 2 Se 3 nanoflake and Si nanowire as the newest efficient candidate for advanced optoelectronic materials.

  13. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  14. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    NASA Astrophysics Data System (ADS)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  15. Robust mode space approach for atomistic modeling of realistically large nanowire transistors

    NASA Astrophysics Data System (ADS)

    Huang, Jun Z.; Ilatikhameneh, Hesameddin; Povolotskyi, Michael; Klimeck, Gerhard

    2018-01-01

    Nanoelectronic transistors have reached 3D length scales in which the number of atoms is countable. Truly atomistic device representations are needed to capture the essential functionalities of the devices. Atomistic quantum transport simulations of realistically extended devices are, however, computationally very demanding. The widely used mode space (MS) approach can significantly reduce the numerical cost, but a good MS basis is usually very hard to obtain for atomistic full-band models. In this work, a robust and parallel algorithm is developed to optimize the MS basis for atomistic nanowires. This enables engineering-level, reliable tight binding non-equilibrium Green's function simulation of nanowire metal-oxide-semiconductor field-effect transistor (MOSFET) with a realistic cross section of 10 nm × 10 nm using a small computer cluster. This approach is applied to compare the performance of InGaAs and Si nanowire n-type MOSFETs (nMOSFETs) with various channel lengths and cross sections. Simulation results with full-band accuracy indicate that InGaAs nanowire nMOSFETs have no drive current advantage over their Si counterparts for cross sections up to about 10 nm × 10 nm.

  16. Optical Control of Internal Electric Fields in Band Gap-Graded InGaN Nanowires

    NASA Astrophysics Data System (ADS)

    Erhard, N.; Sarwar, A. T. M. Golam; Yang, F.; McComb, D. W.; Myers, R. C.; Holleitner, A. W.

    2015-01-01

    InGaN nanowires are suitable building blocks for many future optoelectronic devices. We show that a linear grading of the indium content along the nanowire axis from GaN to InN introduces an internal electric field evoking a photocurrent. Consistent with quantitative band structure simulations we observe a sign change in the measured photocurrent as a function of photon flux. This negative differential photocurrent opens the path to a new type of nanowire-based photodetector. We demonstrate that the photocurrent response of the nanowires is as fast as 1.5 ps.

  17. Alternating-Current InGaN/GaN Tunnel Junction Nanowire White-Light Emitting Diodes.

    PubMed

    Sadaf, S M; Ra, Y-H; Nguyen, H P T; Djavid, M; Mi, Z

    2015-10-14

    The current LED lighting technology relies on the use of a driver to convert alternating current (AC) to low-voltage direct current (DC) power, a resistive p-GaN contact layer to inject positive charge carriers (holes) for blue light emission, and rare-earth doped phosphors to down-convert blue photons into green/red light, which have been identified as some of the major factors limiting the device efficiency, light quality, and cost. Here, we show that multiple-active region phosphor-free InGaN nanowire white LEDs connected through a polarization engineered tunnel junction can fundamentally address the afore-described challenges. Such a p-GaN contact-free LED offers the benefit of carrier regeneration, leading to enhanced light intensity and reduced efficiency droop. Moreover, through the monolithic integration of p-GaN up and p-GaN down nanowire LED structures on the same substrate, we have demonstrated, for the first time, AC operated LEDs on a Si platform, which can operate efficiently in both polarities (positive and negative) of applied voltage.

  18. Vertically aligned p-type single-crystalline GaN nanorod arrays on n-type Si for heterojunction photovoltaic cells.

    PubMed

    Tang, Y B; Chen, Z H; Song, H S; Lee, C S; Cong, H T; Cheng, H M; Zhang, W J; Bello, I; Lee, S T

    2008-12-01

    Vertically aligned Mg-doped GaN nanorods have been epitaxially grown on n-type Si substrate to form a heterostructure for fabricating p-n heterojunction photovoltaic cells. The p-type GaN nanorod/n-Si heterojunction cell shows a well-defined rectifying behavior with a rectification ratio larger than 10(4) in dark. The cell has a high short-circuit photocurrent density of 7.6 mAlcm2 and energy conversion efficiency of 2.73% under AM 1.5G illumination at 100 mW/cm2. Moreover, the nanorod array may be used as an antireflection coating for solar cell applications to effectively reduce light loss due to reflection. This study provides an experimental demonstration for integrating one-dimensional nanostructure arrays with the substrate to directly fabricate heterojunction photovoltaic cells.

  19. Theoretical prediction of a self-forming gallium oxide layer at an n-type GaN/SiO2 interface

    NASA Astrophysics Data System (ADS)

    Chokawa, Kenta; Narita, Tetsuo; Kikuta, Daigo; Kachi, Tetsu; Shiozaki, Koji; Shiraishi, Kenji

    2018-03-01

    We examine the energy band diagram at the n-type GaN (n-GaN)/SiO2 interface and show that electron transfer from n-GaN to SiO2 leads to the formation of negatively charged oxygen vacancies in the SiO2, resulting in the self-formation of an n-GaN/Ga2O3/SiO2 structure. On the other hand, it is difficult to automatically form Ga2O3 at a p-type GaN (p-GaN)/SiO2 interface. This electron-transfer-induced self-formation of Ga2O3 causes an interface dipole, which leads to band bending, resulting in an increase in the conduction band offset between GaN and SiO2. Accordingly, by using this self-forming phenomenon, GaN MOSFETs with lower leakage current can be realized.

  20. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  1. Cross-sectional aspect ratio modulated electronic properties in Si/Ge core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nuo; Lu, Ning; Yao, Yong-Xin

    2013-02-28

    Electronic structures of (4, n) and (m, 4) (the NW has m layers parallel to the {1 1 1} facet and n layers parallel to {1 1 0}) Si/Ge core/shell nanowires (NWs) along the [1 1 2] direction with cross-sectional aspect ratio (m/n) from 0.36 to 2.25 are studied by first-principles calculations. An indirect to direct band gap transition is observed as m/n decreases, and the critical values of m/n and diameter for the transition are also estimated. The size of the band gap also depends on the aspect ratio. These results suggest that m/n plays an important role inmore » modulating the electronic properties of the NWs.« less

  2. Anomalous junctions characterized by Raman spectroscopy in Si{sub x}Ge{sub 1−x} nanowires with axially degraded components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Minggang, E-mail: xiamg@mail.xjtu.edu.cn; Department of Optical Information Science and Technology, School of Science, Xi'an Jiaotong University, 710049; Han, Jinyun

    2014-09-08

    The characterization of junctions in nanowires by high-resolution transmission electron microscopy with spherical aberration correction is tricky and tedious. Many disadvantages also exist, including rigorous sample preparation and structural damage inflicted by high-energy electrons. In this work, we present a simple, low-cost, and non-destructive Raman spectroscopy method of characterizing anomalous junctions in nanowires with axially degraded components. The Raman spectra of Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are studied in detail using a confocal micro-Raman spectrometer. Three Raman peaks (ν{sub Si–Si} = 490 cm{sup −1}, ν{sub Si–Ge} = 400 cm{sup −1}, and ν{sub Ge–Ge} = 284 cm{sup −1}) up-shift with increased Si content. This up-shift originates inmore » the bond compression induced by a confined effect on the radial direction of nanowire. The anomalous junctions in Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are then observed by Raman spectroscopy and verified by transmission electron microscopy energy-dispersive X-ray spectroscopy. The anomalous junctions of Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are due to the vortex flow of inlet SiH{sub 4} and GeH{sub 4} gas in their synthesis. The anomalous junctions can be used as raw materials for fabricating devices with special functions.« less

  3. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    PubMed

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  4. Quantified hole concentration in AlGaN nanowires for high-performance ultraviolet emitters.

    PubMed

    Zhao, Chao; Ebaid, Mohamed; Zhang, Huafan; Priante, Davide; Janjua, Bilal; Zhang, Daliang; Wei, Nini; Alhamoud, Abdullah A; Shakfa, Mohammad Khaled; Ng, Tien Khee; Ooi, Boon S

    2018-06-13

    p-Type doping in wide bandgap and new classes of ultra-wide bandgap materials has long been a scientific and engineering problem. The challenges arise from the large activation energy of dopants and high densities of dislocations in materials. We report here, a significantly enhanced p-type conduction using high-quality AlGaN nanowires. For the first time, the hole concentration in Mg-doped AlGaN nanowires is quantified. The incorporation of Mg into AlGaN was verified by correlation with photoluminescence and Raman measurements. The open-circuit potential measurements further confirmed the p-type conductivity, while Mott-Schottky experiments measured a hole concentration of 1.3 × 1019 cm-3. These results from photoelectrochemical measurements allow us to design prototype ultraviolet (UV) light-emitting diodes (LEDs) incorporating the AlGaN quantum-disks-in-nanowire and an optimized p-type AlGaN contact layer for UV-transparency. The ∼335 nm LEDs exhibited a low turn-on voltage of 5 V with a series resistance of 32 Ω, due to the efficient p-type doping of the AlGaN nanowires. The bias-dependent Raman measurements further revealed the negligible self-heating of devices. This study provides an attractive solution to evaluate the electrical properties of AlGaN, which is applicable to other wide bandgap nanostructures. Our results are expected to open doors to new applications for wide and ultra-wide bandgap materials.

  5. The electronic structures of AlN and InN wurtzite nanowires

    NASA Astrophysics Data System (ADS)

    Xiong, Wen; Li, Dong-Xiao

    2017-07-01

    We derive the relations between the analogous seven Luttinger-Kohn parameters and six Rashba-Sheka-Pikus parameters for wurtzite semiconductors, which can be used to investigate the electronic structures of some wurtzite semiconductors such as AlN and InN materials, including their low-dimensional structures. As an example, the electronic structures of AlN and InN nanowires are calculated by using the derived relations and six-band effective-mass k · p theory. Interestingly, it is found that the ground hole state of AlN nanowires is always a pure S state whether the radius R is small (1 nm) or large (6 nm), and the ground hole state only contains | Z 〉 Bloch orbital component. Therefore, AlN nanowires is the ideal low-dimensional material for the production of purely linearly polarized π light, unlike ZnO nanowires, which emits plane-polarized σ light. However, the ground hole state of InN nanowires can be tuned from a pure S state to a mixed P state when the radius R is larger than 2.6 nm, which will make the polarized properties of the lowest optical transition changes from linearly polarized π light to plane-polarized σ light. Meanwhile, the valence band structures of InN nanowires will present strong band-crossings when the radius R increases to 6 nm, and through the detail analysis of possible transitions of InN nanowires at the Γ point, we find some of the neighbor optical transitions are almost degenerate, because the spin-orbit splitting energy of InN material is only 0.001 eV. Therefore, it is concluded that the electronic structures and optical properties of InN nanowires present great differences with that of AlN nanowires.

  6. Growth and characterization of gold catalyzed SiGe nanowires and alternative metal-catalyzed Si nanowires

    PubMed Central

    2011-01-01

    The growth of semiconductor (SC) nanowires (NW) by CVD using Au-catalyzed VLS process has been widely studied over the past few years. Among others SC, it is possible to grow pure Si or SiGe NW thanks to these techniques. Nevertheless, Au could deteriorate the electric properties of SC and the use of other metal catalysts will be mandatory if NW are to be designed for innovating electronic. First, this article's focus will be on SiGe NW's growth using Au catalyst. The authors managed to grow SiGe NW between 350 and 400°C. Ge concentration (x) in Si1-xGex NW has been successfully varied by modifying the gas flow ratio: R = GeH4/(SiH4 + GeH4). Characterization (by Raman spectroscopy and XRD) revealed concentrations varying from 0.2 to 0.46 on NW grown at 375°C, with R varying from 0.05 to 0.15. Second, the results of Si NW growths by CVD using alternatives catalysts such as platinum-, palladium- and nickel-silicides are presented. This study, carried out on a LPCVD furnace, aimed at defining Si NW growth conditions when using such catalysts. Since the growth temperatures investigated are lower than the eutectic temperatures of these Si-metal alloys, VSS growth is expected and observed. Different temperatures and HCl flow rates have been tested with the aim of minimizing 2D growth which induces an important tapering of the NW. Finally, mechanical characterization of single NW has been carried out using an AFM method developed at the LTM. It consists in measuring the deflection of an AFM tip while performing approach-retract curves at various positions along the length of a cantilevered NW. This approach allows the measurement of as-grown single NW's Young modulus and spring constant, and alleviates uncertainties inherent in single point measurement. PMID:21711709

  7. On the structural and electronic properties of Ir-silicide nanowires on Si(001) surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fatima,; Hossain, Sehtab; Mohottige, Rasika

    Iridium (Ir) modified Silicon (Si) (001) surface is studied with Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Density Functional Theory (DFT). A model for Ir-silicide nanowires based on STM images and ab-initio calculations is proposed. According to our model, the Ir adatom is on the top of the substrate dimer row and directly binds to the dimer atoms. I-V curves measured at 77 K shows that the nanowires are metallic. DFT calculations confirm strong metallic nature of the nanowires.

  8. Single n+-i-n+ InP nanowires for highly sensitive terahertz detection.

    PubMed

    Peng, Kun; Parkinson, Patrick; Gao, Qian; Boland, Jessica L; Li, Ziyuan; Wang, Fan; Mokkapati, Sudha; Fu, Lan; Johnston, Michael B; Tan, Hark Hoe; Jagadish, Chennupati

    2017-03-24

    Developing single-nanowire terahertz (THz) electronics and employing them as sub-wavelength components for highly-integrated THz time-domain spectroscopy (THz-TDS) applications is a promising approach to achieve future low-cost, highly integrable and high-resolution THz tools, which are desirable in many areas spanning from security, industry, environmental monitoring and medical diagnostics to fundamental science. In this work, we present the design and growth of n + -i-n + InP nanowires. The axial doping profile of the n + -i-n + InP nanowires has been calibrated and characterized using combined optical and electrical approaches to achieve nanowire devices with low contact resistances, on which the highly-sensitive InP single-nanowire photoconductive THz detectors have been demonstrated. While the n + -i-n + InP nanowire detector has a only pA-level response current, it has a 2.5 times improved signal-to-noise ratio compared with the undoped InP nanowire detector and is comparable to traditional bulk THz detectors. This performance indicates a promising path to nanowire-based THz electronics for future commercial applications.

  9. Tunable violet-blue emission from 3 C-SiC nanowires

    NASA Astrophysics Data System (ADS)

    Zhu, J.; Wu, H.; Chen, H. T.; Wu, X. L.; Xiong, X.

    2009-04-01

    Bulk quantities of straight and curled cubic silicon carbide nanowires (3 C-SiC NWs) are synthesized from the mixture of ZnS, Si, and C powders. The 3 C-SiC NWs are wrapped by amorphous SiO 2 shells with very thin thicknesses of less than 2.0 nm. The deionized water suspension of the as-made NWs shows a photoluminescence (PL) band centered at 548 nm, and a tunable violet-blue photoluminescence is observed as the excitation wavelength increases from 300 to 375 nm after the SiO 2 shell is removed. The PL band at 548 nm relates to the SiO 2 shell. Careful microstructural observation suggests that the tunable PL originates from the quantum confinement effect of 3 C-SiC nanocrystallites with sizes of several nm at the turning of the curled NWs.

  10. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  11. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  12. Universal behavior of surface-dangling bonds in hydrogen-terminated Si, Ge, and Si/Ge nanowires.

    NASA Astrophysics Data System (ADS)

    Nunes, Ricardo; Kagimura, Ricardo; Chacham, Hélio

    2007-03-01

    We report an ab initio study of the electronic properties of surface dangling bond (SDB) states in hydrogen-terminated Si, Ge, and Si/Ge nanowires with diameters between 1 and 2 nm. We find that the charge transition levels ɛ(+/-) of SDB states are deep in the bandgap for Si wires, and shallow (near the valence band edge) for Ge wires. In both Si and Ge wires, the SDB states are localized. We also find that the SDB ɛ(+/-) levels behave as a ``universal" energy reference level among Si, Ge, and Si/Ge wires within a precision of 0.1 eV. By computing the average bewteen the electron affinity and ionization energy in the atomi limit of several atoms from the III, IV and V columns, we conjecture that the universality is a periodic-table atomic property.

  13. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires.

    PubMed

    Song, Erdong; Li, Qiming; Swartzentruber, Brian; Pan, Wei; Wang, George T; Martinez, Julio A

    2016-01-08

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN core of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. Selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.

  14. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm andmore » 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.« less

  15. Si /SiGe n-type resonant tunneling diodes fabricated using in situ hydrogen cleaning

    NASA Astrophysics Data System (ADS)

    Suet, Z.; Paul, D. J.; Zhang, J.; Turner, S. G.

    2007-05-01

    In situ hydrogen cleaning to reduce the surface segregation of n-type dopants in SiGe epitaxy has been used to fabricate Si /SiGe resonant tunneling diodes in a joint gas source chemical vapor deposition and molecular beam epitaxial system. Diodes fabricated without the in situ clean demonstrate linear current-voltage characteristics, while a 15min hydrogen clean produces negative differential resistance with peak-to-valley current ratios up to 2.2 and peak current densities of 5.0A/cm2 at 30K. Analysis of the valley current and the band structure of the devices suggest methods for increasing the operating temperature of Si /SiGe resonant tunneling diodes as required for applications.

  16. Controlling the width of self-assembled dysprosium silicide nanowires on the Si(001) surface.

    PubMed

    Cui, Y; Chung, J; Nogami, J

    2012-02-01

    We present STM data that show that it is possible to use a metal induced 2 × 7 reconstruction of Si(001) to narrow the width distribution of Dy silicide nanowires. This behavior is distinct from the effect of the 7 × 7 reconstruction on the Si(111) surface, where the 7 × 7 serves as a static template and the deposited metal avoids the unit cell boundaries on the substrate. In this case, the 2 × 7 is a dynamic template, and the nanowires nucleate at anti-phase boundaries between 2 × 7 reconstruction domains.

  17. Discrete impurity band from surface danging bonds in nitrogen and phosphorus doped SiC nanowires

    NASA Astrophysics Data System (ADS)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Cao, Mao-Sheng; Fang, Xiao-Yong

    2018-04-01

    The electronic structure and optical properties of the nitrogen and phosphorus doped silicon carbide nanowires (SiCNWs) are investigated using first-principle calculations based on density functional theory. The results show doping can change the type of the band gap and improve the conductivity. However, the doped SiCNWs form a discrete impurity levels at the Fermi energy, and the dispersion degree decreases with the diameter increasing. In order to reveal the root of this phenomenon, we hydrogenated the doped SiCNWs, found that the surface dangling bonds were saturated, and the discrete impurity levels are degeneracy, which indicates that the discrete impurity band of the doped SiCNWs is derived from the dangling bonds. The surface passivation can degenerate the impurity levels. Therefore, both doping and surface passivation can better improve the photoelectric properties of the SiCNWs. The result can provide additional candidates in producing nano-optoelectronic devices.

  18. High-speed GaN/GaInN nanowire array light-emitting diode on silicon(111).

    PubMed

    Koester, Robert; Sager, Daniel; Quitsch, Wolf-Alexander; Pfingsten, Oliver; Poloczek, Artur; Blumenthal, Sarah; Keller, Gregor; Prost, Werner; Bacher, Gerd; Tegude, Franz-Josef

    2015-04-08

    The high speed on-off performance of GaN-based light-emitting diodes (LEDs) grown in c-plane direction is limited by long carrier lifetimes caused by spontaneous and piezoelectric polarization. This work demonstrates that this limitation can be overcome by m-planar core-shell InGaN/GaN nanowire LEDs grown on Si(111). Time-resolved electroluminescence studies exhibit 90-10% rise- and fall-times of about 220 ps under GHz electrical excitation. The data underline the potential of these devices for optical data communication in polymer fibers and free space.

  19. Ultralow-power non-volatile memory cells based on P(VDF-TrFE) ferroelectric-gate CMOS silicon nanowire channel field-effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2015-07-21

    Nanowire-based ferroelectric-complementary metal-oxide-semiconductor (NW FeCMOS) nonvolatile memory devices were successfully fabricated by utilizing single n- and p-type Si nanowire ferroelectric-gate field effect transistors (NW FeFETs) as individual memory cells. In addition to having the advantages of single channel n- and p-type Si NW FeFET memory, Si NW FeCMOS memory devices exhibit a direct readout voltage and ultralow power consumption. The reading state power consumption of this device is less than 0.1 pW, which is more than 10(5) times lower than the ON-state power consumption of single-channel ferroelectric memory. This result implies that Si NW FeCMOS memory devices are well suited for use in non-volatile memory chips in modern portable electronic devices, especially where low power consumption is critical for energy conservation and long-term use.

  20. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  1. Observation of layered antiferromagnetism in self-assembled parallel NiSi nanowire arrays on Si(110) by spin-polarized scanning tunneling spectromicroscopy

    NASA Astrophysics Data System (ADS)

    Hong, Ie-Hong; Hsu, Hsin-Zan

    2018-03-01

    The layered antiferromagnetism of parallel nanowire (NW) arrays self-assembled on Si(110) have been observed at room temperature by direct imaging of both the topographies and magnetic domains using spin-polarized scanning tunneling microscopy/spectroscopy (SP-STM/STS). The topographic STM images reveal that the self-assembled unidirectional and parallel NiSi NWs grow into the Si(110) substrate along the [\\bar{1}10] direction (i.e. the endotaxial growth) and exhibit multiple-layer growth. The spatially-resolved SP-STS maps show that these parallel NiSi NWs of different heights produce two opposite magnetic domains, depending on the heights of either even or odd layers in the layer stack of the NiSi NWs. This layer-wise antiferromagnetic structure can be attributed to an antiferromagnetic interlayer exchange coupling between the adjacent layers in the multiple-layer NiSi NW with a B2 (CsCl-type) crystal structure. Such an endotaxial heterostructure of parallel magnetic NiSi NW arrays with a layered antiferromagnetic ordering in Si(110) provides a new and important perspective for the development of novel Si-based spintronic nanodevices.

  2. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  3. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Erdong; Li, Qiming; Swartzentruber, Brian

    2015-11-25

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN coremore » of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. As a result, selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.« less

  4. Vertically Aligned and Interconnected SiC Nanowire Networks Leading to Significantly Enhanced Thermal Conductivity of Polymer Composites.

    PubMed

    Yao, Yimin; Zhu, Xiaodong; Zeng, Xiaoliang; Sun, Rong; Xu, Jian-Bin; Wong, Ching-Ping

    2018-03-21

    Efficient heat removal via thermal management materials has become one of the most critical challenges in the development of modern microelectronic devices. However, previously reported polymer composites exhibit limited enhancement of thermal conductivity, even when highly loaded with thermally conductive fillers, because of the lack of efficient heat transfer pathways. Herein, we report vertically aligned and interconnected SiC nanowire (SiCNW) networks as efficient fillers for polymer composites, achieving significantly enhanced thermal conductivity. The SiCNW networks are produced by freeze-casting nanowire aqueous suspensions followed by thermal sintering to consolidate the nanowire junctions, exhibiting a hierarchical architecture in which honeycomb-like SiCNW layers are aligned. The composite obtained by infiltrating SiCNW networks with epoxy resin, at a relatively low SiCNW loading of 2.17 vol %, represents a high through-plane thermal conductivity (1.67 W m -1 K -1 ) compared to the pure matrix, which is equivalent to a significant enhancement of 406.6% per 1 vol % loading. The orderly SiCNW network which can act as a macroscopic expressway for phonon transport is believed to be the main contributor for the excellent thermal performance. This strategy provides insights for the design of high-performance composites with potential to be used in advanced thermal management materials.

  5. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  6. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    NASA Astrophysics Data System (ADS)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  7. Fabrication of ordered NiO coated Si nanowire array films as electrodes for a high performance lithium ion battery.

    PubMed

    Qiu, M C; Yang, L W; Qi, X; Li, Jun; Zhong, J X

    2010-12-01

    Highly ordered NiO coated Si nanowire array films are fabricated as electrodes for a high performance lithium ion battery via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The structures and morphologies of as-prepared films are characterized by X-ray diffraction, scanning electron microscopy, and transmission electron microscopy. When the potential window versus lithium was controlled, the coated NiO can be selected to be electrochemically active to store and release Li+ ions, while highly conductive crystalline Si cores function as nothing more than a stable mechanical support and an efficient electrical conducting pathway. The hybrid nanowire array films exhibit superior cyclic stability and reversible capacity compared to that of NiO nanostructured films. Owing to the ease of large-scale fabrication and superior electrochemical performance, these hybrid nanowire array films will be promising anode materials for high performance lithium-ion batteries.

  8. Nonpolar InGaN/GaN Core-Shell Single Nanowire Lasers.

    PubMed

    Li, Changyi; Wright, Jeremy B; Liu, Sheng; Lu, Ping; Figiel, Jeffrey J; Leung, Benjamin; Chow, Weng W; Brener, Igal; Koleske, Daniel D; Luk, Ting-Shan; Feezell, Daniel F; Brueck, S R J; Wang, George T

    2017-02-08

    We report lasing from nonpolar p-i-n InGaN/GaN multi-quantum well core-shell single-nanowire lasers by optical pumping at room temperature. The nanowire lasers were fabricated using a hybrid approach consisting of a top-down two-step etch process followed by a bottom-up regrowth process, enabling precise geometrical control and high material gain and optical confinement. The modal gain spectra and the gain curves of the core-shell nanowire lasers were measured using micro-photoluminescence and analyzed using the Hakki-Paoli method. Significantly lower lasing thresholds due to high optical gain were measured compared to previously reported semipolar InGaN/GaN core-shell nanowires, despite significantly shorter cavity lengths and reduced active region volume. Mode simulations show that due to the core-shell architecture, annular-shaped modes have higher optical confinement than solid transverse modes. The results show the viability of this p-i-n nonpolar core-shell nanowire architecture, previously investigated for next-generation light-emitting diodes, as low-threshold, coherent UV-visible nanoscale light emitters, and open a route toward monolithic, integrable, electrically injected single-nanowire lasers operating at room temperature.

  9. Nonpolar InGaN/GaN core–shell single nanowire lasers

    DOE PAGES

    Li, Changyi; Wright, Jeremy Benjamin; Liu, Sheng; ...

    2017-01-24

    We report lasing from nonpolar p-i-n InGaN/GaN multi-quantum well core–shell single-nanowire lasers by optical pumping at room temperature. The nanowire lasers were fabricated using a hybrid approach consisting of a top-down two-step etch process followed by a bottom-up regrowth process, enabling precise geometrical control and high material gain and optical confinement. The modal gain spectra and the gain curves of the core–shell nanowire lasers were measured using micro-photoluminescence and analyzed using the Hakki-Paoli method. Significantly lower lasing thresholds due to high optical gain were measured compared to previously reported semipolar InGaN/GaN core–shell nanowires, despite significantly shorter cavity lengths and reducedmore » active region volume. Mode simulations show that due to the core–shell architecture, annular-shaped modes have higher optical confinement than solid transverse modes. Furthermore, the results show the viability of this p-i-n nonpolar core–shell nanowire architecture, previously investigated for next-generation light-emitting diodes, as low-threshold, coherent UV–visible nanoscale light emitters, and open a route toward monolithic, integrable, electrically injected single-nanowire lasers operating at room temperature.« less

  10. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  11. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  12. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  13. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  14. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    de Santiago, F.; Trejo, A.; Miranda, A.; Salazar, F.; Carvajal, E.; Pérez, L. A.; Cruz-Irisson, M.

    2018-05-01

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O2. Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A[B-doped] > E A[Al-doped] > E A[Ga-doped] > E A[undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  15. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  16. Vapor-liquid-solid epitaxial growth of Si 1-xGe x alloy nanowires. Composition dependence on precursor reactivity and morphology control for vertical forests

    DOE PAGES

    Choi, S. G.; Manandhar, P.; Picraux, S. T.

    2015-07-07

    The growth of high-density group IV alloy nanowire forests is critical for exploiting their unique functionalities in many applications. Here, the compositional dependence on precursor reactivity and optimized conditions for vertical growth are studied for Si 1- x Ge x alloy nanowires grown by the vapor-liquid-solid method. The nanowire composition versus gas partial-pressure ratio for germane-silane and germane-disilane precursor combinations is obtained at 350°C over a wide composition range (0.05 ≤ x ≤ 0.98) and a generalized model to predict composition for alloy nanowires is developed based on the relative precursor partial pressures and reactivity ratio. In combination with germane,more » silane provides more precise compositional control at high Ge concentrations (x > 0.7), whereas disilane greatly increases the Si concentration for a given gas ratio and enables more precise alloy compositional control at small Ge concentrations (x < 0.3). Vertically oriented, non-kinking nanowire forest growth on Si (111) substrates is then discussed for silane/germane over a wide range of compositions, with temperature and precursor partial pressure optimized by monitoring the nanowire growth front using in-situ optical reflectance. For high Ge compositions (x ≈ 0.9), a “two-step” growth approach with nucleation at higher temperatures results in nanowires with high-density and uniform vertical orientation. Furthermore, increasing Si content (x ≈ 0.8), the optimal growth window is shifted to higher temperatures, which minimizes nanowire kinking morphologies. For Si-rich Si 1- x Ge x alloys (x ≈ 0.25), vertical nanowire growth is enhanced by single-step, higher-temperature growth at reduced pressures.« less

  17. Wafer-scale high-throughput ordered arrays of Si and coaxial Si/Si(1-x)Ge(x) wires: fabrication, characterization, and photovoltaic application.

    PubMed

    Pan, Caofeng; Luo, Zhixiang; Xu, Chen; Luo, Jun; Liang, Renrong; Zhu, Guang; Wu, Wenzhuo; Guo, Wenxi; Yan, Xingxu; Xu, Jun; Wang, Zhong Lin; Zhu, Jing

    2011-08-23

    We have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-in. wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultrahigh vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate an array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. Inspired by the excellent antireflection properties of the Si/SiGe wire arrays, we built solar cells based on the arrays of these wires containing radial junctions, an example of which exhibits an open circuit voltage (V(oc)) of 650 mV, a short-circuit current density (J(sc)) of 8.38 mA/cm(2), a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion. © 2011 American Chemical Society

  18. Diodes of nanocrystalline SiC on n-/n+-type epitaxial crystalline 6H-SiC

    NASA Astrophysics Data System (ADS)

    Zheng, Junding; Wei, Wensheng; Zhang, Chunxi; He, Mingchang; Li, Chang

    2018-03-01

    The diodes of nanocrystalline SiC on epitaxial crystalline (n-/n+)6H-SiC wafers were investigated, where the (n+)6H-SiC layer was treated as cathode. For the first unit, a heavily boron doped SiC film as anode was directly deposited by plasma enhanced chemical vapor deposition method on the wafer. As to the second one, an intrinsic SiC film was fabricated to insert between the wafer and the SiC anode. The third one included the SiC anode, an intrinsic SiC layer and a lightly phosphorus doped SiC film besides the wafer. Nanocrystallization in the yielded films was illustrated by means of X-ray diffraction, transmission electronic microscope and Raman spectrum respectively. Current vs. voltage traces of the obtained devices were checked to show as rectifying behaviors of semiconductor diodes, the conduction mechanisms were studied. Reverse recovery current waveforms were detected to analyze the recovery performance. The nanocrystalline SiC films in base region of the fabricated diodes are demonstrated as local regions for lifetime control of minority carriers to improve the reverse recovery properties.

  19. Nanowire Photonic Systems

    DTIC Science & Technology

    2009-12-22

    b) From top to bottom, (i) AFM topograph of the p-i-n SiNW, (ii) plot of EFM phase-shift vs . position recorded along the nanowire axis and (iii...c) Current vs . applied voltage curve for a typical SiNW p-i-n junction at room temperature. (d) Current vs . applied reverse voltage data of a p-i...incident laser power. Iph vs . laser power (Figure 3c) measured at 22, 20 and 18 V show linear dependences with slopes of 1.16, 0.94 and 0.72 nA/μW

  20. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires.

    PubMed

    de Santiago, F; Trejo, A; Miranda, A; Salazar, F; Carvajal, E; Pérez, L A; Cruz-Irisson, M

    2018-05-18

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O 2 . Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A [B-doped] > E A [Al-doped] > E A [Ga-doped] > E A [undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O 2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  1. Dual-gate operation and carrier transport in SiGe p–n junction nanowires

    DOE PAGES

    Delker, Collin James; Yoo, Jink Young; Bussmann, Ezra; ...

    2017-10-23

    Here, we investigate carrier transport in silicon–germanium nanowires with an axial p–n junction doping profile by fabricating these wires into transistors that feature separate top gates over each doping segment. By independently biasing each gate, carrier concentrations in the n- and p-side of the wire can be modulated. For these devices, which were fabricated with nickel source–drain electrical contacts, holes are the dominant charge carrier, with more favorable hole injection occurring on the p-side contact. Channel current exhibits greater sensitivity to the n-side gate, and in the reverse biased source–drain configuration, current is limited by the nickel/n-side Schottky contact.

  2. Dual-gate operation and carrier transport in SiGe p–n junction nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Delker, Collin James; Yoo, Jink Young; Bussmann, Ezra

    Here, we investigate carrier transport in silicon–germanium nanowires with an axial p–n junction doping profile by fabricating these wires into transistors that feature separate top gates over each doping segment. By independently biasing each gate, carrier concentrations in the n- and p-side of the wire can be modulated. For these devices, which were fabricated with nickel source–drain electrical contacts, holes are the dominant charge carrier, with more favorable hole injection occurring on the p-side contact. Channel current exhibits greater sensitivity to the n-side gate, and in the reverse biased source–drain configuration, current is limited by the nickel/n-side Schottky contact.

  3. Dual-gate operation and carrier transport in SiGe p-n junction nanowires

    NASA Astrophysics Data System (ADS)

    Delker, C. J.; Yoo, J. Y.; Bussmann, E.; Swartzentruber, B. S.; Harris, C. T.

    2017-11-01

    We investigate carrier transport in silicon-germanium nanowires with an axial p-n junction doping profile by fabricating these wires into transistors that feature separate top gates over each doping segment. By independently biasing each gate, carrier concentrations in the n- and p-side of the wire can be modulated. For these devices, which were fabricated with nickel source-drain electrical contacts, holes are the dominant charge carrier, with more favorable hole injection occurring on the p-side contact. Channel current exhibits greater sensitivity to the n-side gate, and in the reverse biased source-drain configuration, current is limited by the nickel/n-side Schottky contact.

  4. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  5. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  6. Preparation of SiC/SiO2 core-shell nanowires via molten salt mediated carbothermal reduction route

    NASA Astrophysics Data System (ADS)

    Zhang, Ju; Yan, Shuai; Jia, Quanli; Huang, Juntong; Lin, Liangxu; Zhang, Shaowei

    2016-06-01

    The growth of silicon carbide (SiC) crystal generally requires a high temperature, especially when low quality industrial wastes are used as the starting raw materials. In this work, SiC/SiO2 core-shell nanowires (NWs) were synthesized from low cost silica fume and sucrose via a molten salt mediated carbothermal reduction (CR) route. The molten salt was found to be effective in promoting the SiC growth and lowering the synthesis temperature. The resultant NWs exhibited a heterostructure composed of a 3C-SiC core of 100 nm in diameter and a 5-10 nm thick amorphous SiO2 shell layer. The photoluminescence spectrum of the achieved SiC NWs displayed a significant blue shift (a dominant luminescence at round 422 nm), which suggested that they were high quality and could be a promising candidate material for future optoelectronic applications.

  7. Fabrication of arrayed Si nanowire-based nano-floating gate memory devices on flexible plastics.

    PubMed

    Yoon, Changjoon; Jeon, Youngin; Yun, Junggwon; Kim, Sangsig

    2012-01-01

    Arrayed Si nanowire (NW)-based nano-floating gate memory (NFGM) devices with Pt nanoparticles (NPs) embedded in Al2O3 gate layers are successfully constructed on flexible plastics by top-down approaches. Ten arrayed Si NW-based NFGM devices are positioned on the first level. Cross-linked poly-4-vinylphenol (PVP) layers are spin-coated on them as isolation layers between the first and second level, and another ten devices are stacked on the cross-linked PVP isolation layers. The electrical characteristics of the representative Si NW-based NFGM devices on the first and second levels exhibit threshold voltage shifts, indicating the trapping and detrapping of electrons in their NPs nodes. They have an average threshold voltage shift of 2.5 V with good retention times of more than 5 x 10(4) s. Moreover, most of the devices successfully retain their electrical characteristics after about one thousand bending cycles. These well-arrayed and stacked Si NW-based NFGM devices demonstrate the potential of nanowire-based devices for large-scale integration.

  8. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  9. High density and taper-free boron doped Si{sub 1−x}Ge{sub x} nanowire via two-step growth process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Periwal, Priyanka; Salem, Bassem; Bassani, Franck

    2014-07-01

    The authors study Au catalyzed chemical vapor growth of Si{sub 1−x}Ge{sub x} alloyed nanowires in the presence of diborane, serving as a dopant precursor. Our experiments reveal that introduction of diborane has a significant effect on doping and morphology. Boron exposure poisons the Au catalyst surface, suppresses catalyst activity, and causes significantly tapered wires, as a result of conformal growth. The authors develop here a two-step method to obtain high density and taper-free boron doped Si{sub 1−x}Ge{sub x} alloy nanowires. The two-step process consists of: (1) growth of a small undoped Si{sub 1−x}Ge{sub x} section and (2) introduction of diboranemore » to form a boron doped Si{sub 1−x}Ge{sub x} section. The catalyst preparation step remarkably influences wire yield, quality and morphology. The authors show that dopant-ratio influences wire resistivity and morphology. Resistivity for high boron doped Si{sub 1−x}Ge{sub x} nanowire is 6 mΩ-cm. Four probe measurements show that it is possible to dope Si{sub 1−x}Ge{sub x} alloy nanowires with diborane.« less

  10. A CMOS-Compatible Poly-Si Nanowire Device with Hybrid Sensor/Memory Characteristics for System-on-Chip Applications

    PubMed Central

    Chen, Min-Cheng; Chen, Hao-Yu; Lin, Chia-Yi; Chien, Chao-Hsin; Hsieh, Tsung-Fan; Horng, Jim-Tong; Qiu, Jian-Tai; Huang, Chien-Chao; Ho, Chia-Hua; Yang, Fu-Liang

    2012-01-01

    This paper reports a versatile nano-sensor technology using “top-down” poly-silicon nanowire field-effect transistors (FETs) in the conventional Complementary Metal-Oxide Semiconductor (CMOS)-compatible semiconductor process. The nanowire manufacturing technique reduced nanowire width scaling to 50 nm without use of extra lithography equipment, and exhibited superior device uniformity. These n type polysilicon nanowire FETs have positive pH sensitivity (100 mV/pH) and sensitive deoxyribonucleic acid (DNA) detection ability (100 pM) at normal system operation voltages. Specially designed oxide-nitride-oxide buried oxide nanowire realizes an electrically Vth-adjustable sensor to compensate device variation. These nanowire FETs also enable non-volatile memory application for a large and steady Vth adjustment window (>2 V Programming/Erasing window). The CMOS-compatible manufacturing technique of polysilicon nanowire FETs offers a possible solution for commercial System-on-Chip biosensor application, which enables portable physiology monitoring and in situ recording. PMID:22666012

  11. On the diffraction pattern of bundled rare-earth silicide nanowires on Si(0 0 1).

    PubMed

    Timmer, F; Bahlmann, J; Wollschläger, J

    2017-11-01

    Motivated by the complex diffraction pattern observed for bundled rare-earth silicide nanowires on the Si(0 0 1) surface, we investigate the influence of the width and the spacing distribution of the nanowires on the diffraction pattern. The diffraction pattern of the bundled rare-earth silicide nanowires is analyzed by the binary surface technique applying a kinematic approach to diffraction. Assuming a categorical distribution for the (individual) nanowire size and a Poisson distribution for the size of the spacing between adjacent nanowire-bundles, we are able to determine the parameters of these distributions and derive an expression for the distribution of the nanowire-bundle size. Additionally, the comparison of our simulations to the experimental diffraction pattern reveal that a (1  ×  1)-periodicity on top of the nanowires has to be assumed for a good match.

  12. Hierarchical Branched Vanadium Oxide Nanorod@Si Nanowire Architecture for High Performance Supercapacitors.

    PubMed

    Li, Zhaodong; Wang, Fei; Wang, Xudong

    2017-01-01

    Vanadium oxide (VO x ) nanorods are uniformly synthesized on dense Si nanowire arrays. This 3D hierarchical nanoarchitecture offers a novel high-performance supercapacitor electrode design with significantly improved specific capacitance and high-rate capability. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Porphyrin conjugated SiC/SiOx nanowires for X-ray-excited photodynamic therapy.

    PubMed

    Rossi, F; Bedogni, E; Bigi, F; Rimoldi, T; Cristofolini, L; Pinelli, S; Alinovi, R; Negri, M; Dhanabalan, S C; Attolini, G; Fabbri, F; Goldoni, M; Mutti, A; Benecchi, G; Ghetti, C; Iannotta, S; Salviati, G

    2015-01-05

    The development of innovative nanosystems opens new perspectives for multidisciplinary applications at the frontier between materials science and nanomedicine. Here we present a novel hybrid nanosystem based on cytocompatible inorganic SiC/SiOx core/shell nanowires conjugated via click-chemistry procedures with an organic photosensitizer, a tetracarboxyphenyl porphyrin derivative. We show that this nanosystem is an efficient source of singlet oxygen for cell oxidative stress when irradiated with 6 MV X-Rays at low doses (0.4-2 Gy). The in-vitro clonogenic survival assay on lung adenocarcinoma cells shows that 12 days after irradiation at a dose of 2 Gy, the cell population is reduced by about 75% with respect to control cells. These results demonstrate that our approach is very efficient to enhance radiation therapy effects for cancer treatments.

  14. Porphyrin conjugated SiC/SiOx nanowires for X-ray-excited photodynamic therapy

    NASA Astrophysics Data System (ADS)

    Rossi, F.; Bedogni, E.; Bigi, F.; Rimoldi, T.; Cristofolini, L.; Pinelli, S.; Alinovi, R.; Negri, M.; Dhanabalan, S. C.; Attolini, G.; Fabbri, F.; Goldoni, M.; Mutti, A.; Benecchi, G.; Ghetti, C.; Iannotta, S.; Salviati, G.

    2015-01-01

    The development of innovative nanosystems opens new perspectives for multidisciplinary applications at the frontier between materials science and nanomedicine. Here we present a novel hybrid nanosystem based on cytocompatible inorganic SiC/SiOx core/shell nanowires conjugated via click-chemistry procedures with an organic photosensitizer, a tetracarboxyphenyl porphyrin derivative. We show that this nanosystem is an efficient source of singlet oxygen for cell oxidative stress when irradiated with 6 MV X-Rays at low doses (0.4-2 Gy). The in-vitro clonogenic survival assay on lung adenocarcinoma cells shows that 12 days after irradiation at a dose of 2 Gy, the cell population is reduced by about 75% with respect to control cells. These results demonstrate that our approach is very efficient to enhance radiation therapy effects for cancer treatments.

  15. Fabrication and gas sensing properties of vertically aligned Si nanowires

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Kang, Sung Yong; Choi, Sun-Woo; Kwon, Yong Jung; Choi, Myung Sik; Bang, Jae Hoon; Kim, Sang Sub; Kim, Hyoun Woo

    2018-01-01

    In this study, a peculiar configuration for a gas sensor consisting of vertically aligned silicon nanowires (VA-Si NWs) synthesized by metal-assisted chemical etching (MACE) is reported. Si NWs were prepared via a facile MACE method and subsequent thermal annealing. Etching was performed by generation of silver nanoparticles (Ag NPs) and subsequent etching in HF/H2O2 aqueous solution; the growth conditions were optimized by changing the process parameters. Highly vertically oriented arrays of Si NWs with a straight-line morphology were obtained, and a top-top electrode configuration was applied. The VA-Si NW gas sensor showed good sensing performance, and the VA-Si NWs exhibited a remarkable response (Rg/Ra = 11.5 ∼ 17.1) to H2 gas (10-50 ppm) at 100 °C which was the optimal working temperature. The formation mechanism and gas sensing mechanism of VA-Si NWs are described. The obtained results can suggest new approaches to making inexpensive, versatile, and portable sensors based on Si NWs having a novel top-top electrode structure that are fully compatible with well-developed Si technologies.

  16. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  17. Silicon nanowire Esaki diodes.

    PubMed

    Schmid, Heinz; Bessire, Cedric; Björk, Mikael T; Schenk, Andreas; Riel, Heike

    2012-02-08

    We report on the fabrication and characterization of silicon nanowire tunnel diodes. The silicon nanowires were grown on p-type Si substrates using Au-catalyzed vapor-liquid-solid growth and in situ n-type doping. Electrical measurements reveal Esaki diode characteristics with peak current densities of 3.6 kA/cm(2), peak-to-valley current ratios of up to 4.3, and reverse current densities of up to 300 kA/cm(2) at 0.5 V reverse bias. Strain-dependent current-voltage (I-V) measurements exhibit a decrease of the peak tunnel current with uniaxial tensile stress and an increase of 48% for 1.3 GPa compressive stress along the <111> growth direction, revealing the strain dependence of the Si band structure and thus the tunnel barrier. The contributions of phonons to the indirect tunneling process were probed by conductance measurements at 4.2 K. These measurements show phonon peaks at energies corresponding to the transverse acoustical and transverse optical phonons. In addition, the low-temperature conductance measurements were extended to higher biases to identify potential impurity states in the band gap. The results demonstrate that the most likely impurity, namely, Au from the catalyst particle, is not detectable, a finding that is also supported by the excellent device properties of the Esaki diodes reported here. © 2012 American Chemical Society

  18. Composite films of highly ordered Si nanowires embedded in SiGe0.3 for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Kikuchi, Akiou; Yao, Akifumi; Mori, Isamu; Ono, Takahito; Samukawa, Seiji

    2017-10-01

    We fabricated a high-density array of silicon nanowires (SiNWs) with a diameter of 10 nm embedded in silicon germanium (SiGe0.3) to give a composite thin film for thermoelectric device applications. The SiNW array was first fabricated by bio-template mask and neutral beam etching techniques. The SiNW array was then embedded in SiGe0.3 by thermal chemical vapor deposition. The cross-plane thermal conductivity of the SiNW-SiGe0.3 composite film with a thickness of 100 nm was 3.5 ± 0.3 W/mK in the temperature range of 300-350 K. Moreover, the temperature dependences of the in-plane electrical conductivity and in-plane Seebeck coefficient of the SiNW-SiGe0.3 composite were evaluated. The fabricated SiNW-SiGe0.3 composite film displayed a maximum power factor of 1 × 103 W/m K2 (a Seebeck coefficient of 4.8 × 103 μV/K and an electrical conductivity of 4.4 × 103 S/m) at 873 K. The present high-density SiNW array structure represents a new route to realize practical thermoelectric devices using mature Si processes without any rare metals.

  19. Synthesis and high temperature stability of amorphous Si(B)CN-MWCNT composite nanowires

    NASA Astrophysics Data System (ADS)

    Bhandavat, Romil; Singh, Gurpreet

    2012-02-01

    We demonstrate synthesis of a hybrid nanowire structure consisting of an amorphous polymer-derived silicon boron-carbonitride (Si-B-C-N) shell with a multiwalled carbon nanotube core. This was achieved through a novel process involving preparation of a boron-modified liquid polymeric precursor through a reaction of trimethyl borate and polyureasilazane under atmospheric conditions; followed by conversion of polymer to glass-ceramic on carbon nanotube surfaces through controlled heating. Chemical structure of the polymer was studied by liquid-NMR while evolution of various ceramic phases was studied by Raman spectroscopy, solid-NMR, Fourier transform infrared and X-ray photoelectron spectroscopy. Electron microscopy and X-ray diffraction confirms presence of amorphous Si(B)CN coating on individual nanotubes for all specimen processed below 1400 degree C. Thermogravimetric analysis, followed by TEM revealed high temperature stability of the carbon nanotube core in flowing air up to 1300 degree C.

  20. Enhanced photovoltaic performance of an inclined nanowire array solar cell.

    PubMed

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2015-11-30

    An innovative solar cell based on inclined p-i-n nanowire array is designed and analyzed. The results show that the inclined geometry can sufficiently increase the conversion efficiency of solar cells by enhancing the absorption of light in the active region. By tuning the nanowire array density, nanowire diameter, nanowire length, as well as the proportion of intrinsic region of the inclined nanowire solar cell, a remarkable efficiency in excess of 16% can be obtained in GaAs. Similar results have been obtained in InP and Si nanowire solar cells, demonstrating the universality of the performance enhancement of inclined nanowire arrays.

  1. Near-Infrared Intersubband Photodetection in GaN/AlN Nanowires.

    PubMed

    Lähnemann, Jonas; Ajay, Akhil; Den Hertog, Martien I; Monroy, Eva

    2017-11-08

    Intersubband optoelectronic devices rely on transitions between quantum-confined electron levels in semiconductor heterostructures, which enables infrared (IR) photodetection in the 1-30 μm wavelength window with picosecond response times. Incorporating nanowires as active media could enable an independent control over the electrical cross-section of the device and the optical absorption cross-section. Furthermore, the three-dimensional carrier confinement in nanowire heterostructures opens new possibilities to tune the carrier relaxation time. However, the generation of structural defects and the surface sensitivity of GaAs nanowires have so far hindered the fabrication of nanowire intersubband devices. Here, we report the first demonstration of intersubband photodetection in a nanowire, using GaN nanowires containing a GaN/AlN superlattice absorbing at 1.55 μm. The combination of spectral photocurrent measurements with 8-band k·p calculations of the electronic structure supports the interpretation of the result as intersubband photodetection in these extremely short-period superlattices. We observe a linear dependence of the photocurrent with the incident illumination power, which confirms the insensitivity of the intersubband process to surface states and highlights how architectures featuring large surface-to-volume ratios are suitable as intersubband photodetectors. Our analysis of the photocurrent characteristics points out routes for an improvement of the device performance. This first nanowire based intersubband photodetector represents a technological breakthrough that paves the way to a powerful device platform with potential for ultrafast, ultrasensitive photodetectors and highly efficient quantum cascade emitters with improved thermal stability.

  2. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  3. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  4. Si NW network by Ag nanoparticle assisted etching and TiO2/Si NWs as photodetector

    NASA Astrophysics Data System (ADS)

    Bhowmik, Kishan; Mondal, Aniruddha

    2015-03-01

    Glancing angle deposited silver (Ag) nanoparticles (NPs) were employed to fabricate the silicon (Si) nanowire (NW) network on p-type Si substrate. The Si NWs were characterized by X-ray diffraction, which shows the (311) oriented single crystalline nature. The FEG-SEM images show that the nanowire diameters are in the order of 60-180 nm. The photoluminescence emission at 525 nm was recognized from the Si NWs. The Ag-TiO2 contacts exhibit Schottky behavior and higher photoconduction was observed for TiO2-Si NW detector than that of TiO2 Thin film under illumination up to 2.5 V applied potential. A threefold enhanced photodetection for the Silicon nanowire device was observed compared to the TiO2 thin film device, under applied voltages of 0.4-1.5 V. [Figure not available: see fulltext.

  5. A spot laser modulated resistance switching effect observed on n-type Mn-doped ZnO/SiO2/Si structure.

    PubMed

    Lu, Jing; Tu, Xinglong; Yin, Guilin; Wang, Hui; He, Dannong

    2017-11-09

    In this work, a spot laser modulated resistance switching (RS) effect is firstly observed on n-type Mn-doped ZnO/SiO 2 /Si structure by growing n-type Mn-doped ZnO film on Si wafer covered with a 1.2 nm native SiO 2 , which has a resistivity in the range of 50-80 Ω∙cm. The I-V curve obtained in dark condition evidences the structure a rectifying junction, which is further confirmed by placing external bias. Compared to the resistance state modulated by electric field only in dark (without illumination), the switching voltage driving the resistance state of the structure from one state to the other, shows clear shift under a spot laser illumination. Remarkably, the switching voltage shift shows a dual dependence on the illumination position and power of the spot laser. We ascribe this dual dependence to the electric filed produced by the redistribution of photo-generated carriers, which enhance the internal barrier of the hetero-junction. A complete theoretical analysis based on junction current and diffusion equation is presented. The dependence of the switching voltage on spot laser illumination makes the n-type Mn-doped ZnO/SiO 2 /Si structure sensitive to light, which thus allows for the integration of an extra functionality in the ZnO-based photoelectric device.

  6. Growth and characterization of dilute nitride GaN{sub x}P{sub 1−x} nanowires and GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowires on Si (111) by gas source molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sukrittanon, S.; Kuang, Y. J.; Dobrovolsky, A.

    2014-08-18

    We have demonstrated self-catalyzed GaN{sub x}P{sub 1−x} and GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowire growth by gas-source molecular beam epitaxy. The growth window for GaN{sub x}P{sub 1−x} nanowires was observed to be comparable to that of GaP nanowires (∼585 °C to ∼615 °C). Transmission electron microscopy showed a mixture of cubic zincblende phase and hexagonal wurtzite phase along the [111] growth direction in GaN{sub x}P{sub 1−x} nanowires. A temperature-dependent photoluminescence (PL) study performed on GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowires exhibited an S-shape dependence of the PL peaks. This suggests that at low temperature, the emission stems from N-related localizedmore » states below the conduction band edge in the shell, while at high temperature, the emission stems from band-to-band transition in the shell as well as recombination in the GaN{sub x}P{sub 1−x} core.« less

  7. High Mobility SiGe/Si n-Type Structures and Field Effect Transistors on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Ponchak, George E.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    SiGe/Si n-type modulation doped field effect transistors (MODFETs) fabricated on sapphire substrates have been characterized at microwave frequencies for the first time. The highest measured room temperature electron mobility is 1380 sq cm/V-sec at a carrier density of 1.8 x 10(exp 12)/sq cm for a MODFET structure, and 900 sq cm/V-sec at a carrier density of 1.3 x 10/sq cm for a phosphorus ion implanted sample. A two finger, 2 x 200 micron gate n-MODFET has a peak transconductance of 37 mS/mm at a drain to source voltage of 2.5 V and a transducer gain of 6.4 dB at 1 GHz.

  8. A new approach of the synthesis of SiO 2 nanowires by using bulk copper foils as catalyst

    DOE PAGES

    Gomez-Martinez, A.; Márquez, F.; Morant, C.

    2016-06-22

    In this paper, a novel procedure for the growth of SiO 2 nanowires (SiO 2NWs) directly from polycrystalline copper foils is reported. The single-step synthesis procedure consists of a thermal treatment at 900°C without the need for additional catalysts. As a result, nanowires with an average diameter of 100 nm are synthesized. A systematic study undertaken at different stages of the SiO 2NWs growth confirmed the generation of nucleation centers on the Cu surface, as well as revealed the existence of an intermediate gaseous SiO species at the synthesis temperature. Lastly, on the basis of these evidences, the vapor-liquid-solid (VLS)more » route has been proposed as the mechanism responsible for the growth.« less

  9. Current-driven dynamics of skyrmions stabilized in MnSi nanowires revealed by topological Hall effect

    PubMed Central

    Liang, Dong; DeGrave, John P.; Stolt, Matthew J.; Tokura, Yoshinori; Jin, Song

    2015-01-01

    Skyrmions hold promise for next-generation magnetic storage as their nanoscale dimensions may enable high information storage density and their low threshold for current-driven motion may enable ultra-low energy consumption. Skyrmion-hosting nanowires not only serve as a natural platform for magnetic racetrack memory devices but also stabilize skyrmions. Here we use the topological Hall effect (THE) to study phase stability and current-driven dynamics of skyrmions in MnSi nanowires. THE is observed in an extended magnetic field-temperature window (15–30 K), suggesting stabilization of skyrmions in nanowires compared with the bulk. Furthermore, we show in nanowires that under the high current density of 108–109 A m−2, the THE decreases with increasing current densities, which demonstrates the current-driven motion of skyrmions generating the emergent electric field in the extended skyrmion phase region. These results open up the exploration of skyrmions in nanowires for fundamental physics and magnetic storage technologies. PMID:26400204

  10. Improved electrical properties of n-type SiGe alloys

    NASA Technical Reports Server (NTRS)

    Scoville, A. N.; Bajgar, Clara; Vandersande, Jan; Fleurial, Jean-Pierre

    1992-01-01

    The effect of changes in the carrier concentration and mobility for heavily doped n-type SiGe on the electrical power factor has been investigated. It has been shown that power factors of 37-40 microV/cm-K-squared can be achieved with carrier concentrations of 2.0 - 2.5 x 10 exp 20/cu cm and mobilities of 38-40 sq cm/V-sec. Many samples with suitable carrier concentration do not have high mobilities and some rationale for this behavior is presented. Initial results are presented on fabrication of n-type samples from ultrafine powders. The emphasis in this work is to achieve thermal conductivity reductions by adding inert particles to scatter midfrequency phonons.

  11. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  12. Stable and High Piezoelectric Output of GaN Nanowire-Based Lead-Free Piezoelectric Nanogenerator by Suppression of Internal Screening.

    PubMed

    Johar, Muhammad Ali; Hassan, Mostafa Afifi; Waseem, Aadil; Ha, Jun-Seok; Lee, June Key; Ryu, Sang-Wan

    2018-06-14

    A piezoelectric nanogenerator (PNG) that is based on c-axis GaN nanowires is fabricated on flexible substrate. In this regard, c-axis GaN nanowires were grown on GaN substrate using the vapor-liquid-solid (VLS) technique by metal organic chemical vapor deposition. Further, Polydimethylsiloxane (PDMS) was coated on nanowire-arrays then PDMS matrix embedded with GaN nanowire-arrays was transferred on Si-rubber substrate. The piezoelectric performance of nanowire-based flexible PNG was measured, while the device was actuated using a cyclic stretching-releasing agitation mechanism that was driven by a linear motor. The piezoelectric output was measured as a function of actuation frequency ranging from 1 Hz to 10 Hz and a linear tendency was observed for piezoelectric output current, while the output voltages remained constant. A maximum of piezoelectric open circuit voltages and short circuit current were measured 15.4 V and 85.6 nA, respectively. In order to evaluate the feasibility of our flexible PNG for real application, a long term stability test was performed for 20,000 cycles and the device performance was degraded by less than 18%. The underlying reason for the high piezoelectric output was attributed to the reduced free carriers inside nanowires due to surface Fermi-level pinning and insulating metal-dielectric-semiconductor interface, respectively; the former reduced the free carrier screening radially while latter reduced longitudinally. The flexibility and the high aspect ratio of GaN nanowire were the responsible factors for higher stability. Such higher piezoelectric output and the novel design make our device more promising for the diverse range of real applications.

  13. Waveguide integrated low noise NbTiN nanowire single-photon detectors with milli-Hz dark count rate

    PubMed Central

    Schuck, Carsten; Pernice, Wolfram H. P.; Tang, Hong X.

    2013-01-01

    Superconducting nanowire single-photon detectors are an ideal match for integrated quantum photonic circuits due to their high detection efficiency for telecom wavelength photons. Quantum optical technology also requires single-photon detection with low dark count rate and high timing accuracy. Here we present very low noise superconducting nanowire single-photon detectors based on NbTiN thin films patterned directly on top of Si3N4 waveguides. We systematically investigate a large variety of detector designs and characterize their detection noise performance. Milli-Hz dark count rates are demonstrated over the entire operating range of the nanowire detectors which also feature low timing jitter. The ultra-low dark count rate, in combination with the high detection efficiency inherent to our travelling wave detector geometry, gives rise to a measured noise equivalent power at the 10−20 W/Hz1/2 level. PMID:23714696

  14. Electrochemical properties of high-power supercapacitors using ordered NiO coated Si nanowire array electrodes

    NASA Astrophysics Data System (ADS)

    Lu, Fang; Qiu, Mengchun; Qi, Xiang; Yang, Liwen; Yin, Jinjie; Hao, Guolin; Feng, Xiang; Li, Jun; Zhong, Jianxin

    2011-08-01

    Highly ordered NiO coated Si nanowire arrays are fabricated as electrode materials for electrochemical supercapacitors (ES) via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The electrochemical tests reveal that the constructed electrode has superior electrical conductibility and more active sites per unit area for chemical reaction processes, thereby possessing good cycle stability, high specific capacity, and low internal resistance. The specific capacity is up to 787.5 F g-1 at a discharge current of 2.5 mA and decreases slightly with 4.039% loss after 500 cycles, while the equivalent internal resistance is ˜3.067 Ω. Owing to its favorable electrochemical performance, this ordered hybrid array nanostructure is a promising electrode material in future commercial ES.

  15. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  16. Direct Electrical Probing of Periodic Modulation of Zinc-Dopant Distributions in Planar Gallium Arsenide Nanowires.

    PubMed

    Choi, Wonsik; Seabron, Eric; Mohseni, Parsian K; Kim, Jeong Dong; Gokus, Tobias; Cernescu, Adrian; Pochet, Pascal; Johnson, Harley T; Wilson, William L; Li, Xiuling

    2017-02-28

    Selective lateral epitaxial (SLE) semiconductor nanowires (NWs), with their perfect in-plane epitaxial alignment, ability to form lateral complex p-n junctions in situ, and compatibility with planar processing, are a distinctive platform for next-generation device development. However, the incorporation and distribution of impurity dopants in these planar NWs via the vapor-liquid-solid growth mechanism remain relatively unexplored. Here, we present a detailed study of SLE planar GaAs NWs containing multiple alternating axial segments doped with Si and Zn impurities by metalorganic chemical vapor deposition. The dopant profile of the lateral multi-p-n junction GaAs NWs was imaged simultaneously with nanowire topography using scanning microwave impedance microscopy and correlated with infrared scattering-type near-field optical microscopy. Our results provide unambiguous evidence that Zn dopants in the periodically twinned and topologically corrugated p-type segments are preferentially segregated at twin plane boundaries, while Si impurity atoms are uniformly distributed within the n-type segments of the NWs. These results are further supported by microwave impedance modulation microscopy. The density functional theory based modeling shows that the presence of Zn dopant atoms reduces the formation energy of these twin planes, and the effect becomes significantly stronger with a slight increase of Zn concentration. This implies that the twin formation is expected to appear when a threshold planar concentration of Zn is achieved, making the onset and twin periodicity dependent on both Zn concentration and nanowire diameter, in perfect agreement with our experimental observations.

  17. Inhibition of quantum size effects from surface dangling bonds: The first principles study on different morphology SiC nanowires

    NASA Astrophysics Data System (ADS)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Fang, Xiao-Yong; Jia, Ya-Hui; Cao, Mao-Sheng

    2018-06-01

    In recent years, we investigated the structure and photoelectric properties of Silicon carbide nanowires (SiCNWs) with different morphologies and sizes by using the first-principle in density functional theory, and found a phenomenon that is opposite to quantum size effect, namely, the band gap of nanowires increases with the increase of the diameter. To reveal the nature of this phenomenon, we further carry out the passivation of SiCNWs. The results show that the hydrogenated SiCNWs are direct band gap semiconductors, and the band gap decreases with the diameter increasing, which indicates the dangling bonds of the SiCNWs suppress its quantum size effect. The optical properties of SiCNWs with different diameters before and after hydrogenated are compared, we found that these surface dangling bonds lead to spectral shift which is different with quantum size effect of SiCNWs. These results have potential scientific value to deepen the understanding of the photoelectric properties of SiCNWs and to promote the development of optoelectronic devices.

  18. Low-Temperature Molten-Salt Production of Silicon Nanowires by the Electrochemical Reduction of CaSiO3.

    PubMed

    Dong, Yifan; Slade, Tyler; Stolt, Matthew J; Li, Linsen; Girard, Steven N; Mai, Liqiang; Jin, Song

    2017-11-13

    Silicon is an extremely important technological material, but its current industrial production by the carbothermic reduction of SiO 2 is energy intensive and generates CO 2 emissions. Herein, we developed a more sustainable method to produce silicon nanowires (Si NWs) in bulk quantities through the direct electrochemical reduction of CaSiO 3 , an abundant and inexpensive Si source soluble in molten salts, at a low temperature of 650 °C by using low-melting-point ternary molten salts CaCl 2 -MgCl 2 -NaCl, which still retains high CaSiO 3 solubility, and a supporting electrolyte of CaO, which facilitates the transport of O 2- anions, drastically improves the reaction kinetics, and enables the electrolysis at low temperatures. The Si nanowire product can be used as high-capacity Li-ion battery anode materials with excellent cycling performance. This environmentally friendly strategy for the practical production of Si at lower temperatures can be applied to other molten salt systems and is also promising for waste glass and coal ash recycling. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  20. Low Temperature Ohmic Contact Formation of Ni2Si on N-type 4H-SiC and 6H-SiC

    NASA Technical Reports Server (NTRS)

    Elsamadicy, A. M.; Ila, D.; Zimmerman, R.; Muntele, C.; Evelyn, L.; Muntele, I.; Poker, D. B.; Hensley, D.; Hirvonen, J. K.; Demaree, J. D.; hide

    2001-01-01

    Nickel Silicide (Ni2Si) is investigated as possible ohmic contact to heavily nitrogen-doped N-type 4H-SiC and 6H-SiC. Nickel Silicide was deposited via electron gun with various thicknesses on both Si and C faces of the SiC substrates. The Ni2Si contacts were formed at room temperature as well as at elevated temperatures (400 to 1000 K). Contact resistivities and I-V characteristics were measured at temperatures between 100 and 700 C. To investigate the electric properties, I-V characteristics were studied and the Transmission Line Method (TLM) was used to determine the specific contact resistance for the samples at each annealing temperature. Both Rutherford Backscattering Spectroscopy (RBS) and Auger Electron Spectroscopy (AES) were used for depth profiling of the Ni2Si, Si, and C. X-ray Photoemission Spectroscopy (XPS) was used to study the chemical structure of the Ni2Si/SiC interface.

  1. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  2. Remote p-type Doping in GaSb/InAs Core-shell Nanowires

    PubMed Central

    Ning, Feng; Tang, Li-Ming; Zhang, Yong; Chen, Ke-Qiu

    2015-01-01

    By performing first-principles calculation, we investigated the electronic properties of remotely p-type doping GaSb nanowire by a Zn-doped InAs shell. The results show that for bare zinc-blende (ZB) [111] GaSb/InAs core-shell nanowire the Zn p-type doped InAs shell donates free holes to the non-doped GaSb core nanowire without activation energy, significantly increasing the hole density and mobility of nanowire. For Zn doping in bare ZB [110] GaSb/InAs core-shell nanowire the hole states are compensated by surface states. We also studied the behaviors of remote p-type doing in two-dimensional (2D) GaSb/InAs heterogeneous slabs, and confirmed that the orientation of nanowire side facet is a key factor for achieving high efficient remote p-type doping. PMID:26028535

  3. Al2O3/SiON stack layers for effective surface passivation and anti-reflection of high efficiency n-type c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Thi Thanh Nguyen, Huong; Balaji, Nagarajan; Park, Cheolmin; Triet, Nguyen Minh; Le, Anh Huy Tuan; Lee, Seunghwan; Jeon, Minhan; Oh, Donhyun; Dao, Vinh Ai; Yi, Junsin

    2017-02-01

    Excellent surface passivation and anti-reflection properties of double-stack layers is a prerequisite for high efficiency of n-type c-Si solar cells. The high positive fixed charge (Q f) density of N-rich hydrogenated amorphous silicon nitride (a-SiNx:H) films plays a poor role in boron emitter passivation. The more the refractive index ( n ) of a-SiNx:H is decreased, the more the positive Q f of a-SiNx:H is increased. Hydrogenated amorphous silicon oxynitride (SiON) films possess the properties of amorphous silicon oxide (a-SiOx) and a-SiNx:H with variable n and less positive Q f compared with a-SiNx:H. In this study, we investigated the passivation and anti-reflection properties of Al2O3/SiON stacks. Initially, a SiON layer was deposited by plasma enhanced chemical vapor deposition with variable n and its chemical composition was analyzed by Fourier transform infrared spectroscopy. Then, the SiON layer was deposited as a capping layer on a 10 nm thick Al2O3 layer, and the electrical and optical properties were analyzed. The SiON capping layer with n = 1.47 and a thickness of 70 nm resulted in an interface trap density of 4.74 = 1010 cm-2 eV-1 and Q f of -2.59 = 1012 cm-2 with a substantial improvement in lifetime of 1.52 ms after industrial firing. The incorporation of an Al2O3/SiON stack on the front side of the n-type solar cells results in an energy conversion efficiency of 18.34% compared to the one with Al2O3/a-SiNx:H showing 17.55% efficiency. The short circuit current density and open circuit voltage increase by up to 0.83 mA cm-2 and 12 mV, respectively, compared to the Al2O3/a-SiNx:H stack on the front side of the n-type solar cells due to the good anti-reflection and front side surface passivation.

  4. All-in-one assembly based on 3D-intertangled and cross-jointed architectures of Si/Cu 1D-nanowires for lithium ion batteries

    PubMed Central

    Hwang, Chihyun; Kim, Tae-Hee; Cho, Yoon-Gyo; Kim, Jieun; Song, Hyun-Kon

    2015-01-01

    All-in-one assemblies of separator, electrode and current collector (SECA) for lithium ion batteries are presented by using 1D nanowires of Si and Cu (nwSi and nwCu). Even without binders, integrity of SECA is secured via structural joints based on ductility of Cu as well as entanglement of nwSi and nwCu. By controlling the ratio of the nanowires, the number of contact points and voids accommodating volume expansion of Si active material are tunable. Zero volume expansion and high energy density are simultaneously achievable by the architecture. PMID:25720334

  5. Single-crystalline δ-Ni2Si nanowires with excellent physical properties

    PubMed Central

    2013-01-01

    In this article, we report the synthesis of single-crystalline nickel silicide nanowires (NWs) via chemical vapor deposition method using NiCl2·6H2O as a single-source precursor. Various morphologies of δ-Ni2Si NWs were successfully acquired by controlling the growth conditions. The growth mechanism of the δ-Ni2Si NWs was thoroughly discussed and identified with microscopy studies. Field emission measurements show a low turn-on field (4.12 V/μm), and magnetic property measurements show a classic ferromagnetic characteristic, which demonstrates promising potential applications for field emitters, magnetic storage, and biological cell separation. PMID:23782805

  6. Performance analysis of nanodisk and core/shell/shell-nanowire type III-Nitride heterojunction solar cell for efficient energy harvesting

    NASA Astrophysics Data System (ADS)

    Routray, S. R.; Lenka, T. R.

    2017-11-01

    Now-a-days III-Nitride nanowires with axial (nanodisk) and radial (core/shell/shell-nanowire) junctions are two unique and potential methods for solar energy harvesting adopted by worldwide researchers. In this paper, polarization behavior of GaN/InGaN/GaN junction and its effect on carrier dynamics of nanodisk and CSS-nanowire type solar cells are intensively studied and compared with its planar counterpart by numerical simulations using commercially available Victory TCAD. It is observed that CSS-NW with hexagonal geometrical shapes are robust to detrimental impact of polarization charges and could be good enough to accelerate carrier collection efficiency as compared to nanodisk and planar solar cells. This numerical study provides an innovative aspect of fundamental device physics with respect to polarization charges in CSS-NW and nanodisk type junction towards photovoltaic applications. The internal quantum efficiencies (IQE) are also discussed to evaluate carrier collection mechanisms and recombination losses in each type of junctions of solar cell. Finally, it is interesting to observe a maximum conversion efficiency of 6.46% with 91.6% fill factor from n-GaN/i-In0.1Ga0.9N/p-GaN CSS-nanowire solar cell with an optimized thickness of 180 nm InGaN layer under one Sun AM1.5 illumination.

  7. Large area tunnel oxide passivated rear contact n -type Si solar cells with 21.2% efficiency: Large area tunnel oxide passivated rear contact n -type Si solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tao, Yuguo; Upadhyaya, Vijaykumar; Chen, Chia-Wei

    This paper reports on the implementation of carrier-selective tunnel oxide passivated rear contact for high-efficiency screen-printed large area n-type front junction crystalline Si solar cells. It is shown that the tunnel oxide grown in nitric acid at room temperature (25°C) and capped with n+ polysilicon layer provides excellent rear contact passivation with implied open-circuit voltage iVoc of 714mV and saturation current density J0b of 10.3 fA/cm2 for the back surface field region. The durability of this passivation scheme is also investigated for a back-end high temperature process. In combination with an ion-implanted Al2O3-passivated boron emitter and screen-printed front metal grids,more » this passivated rear contact enabled 21.2% efficient front junction Si solar cells on 239 cm2 commercial grade n-type Czochralski wafers.« less

  8. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  9. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors.

    PubMed

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I; Monroy, Eva

    2018-06-22

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current-voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  10. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors

    NASA Astrophysics Data System (ADS)

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I.; Monroy, Eva

    2018-06-01

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current–voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  11. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  12. Photoelectrochemical response of GaN, InGaN, and GaNP nanowire ensembles

    NASA Astrophysics Data System (ADS)

    Philipps, Jan M.; Hölzel, Sara; Hille, Pascal; Schörmann, Jörg; Chatterjee, Sangam; Buyanova, Irina A.; Eickhoff, Martin; Hofmann, Detlev M.

    2018-05-01

    The photoelectrochemical responses of GaN, GaNP, and InGaN nanowire ensembles are investigated by the electrical bias dependent photoluminescence, photocurrent, and spin trapping experiments. The results are explained in the frame of the surface band bending model. The model is sufficient for InGaN nanowires, but for GaN nanowires the electrochemical etching processes in the anodic regime have to be considered additionally. These processes lead to oxygen rich surface (GaxOy) conditions as evident from energy dispersive X-ray fluorescence. For the GaNP nanowires, a bias dependence of the carrier transfer to the electrolyte is not reflected in the photoluminescence response, which is tentatively ascribed to a different origin of radiative recombination in this material as compared to (In)GaN. The corresponding consequences for the applications of the materials for water splitting or pH-sensing will be discussed.

  13. Sr-doped nanowire modification of Ca-Si-based coatings for improved osteogenic activities and reduced inflammatory reactions

    NASA Astrophysics Data System (ADS)

    Li, Kai; Hu, Dandan; Xie, Youtao; Huang, Liping; Zheng, Xuebin

    2018-02-01

    Biomedical coatings for orthopedic implants should facilitate osseointegration and mitigate implant-induced inflammatory reactions. In our study, Ca-Si coatings with Sr-containing nanowire-like structures (NW-Sr-CS) were achieved via hydrothermal treatment. In order to identify the effect of nanowire-like topography and Sr dopant on the biological properties of Ca-Si-based coatings, the original Ca-Si coating, Ca-Si coatings modified with nanoplate (NP-CS) and similar nanowire-like structure (NW-CS) were fabricated as the control. Surface morphology, phase composition, surface area, zeta potential and ion release of these coatings were characterized. The in vitro osteogenic activities and immunomodulatory properties were evaluated with bone marrow stromal cells (BMSCs) and RAW 264.7 cells, a mouse macrophage cell line. Compared with the CS and NP-CS coatings, the NW-CS coating possessed a larger surface area and pore volume, beneficial protein adsorption, up-regulated the expression levels of integrin β1, Vinculin and focal adhesion kinase and promoted cell spreading. Furthermore, the NW-CS coating significantly enhanced the osteogenic differentiation and mineralization as indicated by the up-regulation of ALP activity, mineralized nodule formation and osteoblastogenesis-related gene expression. With the introduction of Sr, the NW-Sr-CS coatings exerted a greater effect on the BMSC proliferation rate, calcium sensitive receptor gene expression as well as PKC and ERK1/2 phosphorylation. In addition, the Sr-doped coatings significantly up-regulated the ratio of OPG/RANKL in the BMSCs. The NW-Sr-CS coatings could modulate the polarization of macrophages towards the wound-healing M2 phenotype, reduce the mRNA expression levels of pro-inflammatory cytokines (TNF-α, IL-1β, IL-6) and enhance anti-inflammatory cytokines (IL-1ra, IL-10). The Sr-doped nanowire modification may be a valuable approach to enhance osteogenic activities and reduce inflammatory reactions.

  14. EDITORIAL: Nanowires for energy Nanowires for energy

    NASA Astrophysics Data System (ADS)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    dominant lighting technology due to its superior electrical to optical conversion efficiency. A unique LED structure based on CdS is presented by Ye et al [8]. A detailed study by Nguyen et al [9] provides a fundamental understanding of the non-radiative recombination mechanisms in GaN-based white light emitting nanowire diodes grown on Si substrates. Another application of III-nitrides is in photovoltaic devices (solar cells) [10]. InGaN is the only semiconductor alloy whose energy bandgap can be continuously varied across nearly the entire solar spectrum, promising a new generation of solar cells. Another potentially important application for nanowires is the efficient production of H2 from the photocatalytic splitting of water, where the H2 can be used as an energy carrier. Water splitting based on unique nanostructures include Fe2O3 [11], CuS/ZnO [12], and ZnO/Si [13]. Another candidate for photocatalysis, among other applications, is copper oxide nanowires, reviewed by Gregor et al [14]. References [1] Hiralal P, Unalan H E and Amaratunga G A J 2012 Nanotechnology 23 194002 [2] Li J, Yu H and Li Y 2012 Nanotechnology 23 194010 [3] Wang B and Leu P W 2012 Nanotechnology 23 194003 [4] Yu L, O'Donnell B, Foldyna M, and Roca i Cabarrocas P 2012 Nanotechnology 23 194011 [5] Zhang F, Song T and Sun B 2012 Nanotechnology 23 194006 [6] Herman I, Yeo J, Hong S, Lee D, Nam K H, Choi J, Hong W, Lee D, Grigoropoulos C P and Ko S H 2012 Nanotechnology 23 194005 [7] Calestani D, Pattini F, Bissoli F, Gilioli E, Villani M and Zappettini A 2012 Nanotechnology 23 194008 [8] Ye Y, Yu B, Gao Z, Mang H, Zhang H, Dai L and Qin G 2012 Nanotechnology 23 194004 [9] Nguyen H P T, Djavid M, Cui K and Mi Z 2012 Nanotechnology 23 194012 [10] Wierer J J Jr, Li Q, Koleske D D, Lee S R L and Wang G T 2012 Nanotechnology 23 194007 [11] Chernomordik B D, Russell H B, Cvelbar U, Jasinski J B, Kumar V, Deutsch T and Sunkara M K 2012 Nanotechnology 23 194009 [12] Lee M and Yong K 2012 Nanotechnology 23

  15. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  16. Understanding the Vapor-Liquid-Solid and Vapor-Solid-Solid Mechanisms of Si Nanowire Growth to Synthetically Encode Precise Nanoscale Morphology

    NASA Astrophysics Data System (ADS)

    Pinion, Christopher William

    induces a vapor-solid-solid growth mechanism, which provides atomic-level control of dopant atoms in the nanowire. Finally, we explored a promising application of nanowires by investigating the potential for complex silicon nanowires to serve as a platform for next-generation photovoltaic devices. We reviewed the synthesis, electrical, and optical characteristics of core/shell Si nanowires that are sub-wavelength in diameter and contain radial p-n junctions. We highlighted the unique features of these nanowires, such as optical antenna effects that concentrate light and intense built-in electric fields that enable ultrafast charge-carrier separation. Based on these observations we advocate for a paradigm in which nanowires are arranged in periodic horizontal arrays to form ultrathin devices.

  17. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  18. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  19. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  20. Catching the electron in action in real space inside a Ge-Si core-shell nanowire transistor.

    PubMed

    Jaishi, Meghnath; Pati, Ranjit

    2017-09-21

    Catching the electron in action in real space inside a semiconductor Ge-Si core-shell nanowire field effect transistor (FET), which has been demonstrated (J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, Nature, 2006, 441, 489) to outperform the state-of-the-art metal oxide semiconductor FET, is central to gaining unfathomable access into the origin of its functionality. Here, using a quantum transport approach that does not make any assumptions on electronic structure, charge, and potential profile of the device, we unravel the most probable tunneling pathway for electrons in a Ge-Si core-shell nanowire FET with orbital level spatial resolution, which demonstrates gate bias induced decoupling of electron transport between the core and the shell region. Our calculation yields excellent transistor characteristics as noticed in the experiment. Upon increasing the gate bias beyond a threshold value, we observe a rapid drop in drain current resulting in a gate bias driven negative differential resistance behavior and switching in the sign of trans-conductance. We attribute this anomalous behavior in drain current to the gate bias induced modification of the carrier transport pathway from the Ge core to the Si shell region of the nanowire channel. A new experiment involving a four probe junction is proposed to confirm our prediction on gate bias induced decoupling.

  1. Characterization of NiSi nanowires as field emitters and limitations of Fowler-Nordheim model at the nanoscale

    NASA Astrophysics Data System (ADS)

    Belkadi, Amina B.; Gale, E.; Isakovic, A. F.

    2015-03-01

    Nanoscale field emitters are of technological interest because of the anticipated faster turn-on time, better sustainability and compactness. This report focuses on NiSi nanowires as field emitters for two reasons: (a) possible enhancement of field emission in nanoscale field emitters over bulk, and (b) achieving the same field emission properties as in bulk, but at a lower energy cost. To this end, we have grown, fabricated and characterized NiSi nanowires as field emitters. Depending on the geometry of the NiSi nanowires (aspect ratio, shape etc.), the relevant major field emission parameters, such as (1) the turn-on field, (2) the work function, and (3) the field enhancement factor, can be comparable or even superior to other recently explored nanoscale field emitters, such as CdS and ZnO. We also report on a comparative performance of various nanoscale field emitters and on the difficulties in the performance comparison in the light of relatively poor applicability of the standard Folwer-Nordheim model for field emission analysis for the case of the nanoscale field emitters. Proposed modifications are discussed. This work is supported through SRC-ATIC Grant 2011-KJ-2190. We also acknoweldge BNL-CFN and Cornell CNF facilities and staff.

  2. Three-dimensional GaN/AlN nanowire heterostructures by separating nucleation and growth processes.

    PubMed

    Carnevale, Santino D; Yang, Jing; Phillips, Patrick J; Mills, Michael J; Myers, Roberto C

    2011-02-09

    Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.

  3. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  4. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. Band gap narrowing in n-type and p-type 3C-, 2H-, 4H-, 6H-SiC, and Si

    NASA Astrophysics Data System (ADS)

    Persson, C.; Lindefelt, U.; Sernelius, B. E.

    1999-10-01

    Doping-induced energy shifts of the conduction band minimum and the valence band maximum have been calculated for n-type and p-type 3C-, 2H-, 4H-, 6H-SiC, and Si. The narrowing of the fundamental band gap and of the optical band gap are presented as functions of ionized impurity concentration. The calculations go beyond the common parabolic treatments of the ground state energy dispersion by using energy dispersion and overlap integrals from band structure calculations. The nonparabolic valence band curvatures influence strongly the energy shifts especially in p-type materials. The utilized method is based on a zero-temperature Green's function formalism within the random phase approximation with local field correction according to Hubbard. We have parametrized the shifts of the conduction and the valence bands and made comparisons with recently published results from a semi-empirical model.

  6. Controlled synthesis of AlN/GaN multiple quantum well nanowire structures and their optical properties.

    PubMed

    Qian, Fang; Brewster, Megan; Lim, Sung K; Ling, Yichuan; Greene, Christopher; Laboutin, Oleg; Johnson, Jerry W; Gradečak, Silvija; Cao, Yu; Li, Yat

    2012-06-13

    We report the controlled synthesis of AlN/GaN multi-quantum well (MQW) radial nanowire heterostructures by metal-organic chemical vapor deposition. The structure consists of a single-crystal GaN nanowire core and an epitaxially grown (AlN/GaN)(m) (m = 3, 13) MQW shell. Optical excitation of individual MQW nanowires yielded strong, blue-shifted photoluminescence in the range 340-360 nm, with respect to the GaN near band-edge emission at 368.8 nm. Cathodoluminescence analysis on the cross-sectional MQW nanowire samples showed that the blue-shifted ultraviolet luminescence originated from the GaN quantum wells, while the defect-associated yellow luminescence was emitted from the GaN core. Computational simulation provided a quantitative analysis of the mini-band energies in the AlN/GaN superlattices and suggested the observed blue-shifted emission corresponds to the interband transitions between the second subbands of GaN, as a result of quantum confinement and strain effect in these AlN/GaN MQW nanowire structures.

  7. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording

    PubMed Central

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-01-01

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development. PMID:28350370

  8. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording.

    PubMed

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-03-28

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development.

  9. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Llobet, Jordi; Pérez-Murano, Francesc, E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk; Krali, Emiljana

    2015-11-30

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions,more » in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations.« less

  10. Bright photoluminescence from ordered arrays of SiGe nanowires grown on Si(111)

    PubMed Central

    Rowell, N L; Benkouider, A; Ronda, A; Favre, L; Berbezier, I

    2014-01-01

    Summary We report on the optical properties of SiGe nanowires (NWs) grown by molecular beam epitaxy (MBE) in ordered arrays on SiO2/Si(111) substrates. The production method employs Au catalysts with self-limited sizes deposited in SiO2-free sites opened-up in the substrate by focused ion beam patterning for the preferential nucleation and growth of these well-organized NWs. The NWs thus produced have a diameter of 200 nm, a length of 200 nm, and a Ge concentration x = 0.15. Their photoluminescence (PL) spectra were measured at low temperatures (from 6 to 25 K) with excitation at 405 and 458 nm. There are four major features in the energy range of interest (980–1120 meV) at energies of 1040.7, 1082.8, 1092.5, and 1098.5 meV, which are assigned to the NW-transverse optic (TO) Si–Si mode, NW-transverse acoustic (TA), Si–substrate–TO and NW-no-phonon (NP) lines, respectively. From these results the NW TA and TO phonon energies are found to be 15.7 and 57.8 meV, respectively, which agree very well with the values expected for bulk Si1− xGex with x = 0.15, while the measured NW NP energy of 1099 meV would indicate a bulk-like Ge concentration of x = 0.14. Both of these concentrations values, as determined from PL, are in agreement with the target value. The NWs are too large in diameter for a quantum confinement induced energy shift in the band gap. Nevertheless, NW PL is readily observed, indicating that efficient carrier recombination is occurring within the NWs. PMID:25671145

  11. Fabrication of Buried Nanochannels From Nanowire Patterns

    NASA Technical Reports Server (NTRS)

    Choi, Daniel; Yang, Eui-Hyeok

    2007-01-01

    A method of fabricating channels having widths of tens of nanometers in silicon substrates and burying the channels under overlying layers of dielectric materials has been demonstrated. With further refinement, the method might be useful for fabricating nanochannels for manipulation and analysis of large biomolecules at single-molecule resolution. Unlike in prior methods, burying the channels does not involve bonding of flat wafers to the silicon substrates to cover exposed channels in the substrates. Instead, the formation and burying of the channels are accomplished in a more sophisticated process that is less vulnerable to defects in the substrates and less likely to result in clogging of, or leakage from, the channels. In this method, the first step is to establish the channel pattern by forming an array of sacrificial metal nanowires on an SiO2-on-Si substrate. In particular, the wire pattern is made by use of focused-ion-beam (FIB) lithography and a subsequent metallization/lift-off process. The pattern of metal nanowires is then transferred onto the SiO2 layer by reactive-ion etching, which yields sacrificial SiO2 nanowires covered by metal. After removal of the metal covering the SiO2 nanowires, what remains are SiO2 nanowires on an Si substrate. Plasma-enhanced chemical vapor deposition (PECVD) is used to form a layer of a dielectric material over the Si substrate and over the SiO2 wires on the surface of the substrate. FIB milling is then performed to form trenches at both ends of each SiO2 wire. The trenches serve as openings for the entry of chemicals that etch SiO2 much faster than they etch Si. Provided that the nanowires are not so long that the diffusion of the etching chemicals is blocked, the sacrificial SiO2 nanowires become etched out from between the dielectric material and the Si substrate, leaving buried channels. At the time of reporting the information for this article, channels 3 m long, 20 nm deep, and 80 nm wide (see figure) had been

  12. Kinetic manipulation of silicide phase formation in Si nanowire templates.

    PubMed

    Chen, Yu; Lin, Yung-Chen; Zhong, Xing; Cheng, Hung-Chieh; Duan, Xiangfeng; Huang, Yu

    2013-08-14

    The phase formation sequence of silicides in two-dimensional (2-D) structures has been well-investigated due to their significance in microelectronics. Applying high-quality silicides as contacts in nanoscale silicon (Si) devices has caught considerable attention recently for their potential in improving and introducing new functions in nanodevices. However, nucleation and diffusion mechanisms are found to be very different in one-dimensional (1-D) nanostructures, and thus the phase manipulation of silicides is yet to be achieved there. In this work, we report kinetic phase modulations to selectively enhance or hinder the growth rates of targeted nickel (Ni) silicides in a Si nanowire (NW) and demonstrate that Ni31Si12, δ-Ni2Si, θ-Ni2Si, NiSi, and NiSi2 can emerge as the first contacting phase at the silicide/Si interface through these modulations. First, the growth rates of silicides are selectively tuned through template structure modifications. It is demonstrated that the growth rate of diffusion limited phases can be enhanced in a porous Si NW due to a short diffusion path, which suppresses the formation of interface limited NiSi2. In addition, we show that a confining thick shell can be applied around the Si NW to hinder the growth of the silicides with large volume expansion during silicidation, including Ni31Si12, δ-Ni2Si, and θ-Ni2Si. Second, a platinum (Pt) interlayer between the Ni source and the Si NW is shown to effectively suppress the formation of the phases with low Pt solubility, including the dominating NiSi2. Lastly, we show that with the combined applications of the above-mentioned approaches, the lowest resistive NiSi phase can form as the first phase in a solid NW with a Pt interlayer to suppress NiSi2 and a thick shell to hinder Ni31Si12, δ-Ni2Si, and θ-Ni2Si simultaneously. The resistivity and maximum current density of NiSi agree reasonably to reported values.

  13. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  14. Production and evolution of A-centers in n-type Si1-xGex

    NASA Astrophysics Data System (ADS)

    Sgourou, E. N.; Andrianakis, A.; Londos, C. A.; Chroneos, A.

    2013-03-01

    The vacancy-oxygen pair (VO or A-center) in n-type Si1-xGex crystals (x = 0, 0.025, 0.055) has been studied using infrared (IR) spectroscopy. It is determined that the VO production is suppressed in the case of n-type Si1-xGex as compared to Si. It is observed that the annealing temperature of the VO defect in Si1-xGex is substantially lower as compared to Si. The decay of the VO (830 cm-1) band, in the course of 20 min isochronal anneals, shows two stages: The onset of the first stage is at ˜180 °C and the decrease of the VO signal is accompanied in the spectra by the increase of the intensity of two bands at ˜834 and 839 cm-1. These bands appear in the spectra immediately after irradiation and were previously correlated with (VO-Ge) structures. The onset of the second stage occurs at ˜250 °C were the 830 cm-1 band of VO and the above two bands of (VO-Ge) decrease together in the spectra accompanied by the simultaneous growth of the 885 cm-1 band of the VO2 defect. Interestingly, the percentage of the VO pairs that are converted to VO2 defects is larger in the Si1-xGex samples with intermediate Ge content (x = 0.025) as compared with Si (x = 0) and with the high Ge content samples (x = 0.055). The results are discussed in view of the association of VO pairs with Ge.

  15. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  16. Differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires with DFT method

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-05-01

    To investigate the influences of dangling bonds on GaN nanowires surface, the differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires are researched through first-principles study. The GaN nanowires along the [0001] growth direction with diameters of 3.7, 7.5 and 9.5 Å are considered. According to the results, H-saturated GaN nanowires are more stable than the unsaturated ones. With increasing nanowire diameter, unsaturated GaN nanowires become more stable, while the stability of H-saturated GaN nanowires has little change. After geometry optimization, the atomic displacements of unsaturated and H-saturated models are almost reversed. In (0001) crystal plane, Ga atoms tend to move inwards and N atoms tend to move outwards slightly for the unsaturated nanowires, while Ga atoms tend to move outwards and N atoms tend to move inwards slightly for the H-saturated nanowires. Besides, with increasing nanowire diameter, the conduction band minimum of H-saturated nanowire moves to the lower energy side, while that of the unsaturated nanowire changes slightly. The bandgaps of H-saturated nanowires are approaching to bulk GaN as the diameter increases. Absorption curves and reflectivity curves of the unsaturated and H-saturated nanowires exhibit the same trend with the change of energy except the H-saturated models which show larger variations. Through all the calculated results above, we can better understand the effects of dangling bonds on the optoelectronic properties of GaN nanowires and select more proper calculation models and methods for other calculations.

  17. Achieving sub-50 nm controlled diameter of aperiodic Si nanowire arrays by ultrasonic catalyst removal for photonic applications

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Purohit, Zeel; Khanna, Sakshum; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2018-05-01

    We report an alternative approach to fabricate the vertically aligned aperiodic Si nanowire arrays by controlling the diameter of the Ag nanoparticles and tuneable ultrasonic removal. The process begins by sputtering the Ag thin film (t=5 nm) on the Si/SiO2 substrates. Followed by Ag thin film, annealed for various temperature (T=300°C, 400°C, 500°C and 600°C) to selectively achieve a high density, well-spaced and diameter controlled Ag nanoparticles (AgNPs) on the Si/SiO2 substrates. The sacrificial layer of AgNPs size indicates the controlled diameter of the Si nanowire arrays. Image J analysis for various annealed samples gives an indication of the high density, uniformity and equal distribution of closely packed AgNPs. Furthermore, the AgNPs covered with Au/Pd mesh (5 nm) as a template, was removed by ultrasonication in the etchant solution for several times in different intervals of preparation. The conventional and facile metal assisted electroless etching approach was finally employed to fabricate the vertically aperiodic sub-50 nm SiNWAs, can be applicable to various nanoscale opto-electronic applications.

  18. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  19. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  20. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  1. Room-temperature photodetection dynamics of single GaN nanowires.

    PubMed

    González-Posada, F; Songmuang, R; Den Hertog, M; Monroy, E

    2012-01-11

    We report on the photocurrent behavior of single GaN n-i-n nanowires (NWs) grown by plasma-assisted molecular-beam epitaxy on Si(111). These structures present a photoconductive gain in the range of 10(5)-10(8) and an ultraviolet (350 nm) to visible (450 nm) responsivity ratio larger than 6 orders of magnitude. Polarized light couples with the NW geometry with a maximum photoresponse for polarization along the NW axis. The photocurrent scales sublinearly with optical power, following a I ~ P(β) law (β < 1) in the measured range with β increasing with the measuring frequency. The photocurrent time response remains in the millisecond range, which is in contrast to the persistent (hours) photoconductivity effects observed in two-dimensional photoconductors. The photocurrent is independent of the measuring atmosphere, either in the air or in vacuum. Results are interpreted taking into account the effect of surface states and the total depletion of the NW intrinsic region. © 2011 American Chemical Society

  2. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  3. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  4. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  5. Shear-driven phase transformation in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Vincent, L.; Djomani, D.; Fakfakh, M.; Renard, C.; Belier, B.; Bouchier, D.; Patriarche, G.

    2018-03-01

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  6. Shear-driven phase transformation in silicon nanowires.

    PubMed

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  7. Porous Si nanowires for highly selective room-temperature NO2 gas sensing

    NASA Astrophysics Data System (ADS)

    Kwon, Yong Jung; Mirzaei, Ali; Gil Na, Han; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Oum, Wansik; Kim, Sang Sub; Kim, Hyoun Woo

    2018-07-01

    We report the room-temperature sensing characteristics of Si nanowires (NWs) fabricated from p-Si wafers by a metal-assisted chemical etching method, which is a facile and low-cost method. X-ray diffraction was used to the the study crystallinity and phase formation of Si NWs, and product morphology was examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). After confirmation of Si NW formation via the SEM and TEM micrographs, sensing tests were carried out at room temperature, and it was found that the Si NW sensor prepared from Si wafers with a resistivity of 0.001–0.003 Ω.cm had the highest response to NO2 gas (Rg/Ra = 1.86 for 50 ppm NO2), with a fast response (15 s) and recovery (30 s) time. Furthermore, the sensor responses to SO2, toluene, benzene, H2, and ethanol were nearly negligible, demonstrating the excellent selectivity to NO2 gas. The gas-sensing mechanism is discussed in detail. The present sensor can operate at room temperature, and is compatible with the microelectronic fabrication process, demonstrating its promise for next-generation Si-based electronics fused with functional chemical sensors.

  8. Porous Si nanowires for highly selective room-temperature NO2 gas sensing.

    PubMed

    Kwon, Yong Jung; Mirzaei, Ali; Na, Han Gil; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Oum, Wansik; Kim, Sang Sub; Kim, Hyoun Woo

    2018-07-20

    We report the room-temperature sensing characteristics of Si nanowires (NWs) fabricated from p-Si wafers by a metal-assisted chemical etching method, which is a facile and low-cost method. X-ray diffraction was used to the the study crystallinity and phase formation of Si NWs, and product morphology was examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). After confirmation of Si NW formation via the SEM and TEM micrographs, sensing tests were carried out at room temperature, and it was found that the Si NW sensor prepared from Si wafers with a resistivity of 0.001-0.003 Ω.cm had the highest response to NO 2 gas (R g /R a  = 1.86 for 50 ppm NO 2 ), with a fast response (15 s) and recovery (30 s) time. Furthermore, the sensor responses to SO 2 , toluene, benzene, H 2 , and ethanol were nearly negligible, demonstrating the excellent selectivity to NO 2 gas. The gas-sensing mechanism is discussed in detail. The present sensor can operate at room temperature, and is compatible with the microelectronic fabrication process, demonstrating its promise for next-generation Si-based electronics fused with functional chemical sensors.

  9. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  10. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  11. Dopant radial inhomogeneity in Mg-doped GaN nanowires.

    PubMed

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-22

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  12. Dopant radial inhomogeneity in Mg-doped GaN nanowires

    NASA Astrophysics Data System (ADS)

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-01

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  13. Effects of silicon nanowires (SiNWs) contents on the optical and dielectric properties of poly(3-hexylthiophene):SiNWs nanocomposites

    NASA Astrophysics Data System (ADS)

    Saidi, Hamza; Walid, Aloui; Bouazizi, Abdelaziz; Herrero, Beatriz Romero; Saidi, Faouzi

    2017-08-01

    In this study, we investigated the dependency of the optical and electrical proprieties of poly(3-hexylthiophene):silicon nanowires (P3HT:SiNWs) nanocomposites on the concentration of SiNWs based on photoluminescence (PL) and impedance spectroscopy. The PL spectra indicated the presence of charge transfer at low concentrations of SiNWs. The effects of the SiNWs contents on the loss mechanism were determined based on permittivity measurements, which were related to the distribution of the SiNWs contents on the polymer backbones, as well as being correlated with the PL and conductance results. The imaginary part of the impedance exhibited a high relaxation frequency attributable to Maxwell-Wagner polarization, where the extracted relaxation time was in the range of milliseconds. The Cole-Cole diagram had an excellent fit via the equivalent circuit, which incorporated the chemical capacitance Cμ, contact electrical resistance Rs, and recombination resistance Rp.

  14. Engineering Nanowire n-MOSFETs at L_{g}<8 nm

    NASA Astrophysics Data System (ADS)

    Mehrotra, Saumitra R.; Kim, SungGeun; Kubis, Tillmann; Povolotskyi, Michael; Lundstrom, Mark S.; Klimeck, Gerhard

    2013-07-01

    As metal-oxide-semiconductor field-effect transistors (MOSFET) channel lengths (Lg) are scaled to lengths shorter than Lg<8 nm source-drain tunneling starts to become a major performance limiting factor. In this scenario a heavier transport mass can be used to limit source-drain (S-D) tunneling. Taking InAs and Si as examples, it is shown that different heavier transport masses can be engineered using strain and crystal orientation engineering. Full-band extended device atomistic quantum transport simulations are performed for nanowire MOSFETs at Lg<8 nm in both ballistic and incoherent scattering regimes. In conclusion, a heavier transport mass can indeed be advantageous in improving ON state currents in ultra scaled nanowire MOSFETs.

  15. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    NASA Astrophysics Data System (ADS)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  16. Current-Driven Dynamics of Skyrmions Stabilized in MnSi Nanowires Revealed by Topological Hall Effect

    NASA Astrophysics Data System (ADS)

    Liang, Dong; Degrave, John; Stolt, Matthew; Tokura, Yoshinori; Jin, Song

    2015-03-01

    Skyrmions, novel topologically stable spin vortices, hold promise for next-generation high-density magnetic storage technologies due to their nanoscale domains and ultralow energy consumption. One-dimensional (1D) nanowires are ideal hosts for skyrmions since they not only serve as a natural platform for magnetic racetrack memory devices but also can potentially stabilize skyrmions. We use the topological Hall effect (THE) to study the phase stability and current-driven dynamics of the skyrmions in MnSi nanowires. The THE was observed in an extended magnetic field-temperature window (15 to 30 K), suggesting stabilization of skyrmion phase in nanowires compared with the bulk (27 to 29.5 K). Furthermore, we study skyrmion dynamics in this extended skyrmion phase region and found that under the high current-density of 108-109Am-2 enabled by nanowire geometry, the THE decreases with increasing current densities, which demonstrates the current-driven motion of skyrmions generating the emergent electric field. These results open up the exploration of nanowires as an attractive platform for investigating skyrmion physics in 1D systems and exploiting skyrmions in magnetic storage concepts. This work is supported by US National Science Foundation (ECCS-1231916) and JSPS Grant-in-Aid for Scientific Research No. 24224009.

  17. Predicting the growth of S i3N4 nanowires by phase-equilibrium-dominated vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Zhang, Yongliang; Cai, Jing; Yang, Lijun; Wu, Qiang; Wang, Xizhang; Hu, Zheng

    2017-09-01

    Nanomaterial synthesis is experiencing a profound evolution from empirical science ("cook-and-look") to prediction and design, which depends on the deep insight into the growth mechanism. Herein, we report a generalized prediction of the growth of S i3N4 nanowires by nitriding F e28S i72 alloy particles across different phase regions based on our finding of the phase-equilibrium-dominated vapor-liquid-solid (PED-VLS) mechanism. All the predictions about the growth of S i3N4 nanowires, and the associated evolutions of lattice parameters and geometries of the coexisting Fe -Si alloy phases, are experimentally confirmed quantitatively. This progress corroborates the general validity of the PED-VLS mechanism, which could be applied to the design and controllable synthesis of various one-dimensional nanomaterials.

  18. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  19. Comprehensive analyses of core-shell InGaN/GaN single nanowire photodiodes

    NASA Astrophysics Data System (ADS)

    Zhang, H.; Guan, N.; Piazza, V.; Kapoor, A.; Bougerol, C.; Julien, F. H.; Babichev, A. V.; Cavassilas, N.; Bescond, M.; Michelini, F.; Foldyna, M.; Gautier, E.; Durand, C.; Eymery, J.; Tchernycheva, M.

    2017-12-01

    Single nitride nanowire core/shell n-p photodetectors are fabricated and analyzed. Nanowires consisting of an n-doped GaN stem, a radial InGaN/GaN multiple quantum well system and a p-doped GaN external shell were grown by catalyst-free metal-organic vapour phase epitaxy on sapphire substrates. Single nanowires were dispersed and the core and the shell regions were contacted with a metal and an ITO deposition, respectively, defined using electron beam lithography. The single wire photodiodes present a response in the visible to UV spectral range under zero external bias. The detector operation speed has been analyzed under different bias conditions. Under zero bias, the  -3 dB cut-off frequency is ~200 Hz for small light modulations. The current generation was modeled using non-equilibrium Green function formalism, which evidenced the importance of phonon scattering for carrier extraction from the quantum wells.

  20. Single-crystalline chromium silicide nanowires and their physical properties.

    PubMed

    Hsu, Han-Fu; Tsai, Ping-Chen; Lu, Kuo-Chang

    2015-01-01

    In this work, chromium disilicide nanowires were synthesized by chemical vapor deposition (CVD) processes on Si (100) substrates with hydrous chromium chloride (CrCl3 · 6H2O) as precursors. Processing parameters, including the temperature of Si (100) substrates and precursors, the gas flow rate, the heating time, and the different flow gas of reactions were varied and studied; additionally, the physical properties of the chromium disilicide nanowires were measured. It was found that single-crystal CrSi2 nanowires with a unique morphology were grown at 700°C, while single-crystal Cr5Si3 nanowires were grown at 750°C in reducing gas atmosphere. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with magnetism, photoluminescence, and field emission measurements demonstrates that CrSi2 nanowires are attractive choices for future applications in magnetic storage, photovoltaic, and field emitters.

  1. Real-time photoelectron spectroscopy study of the oxidation reaction kinetics on p-type and n-type Si (001) surfaces

    NASA Astrophysics Data System (ADS)

    Yu, Zhou

    Silicon oxides thermally grown on Si surface are the core gate materials of metal-oxide-semiconductor field effect transistor (MOSFET). This thin oxide layer insulates the gate terminals and the transistors substrate which make MOSFET has certain advantages over those conventional junctions, such as field-effect transistor (FET) and junction field effect transistor (JFET). With an oxide insulating layer, MOSFET is able to sustain higher input impedance and the corresponding gate leakage current can be minimized. Today, though the oxidation process on Si substrate is popular in industry, there are still some uncertainties about its oxidation kinetics. On a path to clarify and modeling the oxidation kinetics, a study of initial oxidation kinetics on Si (001) surface has attracted attentions due to having a relatively low surface electron density and few adsorption channels compared with other Si surface direction. Based on previous studies, there are two oxidation models of Si (001) that extensively accepted, which are dual oxide species mode and autocatalytic reaction model. These models suggest the oxidation kinetics on Si (001) mainly relies on the metastable oxygen atom on the surface and the kinetic is temperature dependent. Professor Yuji Takakuwa's group, Surface Physics laboratory, Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, observed surface strain existed during the oxidation kinetics on Si (001) and this is the first time that strain was discovered during Si oxidation. Therefore, it is necessary to explain where the strain comes from since none of previous model research included the surface strain (defects generation) into considerations. Moreover, recent developing of complementary metal-oxide-semiconductor (CMOS) requires a simultaneous oxidation process on p- and n-type Si substrate. However, none of those previous models included the dopant factor into the oxidation kinetic modeling. All of these points that

  2. Enhanced light scattering of the forbidden longitudinal optical phonon mode studied by micro-Raman spectroscopy on single InN nanowires.

    PubMed

    Schäfer-Nolte, E O; Stoica, T; Gotschke, T; Limbach, F A; Sutter, E; Sutter, P; Grützmacher, D; Calarco, R

    2010-08-06

    In the literature, there are controversies on the interpretation of the appearance in InN Raman spectra of a strong scattering peak in the energy region of the unscreened longitudinal optical (LO) phonons, although a shift caused by the phonon-plasmon interaction is expected for the high conductance observed in this material. Most measurements on light scattering are performed on ensembles of InN nanowires (NWs). However, it is important to investigate the behavior of individual nanowires and here we report on micro-Raman measurements on single nanowires. When changing the polarization direction of the incident light from parallel to perpendicular to the wire, the expected reduction of the Raman scattering was observed for transversal optical (TO) and E(2) phonon scattering modes, while a strong symmetry-forbidden LO mode was observed independently on the laser polarization direction. Single Mg- and Si-doped crystalline InN nanowires were also investigated. Magnesium doping results in a sharpening of the Raman peaks, while silicon doping leads to an asymmetric broadening of the LO peak. The results can be explained based on the influence of the high electron concentration with a strong contribution of the surface accumulation layer and the associated internal electric field.

  3. Synthesis and characterization of AlTiSiN/CrSiN multilayer coatings by cathodic arc ion-plating

    NASA Astrophysics Data System (ADS)

    Yang, B.; Tian, C. X.; Wan, Q.; Yan, S. J.; Liu, H. D.; Wang, R. Y.; Li, Z. G.; Chen, Y. M.; Fu, D. J.

    2014-09-01

    AlTiSiN/CrSiN multilayer coatings were deposited on Si (1 0 0) and cemented carbide substrates using Cr, AlTi cathodes and SiH4 gases by cathodic arc ion plating system. The influences of SiH4 gases flowrate on the structural and mechanical properties of the coatings were investigated, systematically. AlTiSiN/CrSiN coatings exhibit a B1 NaCl-type nano-multilayered structure in which the CrSiN nano-layers alternate with AlTiSiN nano-layers with multiple orientations of crystal planes indicated by XRD patterns and TEM. Si contents of the coatings increase with increasing SiH4 flowrate. The hardness of the coatings increases to the maximum value of 3500 Hv0.05 with increasing SiH4 flowrate from 20 to 40 sccm and then decreases with further addition of SiH4 gases. A higher adhesive force of 73 N is obtained at the flowrate of 48 sccm. The coatings exhibit different tribological performance when the mating materials were varied from Si3N4 to cemented carbide balls and the variation of friction coefficients of the coatings against Si3N4 influenced by SiH4 flowrate are not obvious as against cemented carbide balls.

  4. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  5. An AlGaN Core-Shell Tunnel Junction Nanowire Light-Emitting Diode Operating in the Ultraviolet-C Band.

    PubMed

    Sadaf, S M; Zhao, S; Wu, Y; Ra, Y-H; Liu, X; Vanka, S; Mi, Z

    2017-02-08

    To date, semiconductor light emitting diodes (LEDs) operating in the deep ultraviolet (UV) spectral range exhibit very low efficiency due to the presence of large densities of defects and extremely inefficient p-type conduction of conventional AlGaN quantum well heterostructures. We have demonstrated that such critical issues can be potentially addressed by using nearly defect-free AlGaN tunnel junction core-shell nanowire heterostructures. The core-shell nanowire arrays exhibit high photoluminescence efficiency (∼80%) in the UV-C band at room temperature. With the incorporation of an epitaxial Al tunnel junction, the p-(Al)GaN contact-free nanowire deep UV LEDs showed nearly one order of magnitude reduction in the device resistance, compared to the conventional nanowire p-i-n device. The unpackaged Al tunnel junction deep UV LEDs exhibit an output power >8 mW and a peak external quantum efficiency ∼0.4%, which are nearly one to two orders of magnitude higher than previously reported AlGaN nanowire devices. Detailed studies further suggest that the maximum achievable efficiency is limited by electron overflow and poor light extraction efficiency due to the TM polarized emission.

  6. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    NASA Astrophysics Data System (ADS)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  7. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  8. Construction of MoS2/Si nanowire array heterojunction for ultrahigh-sensitivity gas sensor.

    PubMed

    Wu, Di; Lou, Zhenhua; Wang, Yuange; Xu, Tingting; Shi, Zhifeng; Xu, Junmin; Tian, Yongtao; Li, Xinjian

    2017-10-27

    Few-layer MoS 2 thin films were synthesized by a two-step thermal decomposition process. In addition, MoS 2 /Si nanowire array (SiNWA) heterojunctions exhibiting excellent gas sensing properties were constructed and investigated. Further analysis reveals that such MoS 2 /SiNWA heterojunction devices are highly sensitive to nitric oxide (NO) gas under reverse voltages at room temperature (RT). The gas sensor demonstrated a minimum detection limit of 10 ppb, which represents the lowest value obtained for MoS 2 -based sensors, as well as an ultrahigh response of 3518% (50 ppm NO, ∼50% RH), with good repeatability and selectivity of the MoS 2 /SiNWA heterojunction. The sensing mechanisms were also discussed. The performance of the MoS 2 /SiNWA heterojunction gas sensors is superior to previous results, revealing that they have great potential in applications relating to highly sensitive gas sensors.

  9. Construction of MoS2/Si nanowire array heterojunction for ultrahigh-sensitivity gas sensor

    NASA Astrophysics Data System (ADS)

    Wu, Di; Lou, Zhenhua; Wang, Yuange; Xu, Tingting; Shi, Zhifeng; Xu, Junmin; Tian, Yongtao; Li, Xinjian

    2017-10-01

    Few-layer MoS2 thin films were synthesized by a two-step thermal decomposition process. In addition, MoS2/Si nanowire array (SiNWA) heterojunctions exhibiting excellent gas sensing properties were constructed and investigated. Further analysis reveals that such MoS2/SiNWA heterojunction devices are highly sensitive to nitric oxide (NO) gas under reverse voltages at room temperature (RT). The gas sensor demonstrated a minimum detection limit of 10 ppb, which represents the lowest value obtained for MoS2-based sensors, as well as an ultrahigh response of 3518% (50 ppm NO, ˜50% RH), with good repeatability and selectivity of the MoS2/SiNWA heterojunction. The sensing mechanisms were also discussed. The performance of the MoS2/SiNWA heterojunction gas sensors is superior to previous results, revealing that they have great potential in applications relating to highly sensitive gas sensors.

  10. Luminescence and efficiency optimization of InGaN/GaN core-shell nanowire LEDs by numerical modelling

    NASA Astrophysics Data System (ADS)

    Römer, Friedhard; Deppner, Marcus; Andreev, Zhelio; Kölper, Christopher; Sabathil, Matthias; Strassburg, Martin; Ledig, Johannes; Li, Shunfeng; Waag, Andreas; Witzigmann, Bernd

    2012-02-01

    We present a computational study on the anisotropic luminescence and the efficiency of a core-shell type nanowire LED based on GaN with InGaN active quantum wells. The physical simulator used for analyzing this device integrates a multidimensional drift-diffusion transport solver and a k . p Schrödinger problem solver for quantization effects and luminescence. The solution of both problems is coupled to achieve self-consistency. Using this solver we investigate the effect of dimensions, design of quantum wells, and current injection on the efficiency and luminescence of the core-shell nanowire LED. The anisotropy of the luminescence and re-absorption is analyzed with respect to the external efficiency of the LED. From the results we derive strategies for design optimization.

  11. Electron Transport Properties of Ge nanowires

    NASA Astrophysics Data System (ADS)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  12. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  13. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  14. Selective area growth of InAs nanowires from SiO2/Si(1 1 1) templates direct-written by focused helium ion beam technology

    NASA Astrophysics Data System (ADS)

    Yang, Che-Wei; Chen, Wei-Chieh; Chou, Chieh; Lin, Hao-Hsiung

    2018-02-01

    We report on the selective area growth of InAs nanowires on patterned SiO2/Si (1 1 1) nano-holes, prepared by focused helium ion beam technology. We used a single spot mode, in which the focused helium ion beam was fixed on a single point with a He+-ion dosage, ranging from 1.5 pC to 8 pC, to drill the nano-holes. The smallest hole diameter achieved is ∼8 nm. We found that low He+-ion dosage is able to facilitate the nucleation of (1 1 1)B InAs on the highly mismatched Si, leading to the vertical growth of InAs nanowires (NWs). High He-ion dosage, on the contrary, severely damaged Si surface, resulting in tilted and stripe-like NWs. In addition to titled NW grown from (1 1 1)A InAs domain, a new titled growth direction due to defect induced twinning was observed. Cross-sectional TEM images of vertical NWs show mixed wurtizite (WZ) and zincblende (ZB) phases, while WZ phase dominants. The stacking faults resulting from the phase change is proportional to NW diameter, suggesting that the critical diameter of phase turning is larger than 110 nm, the maximum diameter of our NWs. Period of misfit dislocation at the InAs/Si interface of vertical NW is also found larger than the theoretical value when the diameter of heterointerface is smaller than 50 nm, indicating that the small contact area is able to accommodate the large lattice and thermal mismatch between InAs and Si.

  15. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g −1

    DOE PAGES

    Kim, Dongheun; Li, Nan; Sheehan, Chris J.; ...

    2018-01-01

    The charging rate-dependent degradation of lithium ion battery anodes based on Si/Ge core/shell nanowire heterostructure was observed. Si/Ge core/shell structure was preserved at the charging rate of 0.8 A g −1 . On the other hand, compositional intermixing and loss of Si occurs at the charging rate of 20 A g −1 .

  16. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g −1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Dongheun; Li, Nan; Sheehan, Chris J.

    The charging rate-dependent degradation of lithium ion battery anodes based on Si/Ge core/shell nanowire heterostructure was observed. Si/Ge core/shell structure was preserved at the charging rate of 0.8 A g −1 . On the other hand, compositional intermixing and loss of Si occurs at the charging rate of 20 A g −1 .

  17. Realization of radial p-n junction silicon nanowire solar cell based on low-temperature and shallow phosphorus doping

    NASA Astrophysics Data System (ADS)

    Dong, Gangqiang; Liu, Fengzhen; Liu, Jing; Zhang, Hailong; Zhu, Meifang

    2013-12-01

    A radial p-n junction solar cell based on vertically free-standing silicon nanowire (SiNW) array is realized using a novel low-temperature and shallow phosphorus doping technique. The SiNW arrays with excellent light trapping property were fabricated by metal-assisted chemical etching technique. The shallow phosphorus doping process was carried out in a hot wire chemical vapor disposition chamber with a low substrate temperature of 250°C and H2-diluted PH3 as the doping gas. Auger electron spectroscopy and Hall effect measurements prove the formation of a shallow p-n junction with P atom surface concentration of above 1020 cm-3 and a junction depth of less than 10 nm. A short circuit current density of 37.13 mA/cm2 is achieved for the radial p-n junction SiNW solar cell, which is enhanced by 7.75% compared with the axial p-n junction SiNW solar cell. The quantum efficiency spectra show that radial transport based on the shallow phosphorus doping of SiNW array improves the carrier collection property and then enhances the blue wavelength region response. The novel shallow doping technique provides great potential in the fabrication of high-efficiency SiNW solar cells.

  18. Preparation and electrochemistry of Pd-Ni/Si nanowire nanocomposite catalytic anode for direct ethanol fuel cell.

    PubMed

    Miao, Fengjuan; Tao, Bairui; Chu, Paul K

    2012-04-28

    A new silicon-based anode suitable for direct ethanol fuel cells (DEFCs) is described. Pd-Ni nanoparticles are coated on Si nanowires (SiNWs) by electroless co-plating to form the catalytic materials. The electrocatalytic properties of the SiNWs and ethanol oxidation on the Pd-Ni catalyst (Pd-Ni/SiNWs) are investigated electrochemically. The effects of temperature and working potential limit in the anodic direction on ethanol oxidation are studied by cyclic voltammetry. The Pd-Ni/SiNWs electrode exhibits higher electrocatalytic activity and better long-term stability in an alkaline solution. It also yields a larger current density and negative onset potential thus boding well for its application to fuel cells. This journal is © The Royal Society of Chemistry 2012

  19. Ab-initio study of the segregation and electronic properties of neutral and charged B and P dopants in Si and Si/SiO{sub 2} nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schoeters, Bob, E-mail: bob.schoeters@uantwerpen.be; IMEC, Kapeldreef 75, B-3001 Leuven; Leenaerts, Ortwin, E-mail: ortwin.leenaerts@uantwerpen.be

    We perform first-principles calculations to investigate the preferred positions of B and P dopants, both neutral and in their preferred charge state, in Si and Si/SiO{sub 2} core-shell nanowires (NWs). In order to understand the observed trends in the formation energy, we isolate the different effects that determine these formation energies. By making the distinction between the unrelaxed and the relaxed formation energy, we separate the impact of the relaxation from that of the chemical environment. The unrelaxed formation energies are determined by three effects: (i) the effect of strain caused by size mismatch between the dopant and the hostmore » atoms, (ii) the local position of the band edges, and (iii) a screening effect. In the case of the SiNW (Si/SiO{sub 2} NW), these effects result in an increase of the formation energy away from the center (interface). The effect of relaxation depends on the relative size mismatch between the dopant and host atoms. A large size mismatch causes substantial relaxation that reduces the formation energy considerably, with the relaxation being more pronounced towards the edge of the wires. These effects explain the surface segregation of the B dopants in a SiNW, since the atomic relaxation induces a continuous drop of the formation energy towards the edge. However, for the P dopants, the formation energy starts to rise when moving from the center but drops to a minimum just next to the surface, indicating a different type of behavior. It also explains that the preferential location for B dopants in Si/SiO{sub 2} core-shell NWs is inside the oxide shell just next to the interface, whereas the P dopants prefer the positions next to the interface inside the Si core, which is in agreement with recent experiments. These preferred locations have an important impact on the electronic properties of these core-shell NWs. Our simulations indicate the possibility of hole gas formation when B segregates into the oxide shell.« less

  20. A comparative study of three-terminal Hanle signals in CoFe/SiO{sub 2}/n{sup +}-Si and Cu/SiO{sub 2}/n{sup +}-Si tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jeong-Hyeon; Cho, B. K., E-mail: chobk@gist.ac.kr; Grünberg Center for Magnetic Nanomaterials, Gwangju Institute of Science and Technology

    We performed three-terminal (3T) Hanle measurement for two types of sample series, CoFe/SiO{sub 2}/n{sup +}-Si and Cu/SiO{sub 2}/n{sup +}-Si, with various tunnel resistances. Clear Hanle signal and anomalous scaling between spin resistance-area product and tunnel resistance-area product were observed in CoFe/SiO{sub 2}/n{sup +}-Si devices. In order to explore the origin of the Hanle signal and the impurity-assisted tunneling effect on the Hanle signal in our devices, Hanle measurement in Cu/SiO{sub 2}/n{sup +}-Si devices was performed as well. However, no detectable Hanle signal was observed in Cu/SiO{sub 2}/n{sup +}-Si, even though a lot of samples with various tunnel resistances were studiedmore » in wide temperature and bias voltage ranges. Through a comparative study, it is found that the impurity-assisted tunneling magnetoresistance mechanism would not play a dominant role in the 3T Hanle signal in CoFe/SiO{sub 2}/n{sup +}-Si tunnel junctions, where the SiO{sub 2} was formed by plasma oxidation to minimize impurities.« less

  1. Bright nanowire single photon source based on SiV centers in diamond

    DOE PAGES

    Marseglia, L.; Saha, K.; Ajoy, A.; ...

    2018-01-01

    The practical implementation of quantum technologies such as quantum commu- nication and quantum cryptography relies on the development of indistinguishable, robust, and bright single photon sources that works at room temperature. The silicon- vacancy (SiV -) center in diamond has emerged as a possible candidate for a single photon source with all these characteristics. Unfortunately, due to the high refraction index mismatch between diamond and air, color centers in diamond show low photon out-coupling. This drawback can be overcome by fabrication of photonic structures that improve the in-coupling of excitation laser to the diamond defect as well as the out-couplingmore » emission from the color centers. An additional shortcoming is due to the random localization of native defects in the diamond sample. Here we demonstrate deterministic implantation of Si ions with high conversion effciency to single SiV -, targeted to fabricated nanowires. The co-localization of single SiV - defects with the nanostructures yields a ten times higher light coupling effciency as compared to single SiV - in the bulk. This result, with its intrinsic scalability, enables a new class of devices for integrated photonics and quantum information processing.« less

  2. Tuning the polarization-induced free hole density in nanowires graded from GaN to AlN

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Yang, Fan; McComb, David W.; Myers, Roberto C.

    2015-01-01

    We report a systematic study of p-type polarization-induced doping in graded AlGaN nanowire light emitting diodes grown on silicon wafers by plasma-assisted molecular beam epitaxy. The composition gradient in the p-type base is varied in a set of samples from 0.7%Al/nm to 4.95%Al/nm corresponding to negative bound polarization charge densities of 2.2 × 1018 cm-3 to 1.6 × 1019 cm-3. Capacitance measurements and energy band modeling reveal that for gradients greater than or equal to 1.30%Al/nm, the deep donor concentration is negligible and free hole concentrations roughly equal to the bound polarization charge density are achieved up to 1.6 × 1019 cm-3 at a gradient of 4.95%Al/nm. Accurate grading lengths in the p- and n-side of the pn-junction are extracted from scanning transmission electron microscopy images and are used to support energy band calculation and capacitance modeling. These results demonstrate the robust nature of p-type polarization doping in nanowires and put an upper bound on the magnitude of deep donor compensation.

  3. High Performance of PEDOT:PSS/n-Si Solar Cells Based on Textured Surface with AgNWs Electrodes

    NASA Astrophysics Data System (ADS)

    Jiang, Xiangyu; Zhang, Pengbo; Zhang, Juan; Wang, Jilei; Li, Gaofei; Fang, Xiaohong; Yang, Liyou; Chen, Xiaoyuan

    2018-02-01

    Hybrid heterojunction solar cells (HHSCs) have gained extensive research and attention due to simple device structure and low-cost technological processes. Here, HHSCs are presented based on a highly transparent conductive polymer poly(3,4ethylenedioxythiophene):poly(styrenesulfonate)(PEDOT:PSS) directly spin-coated on an n-type crystalline silicon with microscale surface textures, which are prepared by traditional chemical etching. We have studied interface properties between PEDOT:PSS and textured n-Si by varying coating conditions. Final power conversion efficiency (PCE) could arrive at 8.54% by these simple solution-based fabrication processes. The high conversion efficiency is attributed to the fully conformal contact between PEDOT:PSS film and textured silicon. Furthermore, the reflectance of the PEDOT:PSS layer on textured surface is analyzed by changing film thickness. In order to improve the performance of the device, silver nanowires were employed as electrodes because of its better optical transmittance and electrical conductivity. The highest PCE of 11.07% was achieved which displayed a 29.6% enhancement compared with traditional silver electrodes. These findings imply that the combination of PEDOT:PSS film and silver nanowire transparent electrodes pave a promising way for realizing high-efficiency and low-cost solar cells.

  4. High Performance of PEDOT:PSS/n-Si Solar Cells Based on Textured Surface with AgNWs Electrodes.

    PubMed

    Jiang, Xiangyu; Zhang, Pengbo; Zhang, Juan; Wang, Jilei; Li, Gaofei; Fang, Xiaohong; Yang, Liyou; Chen, Xiaoyuan

    2018-02-14

    Hybrid heterojunction solar cells (HHSCs) have gained extensive research and attention due to simple device structure and low-cost technological processes. Here, HHSCs are presented based on a highly transparent conductive polymer poly(3,4ethylenedioxythiophene):poly(styrenesulfonate)(PEDOT:PSS) directly spin-coated on an n-type crystalline silicon with microscale surface textures, which are prepared by traditional chemical etching. We have studied interface properties between PEDOT:PSS and textured n-Si by varying coating conditions. Final power conversion efficiency (PCE) could arrive at 8.54% by these simple solution-based fabrication processes. The high conversion efficiency is attributed to the fully conformal contact between PEDOT:PSS film and textured silicon. Furthermore, the reflectance of the PEDOT:PSS layer on textured surface is analyzed by changing film thickness. In order to improve the performance of the device, silver nanowires were employed as electrodes because of its better optical transmittance and electrical conductivity. The highest PCE of 11.07% was achieved which displayed a 29.6% enhancement compared with traditional silver electrodes. These findings imply that the combination of PEDOT:PSS film and silver nanowire transparent electrodes pave a promising way for realizing high-efficiency and low-cost solar cells.

  5. Microstructures of the silicon carbide nanowires obtained by annealing the mechanically-alloyed amorphous powders

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Pengfei, E-mail: zhangpengfei1984@163.com; Li, Xinli

    2015-07-15

    Silicon, graphite and boron nitride powders were mechanically alloyed for 40 h in argon. The as-milled powders were annealed at 1700 °C in nitrogen for 30 min. The annealed powders are covered by a thick layer of gray–green SiC nanowires, which are 300 nm to 1000 nm in diameter and several hundred microns in length. Trace iron in the raw powders acts as a catalyst, promoting the V–L–S process. It follows that the actual substances contributing to the growth of the SiC nanowires may be silicon, graphite and the metal impurities in the raw powders. The results from HRTEM andmore » XRD reveal that the products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. It is interestingly found that 6H–SiC coexists with 3C–SiC in one nodular nanowire. This novel structure may introduce periodic potential field along the longitudinal direction of the nanowires, and may find applications in the highly integrated optoelectronic devices. - Graphical abstract: Display Omitted - Highlights: • SiC nanowires were prepared by annealing the mechanically alloyed amorphous powders. • SiC nanowires are 300 nm to 1000 nm in diameter and several hundred microns in length. • The products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. • Trace Fe in the raw powders acts as a catalyst, promoting the V–L–S process. • 6H–SiC coexists with 3C–SiC in one nodular SiC nanowire.« less

  6. Growth and characterization of Pt-Si droplets for silicon nanowires synthesis

    NASA Astrophysics Data System (ADS)

    Khumalo, Z. M.; Topić, M.; Mtshali, C. B.; Blumenthal, M.

    2018-02-01

    The formation of platinum silicide phases as a function of the annealing temperature was investigated using in-situ real-time Rutherford backscattering spectrometry. The in-situ real-time RBS revealed the reaction of platinum and silicon to start at about 220 °C to form platinum silicide phases, Pt2Si and PtSi in sequence. Scanning electron microscope revealed the morphological change in the platinum layer (formation of droplets) at 800 °C. The particle induced X-ray emission analysis showed the variation of platinum intensity, in the droplets areas, between 1600 and 2000 counts. The surrounding areas are left almost uncovered due to platinum film dewetting. In-plane as well as out-of-plane silicon nanowires were observed to form at 800 °C and 1000 °C using pulsed laser ablation and thermal annealing techniques, respectively.

  7. Intrinsic polarization control in rectangular GaN nanowire lasers

    DOE PAGES

    Li, Changyi; Liu, Sheng; Luk, Ting S.; ...

    2016-02-01

    In this study, we demonstrate intrinsic, linearly polarized lasing from single GaN nanowires using cross-sectional shape control. A two-step top-down fabrication approach was employed to create straight nanowires with controllable rectangular cross-sections. A clear lasing threshold of 444kW/cm 2 and a narrow spectral line width of 0.16 nm were observed under optical pumping at room temperature, indicating the onset of lasing. The polarization was along the short dimension (y-direction) of the nanowire due to the higher transverse confinement factors for y-polarized transverse modes resulting from the rectangular nanowire cross-section. The results show that cross-sectioned shape control can enable inherent controlmore » over the polarization of nanowire lasers without additional environment requirements, such as placement onto lossy substrates.« less

  8. Improvement of minority carrier life time in N-type monocrystalline Si by the Czochralski method

    NASA Astrophysics Data System (ADS)

    Baik, Sungsun; Pang, Ilsun; Kim, Jaemin; Kim, Kwanghun

    2016-07-01

    The installation amount of solar power plants increases every year. Multi-crystalline Si solar cells comprise a large share of the market of solar power plants. Multi-crystalline and single-crystalline Si solar cells are competing against one another in the market. Many single-crystalline companies are trying to develop and produce n-type solar cells with higher cell efficiency than that of p-type. In n-type wafers with high cell efficiency, wafer quality has become increasingly important. In order to make ingots with higher MCLT, the effects of both poly types related to metal impurities and pull speeds related to vacancy concentration on minority carrier life time were studied. In the final part of ingots, poly types related to the metal impurities are a dominant factor on MCLT. In the initial part of ingots, pull speeds related to vacancy concentration are a dominant factor on MCLT. [Figure not available: see fulltext.

  9. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560 013; Bhat, Thirumaleshwara N.

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics ofmore » a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.« less

  10. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  11. Long term stability of nanowire nanoelectronics in physiological environments.

    PubMed

    Zhou, Wei; Dai, Xiaochuan; Fu, Tian-Ming; Xie, Chong; Liu, Jia; Lieber, Charles M

    2014-03-12

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease.

  12. Long Term Stability of Nanowire Nanoelectronics in Physiological Environments

    PubMed Central

    2015-01-01

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease. PMID:24479700

  13. Substrate-Free InGaN/GaN Nanowire Light-Emitting Diodes.

    PubMed

    Neplokh, Vladimir; Messanvi, Agnes; Zhang, Hezhi; Julien, Francois H; Babichev, Andrey; Eymery, Joel; Durand, Christophe; Tchernycheva, Maria

    2015-12-01

    We report on the demonstration of substrate-free nanowire/polydimethylsiloxane (PDMS) membrane light-emitting diodes (LEDs). Metal-organic vapour-phase epitaxy (MOVPE)-grown InGaN/GaN core-shell nanowires were encapsulated into PDMS layer. After metal deposition to p-GaN, a thick PDMS cap layer was spin-coated and the membrane was manually peeled from the sapphire substrate, flipped upside down onto a steel holder, and transparent indium tin oxide (ITO) contact to n-GaN was deposited. The fabricated LEDs demonstrate rectifying diode characteristics. For the electroluminescence (EL) measurements, the samples were manually bonded using silver paint. The EL spectra measured at different applied voltages demonstrate a blue shift with the current increase. This shift is explained by the current injection into the InGaN areas of the active region with different average indium content.

  14. Synthesis and characterization of silver nanowires with zigzag morphology in N, N-dimethylformamide

    NASA Astrophysics Data System (ADS)

    He, Xin; Zhao, Xiujian; Chen, Yunxia; Feng, Jinyang; Sun, Zhenya

    2007-08-01

    Zigzag silver nanowires with a uniform diameter of 20±5 nm were prepared by reducing silver nitrate (AgNO 3) with N, N-dimethylformamide (DMF) in the presence of tetrabutyl titanate (TBT) and acetylacetone (AcAc) at 373 K for 18 h. X-ray and selected area electron diffraction (XRD and SAED) patterns reveal that the prepared product is made of pure silver with face centered cubic structure. Transmission electron microscopy (TEM) investigations suggest that the amount of silver nanowires is enhanced with increase in reaction time, and the end-to-end assemblies of silver nanorods are observed during the reaction process. After 18 h reaction, silver nanowires with zigzag morphology are obtained. In this paper, a possible growth process of silver nanowires with this interesting shape is described. Silver nanoparticles with small sizes were obtained by reducing Ag + ions with DMF, providing seeds for homogeneous growth of silver nanorods. With the extending reaction time, the synthesized silver nanorods were connected in an end-to-end manner, and the interface between the connections of two nanorods gradually disappeared. The final product shows zigzag morphology with various angles. The angles between two connecting straight parts of zigzag nanowires exhibit an alterable range of 74-151°. These silver nanowires show tremendous potential applications in future nanoscale electronic circuits.

  15. Electrical probing of field-driven cascading quantized transitions of skyrmion cluster states in MnSi nanowires

    NASA Astrophysics Data System (ADS)

    Du, Haifeng; Liang, Dong; Jin, Chiming; Kong, Lingyao; Stolt, Matthew J.; Ning, Wei; Yang, Jiyong; Xing, Ying; Wang, Jian; Che, Renchao; Zang, Jiadong; Jin, Song; Zhang, Yuheng; Tian, Mingliang

    2015-07-01

    Magnetic skyrmions are topologically stable whirlpool-like spin textures that offer great promise as information carriers for future spintronic devices. To enable such applications, particular attention has been focused on the properties of skyrmions in highly confined geometries such as one-dimensional nanowires. Hitherto, it is still experimentally unclear what happens when the width of the nanowire is comparable to that of a single skyrmion. Here, we achieve this by measuring the magnetoresistance in ultra-narrow MnSi nanowires. We observe quantized jumps in magnetoresistance versus magnetic field curves. By tracking the size dependence of the jump number, we infer that skyrmions are assembled into cluster states with a tunable number of skyrmions, in agreement with the Monte Carlo simulations. Our results enable an electric reading of the number of skyrmions in the cluster states, thus laying a solid foundation to realize skyrmion-based memory devices.

  16. Facile synthesis of silicon nanowire-nanopillar superhydrophobic structures

    NASA Astrophysics Data System (ADS)

    Roy, Abhijit; Satpati, Biswarup

    2018-04-01

    We have used metal assisted chemical etching (MACE) method to produce silicon (Si) nanowire-nanopillar array. Nanowire-nanopillar combined structures show higher degree of hydrophobicity compared to its nanowire (Si-NW) counterparts. The rate of etching is depended on initial metal deposition. The structural analysis was carried out using scanning electron microscopy (SEM) in combination with transmission electron microscopy (TEM) to determine different parameters like etching direction, crystallinity etc.

  17. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    PubMed

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  18. Synthesis of the Novel Type of Bimodal Ceramic Nanowires from Polymer and Composite Fibrous Mats

    PubMed Central

    Matysiak, Wiktor

    2018-01-01

    The purpose of this paper was to produce SiO2 and TiO2 nanowires via the electrospinning process from a polyvinylpyrrolidone (PVP)/Tetraethyl orthosilicate (TEOS)/Titanium (IV) butoxide (TNBT)/dimethylformamide (DMF) and ethanol (EtOH) solution. The as-obtained nanofibers were calcined at temperatures ranging from 400 °C to 600 °C in order to remove the organic phase. The one-dimensional ceramic nanostructures were studied using a scanning electron microscope (SEM) and a transmission electron microscope (TEM) to analyze the influence of the used temperature on the morphology and structures of the obtained ceramic nanomaterials. In order to examine the chemical structure of the nanowires, energy dispersive spectrometry (EDX) and Fourier-Transform Infrared spectroscopy (FTIR) were used. The optical property analysis was performed on the basis of UV-Vis spectra of absorbance as a function of the wavelength. Using the modified Swanepoel method, which the authors proposed and the recorded absorbance spectra allowed to determine the banded refractive index n, real n′ and imaginary k part of the refractive index as a function of the wavelength, complex dielectric permeability ε, and real and imaginary part εr and εi of the dielectric permeability as a function of the radiation energy of the produced ceramic nanowires. PMID:29558456

  19. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Platinum Assisted Vapor–Liquid–Solid Growth of Er–Si Nanowires and Their Optical Properties

    PubMed Central

    2010-01-01

    We report the optical activation of erbium coated silicon nanowires (Er–SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor–liquid–solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core–shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er–SiNWs. PMID:20672113

  1. Platinum assisted vapor-liquid-solid growth of er-si nanowires and their optical properties.

    PubMed

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H; Choi, Heon-Jin

    2009-11-14

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  2. Platinum Assisted Vapor-Liquid-Solid Growth of Er-Si Nanowires and Their Optical Properties

    NASA Astrophysics Data System (ADS)

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H.; Choi, Heon-Jin

    2010-02-01

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  3. Ultrahigh-density sub-10 nm nanowire array formation via surface-controlled phase separation.

    PubMed

    Tian, Yuan; Mukherjee, Pinaki; Jayaraman, Tanjore V; Xu, Zhanping; Yu, Yongsheng; Tan, Li; Sellmyer, David J; Shield, Jeffrey E

    2014-08-13

    We present simple, self-assembled, and robust fabrication of ultrahigh density cobalt nanowire arrays. The binary Co-Al and Co-Si systems phase-separate during physical vapor deposition, resulting in Co nanowire arrays with average diameter as small as 4.9 nm and nanowire density on the order of 10(16)/m(2). The nanowire diameters were controlled by moderating the surface diffusivity, which affected the lateral diffusion lengths. High resolution transmission electron microscopy reveals that the Co nanowires formed in the face-centered cubic structure. Elemental mapping showed that in both systems the nanowires consisted of Co with undetectable Al or Si and that the matrix consisted of Al with no distinguishable Co in the Co-Al system and a mixture of Si and Co in the Co-Si system. Magnetic measurements clearly indicate anisotropic behavior consistent with shape anisotropy. The dynamics of nanowire growth, simulated using an Ising model, is consistent with the experimental phase and geometry of the nanowires.

  4. Growth of single-crystalline cobalt silicide nanowires and their field emission property.

    PubMed

    Lu, Chi-Ming; Hsu, Han-Fu; Lu, Kuo-Chang

    2013-07-03

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters.

  5. Growth of single-crystalline cobalt silicide nanowires and their field emission property

    PubMed Central

    2013-01-01

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters. PMID:23819795

  6. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  7. Low-frequency flicker noise in a MSM device made with single Si nanowire (diameter ≈ 50 nm).

    PubMed

    Samanta, Sudeshna; Das, Kaustuv; Raychaudhuri, Arup Kumar

    2013-04-10

    : Low-frequency flicker noise has been measured in a metal-semiconductor-metal (MSM) device made from a single strand of a single crystalline Si nanowire (diameter approximately 50 nm). Measurement was done with an alternating current (ac) excitation for the noise measurement superimposed with direct current (dc) bias that can be controlled independently. The observed noise has a spectral power density ∝1/fα. Application of the superimposed dc bias (retaining the ac bias unchanged) with a value more than the Schottky barrier height at the junction leads to a large suppression of the noise amplitude along with a change of α from 2 to ≈ 1. The dc bias-dependent part of the noise has been interpreted as arising from the interface region. The residual dc bias-independent flicker noise is suggested to arise from the single strand of Si nanowire, which has the conventional 1/f spectral power density.

  8. Low-frequency flicker noise in a MSM device made with single Si nanowire (diameter ≈ 50 nm)

    PubMed Central

    2013-01-01

    Low-frequency flicker noise has been measured in a metal-semiconductor-metal (MSM) device made from a single strand of a single crystalline Si nanowire (diameter approximately 50 nm). Measurement was done with an alternating current (ac) excitation for the noise measurement superimposed with direct current (dc) bias that can be controlled independently. The observed noise has a spectral power density ∝1/fα. Application of the superimposed dc bias (retaining the ac bias unchanged) with a value more than the Schottky barrier height at the junction leads to a large suppression of the noise amplitude along with a change of α from 2 to ≈ 1. The dc bias-dependent part of the noise has been interpreted as arising from the interface region. The residual dc bias-independent flicker noise is suggested to arise from the single strand of Si nanowire, which has the conventional 1/f spectral power density. PMID:23574820

  9. NbN superconducting nanowire single-photon detector fabricated on MgF2 substrate

    NASA Astrophysics Data System (ADS)

    Wu, J. J.; You, L. X.; Zhang, L.; Zhang, W. J.; Li, H.; Liu, X. Y.; Zhou, H.; Wang, Z.; Xie, X. M.; Xu, Y. X.; Fang, W.; Tong, L. M.

    2016-06-01

    The performance of superconducting nanowire single-photon detectors (SNSPDs) relies on substrate materials. Magnesium fluoride (MgF2) exhibits outstanding optical properties, such as large optical transmission range and low refractive index (n = 1.38), making it an attractive substrate. We present the fabrication and the performance of SNSPDs made of a 4.5 nm thick NbN thin film deposited on MgF2 substrate for the wavelength of 1550 nm. The front-side illuminated SNSPDs without an optical cavity showed a maximal detection efficiency of 12.8% at a system dark count rate (DCR) of 100 Hz, while the backside illuminated SNSPDs with a SiO2/Au optical cavity atop displayed a maximal detection efficiency of 33% at a DCR of 100 Hz.

  10. Interface-state density estimation of n-type nanocrystalline FeSi2/p-type Si heterojunctions fabricated by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nopparuchikun, Adison; Promros, Nathaporn; Sittimart, Phongsaphak; Onsee, Peeradon; Duangrawa, Asanlaya; Teakchaicum, Sakmongkon; Nogami, Tomohiro; Yoshitake, Tsuyoshi

    2017-09-01

    By utilizing pulsed laser deposition (PLD), heterojunctions comprised of n-type nanocrystalline (NC) FeSi2 thin films and p-type Si substrates were fabricated at room temperature in this study. Both dark and illuminated current density-voltage (J-V) curves for the heterojunctions were measured and analyzed at room temperature. The heterojunctions demonstrated a large reverse leakage current as well as a weak near-infrared light response. Based on the analysis of the dark forward J-V curves, at the V value  ⩽  0.2 V, we show that a carrier recombination process was governed at the heterojunction interface. When the V value was  >  0.2 V, the probable mechanism of carrier transportation was a space-charge limited-current process. Both the measurement and analysis for capacitance-voltage-frequency (C-V-f ) and conductance-voltage-frequency (G-V-f ) curves were performed in the applied frequency (f ) range of 50 kHz-2 MHz at room temperature. From the C-V-f and G-V-f curves, the density of interface states (N ss) for the heterojunctions was computed by using the Hill-Coleman method. The N ss values were 9.19  ×  1012 eV-1 cm-2 at 2 MHz and 3.15  ×  1014 eV-1 cm-2 at 50 kHz, which proved the existence of interface states at the heterojunction interface. These interface states are the probable cause of the degraded electrical performance in the heterojunctions. Invited talk at 5th Thailand International Nanotechnology Conference (Nano Thailand-2016), 27-29 November 2016, Nakhon Ratchasima, Thailand.

  11. Preparation, characterization, physical properties, and photoconducting behaviour of anthracene derivative nanowires

    NASA Astrophysics Data System (ADS)

    Xiao, Jinchong; Yin, Zongyou; Yang, Bo; Liu, Yi; Ji, Li; Guo, Jun; Huang, Ling; Liu, Xuewei; Yan, Qingyu; Zhang, Hua; Zhang, Qichun

    2011-11-01

    Organic nanowires of 9,10-dibromoanthracene (DBA) and 9,10-dicyanoanthracene (DCNA) were obtained by adding the THF solution of DBA/DCNA into water containing P123 surfactants. The as-prepared nanowires were characterized by UV-vis, fluorescence spectra, Field Emission Scanning Electron Microscopy (FESEM), and Transmission Electron Microscopy (TEM). We found that DBA and DCNA nanowires emitted green light rather than blue light for molecules in THF solution. The red-shift UV and fluorescent spectra of DBA and DCNA nanowires implied that these nanowires were formed through J-aggregation. The photoconducting study of DBA/DCNA nanowire-based network on rGO/SiO2/Si shows different photocurrent behaviors upon irradiation, which displayed that electron transfer from DCNA nanowire to rGO was stronger than that of DBA nanowires to rGO.Organic nanowires of 9,10-dibromoanthracene (DBA) and 9,10-dicyanoanthracene (DCNA) were obtained by adding the THF solution of DBA/DCNA into water containing P123 surfactants. The as-prepared nanowires were characterized by UV-vis, fluorescence spectra, Field Emission Scanning Electron Microscopy (FESEM), and Transmission Electron Microscopy (TEM). We found that DBA and DCNA nanowires emitted green light rather than blue light for molecules in THF solution. The red-shift UV and fluorescent spectra of DBA and DCNA nanowires implied that these nanowires were formed through J-aggregation. The photoconducting study of DBA/DCNA nanowire-based network on rGO/SiO2/Si shows different photocurrent behaviors upon irradiation, which displayed that electron transfer from DCNA nanowire to rGO was stronger than that of DBA nanowires to rGO. Electronic supplementary information (ESI) available: XRD patterns and simulations, and FT-IR spectra. CCDC reference numbers 840471. For ESI and crystallographic data in CIF or other electronic format see DOI: 10.1039/c1nr10655d

  12. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  13. Self-assembled growth of MnSi~1.7 nanowires with a single orientation and a large aspect ratio on Si(110) surfaces

    PubMed Central

    2013-01-01

    MnSi~1.7 nanowires (NWs) with a single orientation and a large aspect ratio have been formed on a Si(110) surface with the molecular beam epitaxy method by a delicate control of growth parameters, such as temperature, deposition rate, and deposition time. Scanning tunneling microscopy (STM) was employed to study the influence of these parameters on the growth of NWs. The supply of free Si atoms per unit time during the silicide reaction plays a critical role in the growth kinetics of the NWs. High growth temperature and low deposition rate are favorable for the formation of NWs with a large aspect ratio. The orientation relationship between the NWs and the reconstruction rows of the Si(110) surface suggests that the NWs grow along the 11¯0 direction of the silicon substrate. High-resolution STM and backscattered electron scanning electron microscopy images indicate that the NWs are composed of MnSi~1.7. PMID:23339353

  14. GaN Nanowire MOSFET with Near-Ideal Subthreshold Slope.

    PubMed

    Li, Wenjun; Brubaker, Matt D; Spann, Bryan T; Bertness, Kris A; Fay, Patrick

    2018-02-01

    Wrap-around gate GaN nanowire MOSFETs using Al 2 O 3 as gate oxide have been experimentally demonstrated. The fabricated devices exhibit a minimum subthreshold slope of 60 mV/dec, an average subthreshold slope of 68 mV/dec over three decades of drain current, drain-induced barrier lowering of 27 mV/V, an on-current of 42 μA/μm (normalized by nanowire circumference), on/off ratio over 10 8 , an intrinsic transconductance of 27.8 μS/μm, for a switching efficiency figure of merit, Q=g m /SS of 0.41 μS/μm-dec/mV. These performance metrics make GaN nanowire MOSFETs a promising candidate for emerging low-power applications such as sensors and RF for the internet of things.

  15. Synthesis and characterization of barium silicide (BaSi2) nanowire arrays for potential solar applications.

    PubMed

    Pokhrel, Ankit; Samad, Leith; Meng, Fei; Jin, Song

    2015-11-07

    In order to utilize nanostructured materials for potential solar and other energy-harvesting applications, scalable synthetic techniques for these materials must be developed. Herein we use a vapor phase conversion approach to synthesize nanowire (NW) arrays of semiconducting barium silicide (BaSi2) in high yield for the first time for potential solar applications. Dense arrays of silicon NWs obtained by metal-assisted chemical etching were converted to single-crystalline BaSi2 NW arrays by reacting with Ba vapor at about 930 °C. Structural characterization by X-ray diffraction and high-resolution transmission electron microscopy confirm that the converted NWs are single-crystalline BaSi2. The optimal conversion reaction conditions allow the phase-pure synthesis of BaSi2 NWs that maintain the original NW morphology, and tuning the reaction parameters led to a controllable synthesis of BaSi2 films on silicon substrates. The optical bandgap and electrochemical measurements of these BaSi2 NWs reveal a bandgap and carrier concentrations comparable to previously reported values for BaSi2 thin films.

  16. Observation of positive and small electron affinity of Si-doped AlN films grown by metalorganic chemical vapor deposition on n-type 6H-SiC

    NASA Astrophysics Data System (ADS)

    Feng, Liang; Ping, Chen; De-Gang, Zhao; De-Sheng, Jiang; Zhi-Juan, Zhao; Zong-Shun, Liu; Jian-Jun, Zhu; Jing, Yang; Wei, Liu; Xiao-Guang, He; Xiao-Jing, Li; Xiang, Li; Shuang-Tao, Liu; Hui, Yang; Li-Qun, Zhang; Jian-Ping, Liu; Yuan-Tao, Zhang; Guo-Tong, Du

    2016-05-01

    We have investigated the electron affinity of Si-doped AlN films (N Si = 1.0 × 1018-1.0 × 1019 cm-3) with thicknesses of 50, 200, and 400 nm, synthesized by metalorganic chemical vapor deposition (MOCVD) under low pressure on the n-type (001)6H-SiC substrates. The positive and small electron affinity of AlN films was observed through the ultraviolet photoelectron spectroscopy (UPS) analysis, where an increase in electron affinity appears with the thickness of AlN films increasing, i.e., 0.36 eV for the 50-nm-thick one, 0.58 eV for the 200-nm-thick one, and 0.97 eV for the 400-nm-thick one. Accompanying the x-ray photoelectron spectroscopy (XPS) analysis on the surface contaminations, it suggests that the difference of electron affinity between our three samples may result from the discrepancy of surface impurity contaminations. Project supported by the National Natural Science Foundation of China (Grant Nos. 61574135, 61574134, 61474142, 61474110, 61377020, 61376089, 61223005, and 61321063), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).

  17. Carbon-hydrogen defects with a neighboring oxygen atom in n-type Si

    NASA Astrophysics Data System (ADS)

    Gwozdz, K.; Stübner, R.; Kolkovsky, Vl.; Weber, J.

    2017-07-01

    We report on the electrical activation of neutral carbon-oxygen complexes in Si by wet-chemical etching at room temperature. Two deep levels, E65 and E75, are observed by deep level transient spectroscopy in n-type Czochralski Si. The activation enthalpies of E65 and E75 are obtained as EC-0.11 eV (E65) and EC-0.13 eV (E75). The electric field dependence of their emission rates relates both levels to single acceptor states. From the analysis of the depth profiles, we conclude that the levels belong to two different defects, which contain only one hydrogen atom. A configuration is proposed, where the CH1BC defect, with hydrogen in the bond-centered position between neighboring C and Si atoms, is disturbed by interstitial oxygen in the second nearest neighbor position to substitutional carbon. The significant reduction of the CH1BC concentration in samples with high oxygen concentrations limits the use of this defect for the determination of low concentrations of substitutional carbon in Si samples.

  18. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    PubMed

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  19. Growth mechanism of isolated indium nanowires formed on Si(5 5 12)-2 × 1 templates

    NASA Astrophysics Data System (ADS)

    Zhu, Yong-Zhe; Kim, Hidong; Seo, Jae M.

    2012-08-01

    Through self-assembly of In atoms on a reconstructed Si(5 5 12)-2×1 surface, nanowires of a width less than 5 nm have been formed. One specific site of the one-dimensional structure of the substrate turns out to be inert to arriving In atoms so that the self-assembled nanowires are well-isolated from each other, resulting in a high aspect ratio. In addition to such an isolation, keeping the same periodicity as the substrate ( i.e., 5.35 nm) during such self-assembly is another interesting point of the present system. In the present study, the detailed growth mechanism has been disclosed by using scanning tunneling microscopy.

  20. Single Schottky junction FETs based on Si:P nanowires with axially graded doping

    NASA Astrophysics Data System (ADS)

    Barreda, Jorge; Keiper, Timothy; Zhang, Mei; Xiong, Peng

    2015-03-01

    Si nanowires (NWs) with a systematic axial increase in phosphorus doping have been synthesized via a vapor-liquid-solid method. Silane and phosphine precursor gases are utilized for the growth and doping, respectively. The phosphorous doping profile is controlled by the flow ratio of the precursor gases. After the as-grown product is ultrasonically agitated into a solution, the Si NWs are dispersed on a SiO2 substrate with a highly doped Si back gate. Individual NWs are identified for the fabrication of field-effect transistors (FETs) with multiple Cr/Ag contacts along the NW. Two-probe and four-probe measurements are taken systematically under vacuum conditions at room temperature and the contribution from each contact and each NW section between adjacent contacts is determined. The graded doping level, produced by a systematic reduction in dopant density along the length of the NWs, is manifested in the regular increases in the channel and contact resistances. Our Si NWs facilitate the fabrication of asymmetric FETs with one ohmic and one Schottky contact. A significant increase in gate modulation is obtained due to the single Schottky-barrier contact. Characterization details and the applicability for sensing purposes will be discussed.

  1. Aluminum nitride nanowire light emitting diodes: Breaking the fundamental bottleneck of deep ultraviolet light sources

    PubMed Central

    Zhao, S.; Connie, A. T.; Dastjerdi, M. H. T.; Kong, X. H.; Wang, Q.; Djavid, M.; Sadaf, S.; Liu, X. D.; Shih, I.; Guo, H.; Mi, Z.

    2015-01-01

    Despite broad interest in aluminum gallium nitride (AlGaN) optoelectronic devices for deep ultraviolet (DUV) applications, the performance of conventional Al(Ga)N planar devices drastically decays when approaching the AlN end, including low internal quantum efficiencies (IQEs) and high device operation voltages. Here we show that these challenges can be addressed by utilizing nitrogen (N) polar Al(Ga)N nanowires grown directly on Si substrate. By carefully tuning the synthesis conditions, a record IQE of 80% can be realized with N-polar AlN nanowires, which is nearly ten times higher compared to high quality planar AlN. The first 210 nm emitting AlN nanowire light emitting diodes (LEDs) were achieved, with a turn on voltage of about 6 V, which is significantly lower than the commonly observed 20 – 40 V. This can be ascribed to both efficient Mg doping by controlling the nanowire growth rate and N-polarity induced internal electrical field that favors hole injection. In the end, high performance N-polar AlGaN nanowire LEDs with emission wavelengths covering the UV-B/C bands were also demonstrated. PMID:25684335

  2. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  3. Origin of visible and near-infrared photoluminescence from chemically etched Si nanowires decorated with arbitrarily shaped Si nanocrystals.

    PubMed

    Ghosh, Ramesh; Giri, P K; Imakita, Kenji; Fujii, Minoru

    2014-01-31

    Arrays of vertically aligned single crystalline Si nanowires (NWs) decorated with arbitrarily shaped Si nanocrystals (NCs) have been fabricated by a silver assisted wet chemical etching method. Scanning electron microscopy and transmission electron microscopy are performed to measure the dimensions of the Si NWs as well as the Si NCs. A strong broad band and tunable visible (2.2 eV) to near-infrared (1.5 eV) photoluminescence (PL) is observed from these Si NWs at room temperature (RT). Our studies reveal that the Si NCs are primarily responsible for the 1.5-2.2 eV emission depending on the cross-sectional area of the Si NCs, while the large diameter Si/SiOx NWs yield distinct NIR PL consisting of peaks at 1.07, 1.10 and 1.12 eV. The latter NIR peaks are attributed to TO/LO phonon assisted radiative recombination of free carriers condensed in the electron-hole plasma in etched Si NWs observed at RT for the first time. Since the shape of the Si NCs is arbitrary, an analytical model is proposed to correlate the measured PL peak position with the cross-sectional area (A) of the Si NCs, and the bandgap (E(g)) of nanostructured Si varies as E(g) = E(g) (bulk) + 3.58 A(-0.52). Low temperature PL studies reveal the contribution of non-radiative defects in the evolution of PL spectra at different temperatures. The enhancement of PL intensity and red-shift of the PL peak at low temperatures are explained based on the interplay of radiative and non-radiative recombinations at the Si NCs and Si/SiO(x) interface. Time resolved PL studies reveal bi-exponential decay with size correlated lifetimes in the range of a few microseconds. Our results help to resolve a long standing debate on the origin of visible-NIR PL from Si NWs and allow quantitative analysis of PL from arbitrarily shaped Si NCs.

  4. Temperature-dependent structure and phase variation of nickel silicide nanowire arrays prepared by in situ silicidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hailong; She, Guangwei, E-mail: shegw@mail.ipc.ac.cn; Mu, Lixuan

    Graphical abstract: Display Omitted Highlight: ► Nickel silicides nanowire arrays prepared by a simple in situ silicidation method. ► Phases of nickel silicides could be varied by tuning the reaction temperature. ► A growth model was proposed for the nickel silicides nanowires. ► Diffusion rates of Ni and Si play a critical role for the phase variation. -- Abstract: In this paper, we report an in situ silicidizing method to prepare nickel silicide nanowire arrays with varied structures and phases. The in situ reaction (silicidation) between Si and NiCl{sub 2} led to conversion of Si nanowires to nickel silicide nanowires.more » Structures and phases of the obtained nickel silicides could be varied by changing the reaction temperature. At a relatively lower temperature of 700 °C, the products are Si/NiSi core/shell nanowires or NiSi nanowires, depending on the concentration of NiCl{sub 2} solution. At a higher temperature (800 °C and 900 °C), other phases of the nickel silicides, including Ni{sub 2}Si, Ni{sub 31}Si{sub 12}, and NiSi{sub 2}, were obtained. It is proposed that the different diffusion rates of Ni and Si atoms at different temperatures played a critical role in the formation of nickel silicide nanowires with different phases.« less

  5. Vertical architecture for enhancement mode power transistors based on GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yu, F.; Rümmler, D.; Hartmann, J.; Caccamo, L.; Schimpke, T.; Strassburg, M.; Gad, A. E.; Bakin, A.; Wehmann, H.-H.; Witzigmann, B.; Wasisto, H. S.; Waag, A.

    2016-05-01

    The demonstration of vertical GaN wrap-around gated field-effect transistors using GaN nanowires is reported. The nanowires with smooth a-plane sidewalls have hexagonal geometry made by top-down etching. A 7-nanowire transistor exhibits enhancement mode operation with threshold voltage of 1.2 V, on/off current ratio as high as 108, and subthreshold slope as small as 68 mV/dec. Although there is space charge limited current behavior at small source-drain voltages (Vds), the drain current (Id) and transconductance (gm) reach up to 314 mA/mm and 125 mS/mm, respectively, when normalized with hexagonal nanowire circumference. The measured breakdown voltage is around 140 V. This vertical approach provides a way to next-generation GaN-based power devices.

  6. Emerging methanol-tolerant AlN nanowire oxygen reduction electrocatalyst for alkaline direct methanol fuel cell.

    PubMed

    Lei, M; Wang, J; Li, J R; Wang, Y G; Tang, H L; Wang, W J

    2014-08-11

    Replacing precious and nondurable Pt catalysts with cheap materials is a key issue for commercialization of fuel cells. In the case of oxygen reduction reaction (ORR) catalysts for direct methanol fuel cell (DMFC), the methanol tolerance is also an important concern. Here, we develop AlN nanowires with diameters of about 100-150 nm and the length up to 1 mm through crystal growth method. We find it is electrochemically stable in methanol-contained alkaline electrolyte. This novel material exhibits pronounced electrocatalytic activity with exchange current density of about 6.52 × 10(-8) A/cm(2). The single cell assembled with AlN nanowire cathodic electrode achieves a power density of 18.9 mW cm(-2). After being maintained at 100 mA cm(-2) for 48 h, the AlN nanowire-based single cell keeps 92.1% of the initial performance, which is in comparison with 54.5% for that assembled with Pt/C cathode. This discovery reveals a new type of metal nitride ORR catalyst that can be cheaply produced from crystal growth method.

  7. Piezo-Hall effect and fundamental piezo-Hall coefficients of single crystal n-type 3C-SiC(100) with low carrier concentration

    NASA Astrophysics Data System (ADS)

    Qamar, Afzaal; Dao, Dzung Viet; Dinh, Toan; Iacopi, Alan; Walker, Glenn; Phan, Hoang-Phuong; Hold, Leonie; Dimitrijev, Sima

    2017-04-01

    This article reports the results on the piezo-Hall effect in single crystal n-type 3C-SiC(100) having a low carrier concentration. The effect of the crystallographic orientation on the piezo-Hall effect has been investigated by applying stress to the Hall devices fabricated in different crystallographic directions. Single crystal n-type 3C-SiC(100) and 3C-SiC(111) were grown by low pressure chemical vapor deposition at 1250 °C. Fundamental piezo-Hall coefficients were obtained using the piezo-Hall effect measurements as P11 = (-29 ± 1.3) × 10-11 Pa-1, P12 = (11.06 ± 0.5)× 10-11 Pa-1, and P44 = (-3.4 ± 0.7) × 10-11 Pa-1. It has been observed that the piezo-Hall coefficients of n-type 3C-SiC(100) show a completely different behavior as compared to that of p-type 3C-SiC.

  8. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  9. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  10. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  11. Bias sputtered NbN and superconducting nanowire devices

    NASA Astrophysics Data System (ADS)

    Dane, Andrew E.; McCaughan, Adam N.; Zhu, Di; Zhao, Qingyuan; Kim, Chung-Soo; Calandri, Niccolo; Agarwal, Akshay; Bellei, Francesco; Berggren, Karl K.

    2017-09-01

    Superconducting nanowire single photon detectors (SNSPDs) promise to combine near-unity quantum efficiency with >100 megacounts per second rates, picosecond timing jitter, and sensitivity ranging from x-ray to mid-infrared wavelengths. However, this promise is not yet fulfilled, as superior performance in all metrics is yet to be combined into one device. The highest single-pixel detection efficiency and the widest bias windows for saturated quantum efficiency have been achieved in SNSPDs based on amorphous materials, while the lowest timing jitter and highest counting rates were demonstrated in devices made from polycrystalline materials. Broadly speaking, the amorphous superconductors that have been used to make SNSPDs have higher resistivities and lower critical temperature (Tc) values than typical polycrystalline materials. Here, we demonstrate a method of preparing niobium nitride (NbN) that has lower-than-typical superconducting transition temperature and higher-than-typical resistivity. As we will show, NbN deposited onto unheated SiO2 has a low Tc and high resistivity but is too rough for fabricating unconstricted nanowires, and Tc is too low to yield SNSPDs that can operate well at liquid helium temperatures. By adding a 50 W RF bias to the substrate holder during sputtering, the Tc of the unheated NbN films was increased by up to 73%, and the roughness was substantially reduced. After optimizing the deposition for nitrogen flow rates, we obtained 5 nm thick NbN films with a Tc of 7.8 K and a resistivity of 253 μΩ cm. We used this bias sputtered room temperature NbN to fabricate SNSPDs. Measurements were performed at 2.5 K using 1550 nm light. Photon count rates appeared to saturate at bias currents approaching the critical current, indicating that the device's quantum efficiency was approaching unity. We measured a single-ended timing jitter of 38 ps. The optical coupling to these devices was not optimized; however, integration with front-side optical

  12. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  13. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  14. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  15. InN/InGaN dot-in-a-wire nanostructures emitting at 1.55 µm

    NASA Astrophysics Data System (ADS)

    Chen, Qiming; Yan, Changling; Qu, Yi

    2017-03-01

    The room temperature photoluminescence emission at 1.55 µm from InN/In0.7Ga0.3N dot-in-nanowire heterostructures, which was grown on self-assembled GaN nanowires on Si (1 1 1) under N-rich condition by plasma assisted molecular beam epitaxy, has been clarified in this paper. The morphology of the nanowires was uniform along the c-axis as proved by scanning electron microscope, each of the nanowires was grown individually and homogeneously without any coalescence phenomenon respectively. The nanowires dispersed on a silicon substrate showed very clear InN dot-in-nanowire structure by high resolution transmission electron microscopy. The structural properties of the individual InGaN nanocolumn were further investigated by high-angle annular dark field image analysis and energy dispersive x-ray spectrum, which confirmed the successful growth of InN quantum dot embedded in InGaN nanowire.

  16. High Piezoelectric Conversion Properties of Axial InGaN/GaN Nanowires.

    PubMed

    Jegenyes, Nikoletta; Morassi, Martina; Chrétien, Pascal; Travers, Laurent; Lu, Lu; Julien, Francois H; Tchernycheva, Maria; Houzé, Frédéric; Gogneau, Noelle

    2018-05-25

    We demonstrate for the first time the efficient mechanical-electrical conversion properties of InGaN/GaN nanowires (NWs). Using an atomic force microscope equipped with a modified Resiscope module, we analyse the piezoelectric energy generation of GaN NWs and demonstrate an important enhancement when integrating in their volume a thick In-rich InGaN insertion. The piezoelectric response of InGaN/GaN NWs can be tuned as a function of the InGaN insertion thickness and position in the NW volume. The energy harvesting is favoured by the presence of a PtSi/GaN Schottky diode which allows to efficiently collect the piezo-charges generated by InGaN/GaN NWs. Average output voltages up to 330 ± 70 mV and a maximum value of 470 mV per NW has been measured for nanostructures integrating 70 nm-thick InGaN insertion capped with a thin GaN top layer. This latter value establishes an increase of about 35% of the piezo-conversion capacity in comparison with binary p-doped GaN NWs. Based on the measured output signals, we estimate that one layer of dense InGaN/GaN-based NW can generate a maximum output power density of about 3.3 W/cm². These results settle the new state-of-the-art for piezo-generation from GaN-based NWs and offer a promising perspective for extending the performances of the piezoelectric sources.

  17. Ultrafast Carbon Dioxide Sorption Kinetics Using Lithium Silicate Nanowires.

    PubMed

    Nambo, Apolo; He, Juan; Nguyen, Tu Quang; Atla, Veerendra; Druffel, Thad; Sunkara, Mahendra

    2017-06-14

    In this paper, the Li 4 SiO 4 nanowires (NWs) were shown to be promising for CO 2 capture with ultrafast kinetics. Specifically, the nanowire powders exhibited an uptake of 0.35 g g -1 of CO 2 at an ultrafast adsorption rate of 0.22 g g -1 min -1 at 650-700 °C. Lithium silicate (Li 4 SiO 4 ) nanowires and nanopowders were synthesized using a "solvo-plasma" technique involving plasma oxidation of silicon precursors mixed with lithium hydroxide. The kinetic parameter values (k) extracted from sorption kinetics obtained using NW powders are 1 order of magnitude higher than those previously reported for the Li 4 SiO 4 -CO 2 reaction system. The time scales for CO 2 sorption using nanowires are approximately 3 min and two orders magnitude faster compared to those obtained using lithium silicate powders with spherical morphologies and aggregates. Furthermore, Li 4 SiO 4 nanowire powders showed reversibility through sorption-desorption cycles indicating their suitability for CO 2 capture applications. All of the morphologies of Li 4 SiO 4 powders exhibited a double exponential behavior in the adsorption kinetics indicating two distinct time constants for kinetic and the mass transfer limited regimes.

  18. p-n Junction Diodes Fabricated on Si-Si/Ge Heteroepitaxial Films

    NASA Technical Reports Server (NTRS)

    Das, K.; Mazumder, M. D. A.; Hall, H.; Alterovitz, Samuel A. (Technical Monitor)

    2000-01-01

    A set of photolithographic masks was designed for the fabrication of diodes in the Si-Si/Ge material system. Fabrication was performed on samples obtained from two different wafers: (1) a complete HBT structure with an n (Si emitter), p (Si/Ge base), and an n/n+ (Si collector/sub-collector) deposited epitaxially (MBE) on a high resistivity p-Si substrate, (2) an HBT structure where epitaxial growth was terminated after the p-type base (Si/Ge) layer deposition. Two different process runs were attempted for the fabrication of Si-Si/Ge (n-p) and Si/Ge-Si (p-n) junction diodes formed between the emitter-base and base-collector layers, respectively, of the Si-Si/Ge-Si HBT structure. One of the processes employed a plasma etching step to expose the p-layer in the structure (1) and to expose the e-layer in structure (2). The Contact metallization used for these diodes was a Cu-based metallization scheme that was developed during the first year of the grant. The plasma-etched base-collector diodes on structure (2) exhibited well-behaved diode-like characteristics. However, the plasma-etched emitter-base diodes demonstrated back-to-back diode characteristics. These back-to back characteristics were probably due to complete etching of the base-layer, yielding a p-n-p diode. The deep implantation process yielded rectifying diodes with asymmetric forward and reverse characteristics. The ideality factor of these diodes were between 1.6 -2.1, indicating that the quality of the MBE grown epitaxial films was not sufficiently high, and also incomplete annealing of the implantation damage. Further study will be conducted on CVD grown films, which are expected to have higher epitaxial quality.

  19. A room temperature ethanol sensor made from p-type Sb-doped SnO2 nanowires.

    PubMed

    Wu, Jyh Ming

    2010-06-11

    A p-type ethanol sensor with a response time of approximately 8.3 s at room temperature was produced by SnO(2):Sb nanowires. The electrical properties of p-type SnO(2) nanowires are stable with a hole concentration of 1.544 x 10(17) cm(-3) and a field-effect mobility of 22 cm(2) V(-2) S(-1). X-ray photoelectron spectroscopy (XPS) and Hall measurement revealed that as-synthesized nanowires exhibit p-type behavior. A comprehensive investigation of the p-type sensing mechanism is reported.

  20. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires

    PubMed Central

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-01-01

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased. PMID:27556534

  1. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires.

    PubMed

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-08-24

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased.

  2. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  3. Nanoscale current uniformity and injection efficiency of nanowire light emitting diodes

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Selcu, Camelia M.; Sarwar, A. T. M. G.; Myers, Roberto C.

    2018-02-01

    As an alternative to light emitting diodes (LEDs) based on thin films, nanowire based LEDs are the focus of recent development efforts in solid state lighting as they offer distinct photonic advantages and enable direct integration on a variety of different substrates. However, for practical nanowire LEDs to be realized, uniform electrical injection must be achieved through large numbers of nanowire LEDs. Here, we investigate the effect of the integration of a III-Nitride polarization engineered tunnel junction (TJ) in nanowire LEDs on Si on both the overall injection efficiency and nanoscale current uniformity. By using conductive atomic force microscopy (cAFM) and current-voltage (IV) analysis, we explore the link between the nanoscale nonuniformities and the ensemble devices which consist of many diodes wired in parallel. Nanometer resolved current maps reveal that the integration of a TJ on n-Si increases the amount of current a single nanowire can pass at a given applied bias by up to an order of magnitude, with the top 10% of wires passing more than ×3.5 the current of nanowires without a TJ. This manifests at the macroscopic level as a reduction in threshold voltage by more than 3 V and an increase in differential conductance as a direct consequence of the integration of the TJ. These results show the utility of cAFM to quantitatively probe the electrical inhomogeneities in as-grown nanowire ensembles without introducing uncertainty due to additional device processing steps, opening the door to more rapid development of nanowire ensemble based photonics.

  4. Visible electroluminescence from a ZnO nanowires/p-GaN heterojunction light emitting diode.

    PubMed

    Baratto, C; Kumar, R; Comini, E; Faglia, G; Sberveglieri, G

    2015-07-27

    In the current paper we apply catalyst assisted vapour phase growth technique to grow ZnO nanowires (ZnO nws) on p-GaN thin film obtaining EL emission in reverse bias regime. ZnO based LED represents a promising alternative to III-nitride LEDs, as in free devices: the potential is in near-UV emission and visible emission. For ZnO, the use of nanowires ensures good crystallinity of the ZnO, and improved light extraction from the interface when the nanowires are vertically aligned. We prepared ZnO nanowires in a tubular furnace on GaN templates and characterized the p-n ZnO nws/GaN heterojunction for LED applications. SEM microscopy was used to study the growth of nanowires and device preparation. Photoluminescence (PL) and Electroluminescence (EL) spectroscopies were used to characterize the heterojunction, showing that good quality of PL emission is observed from nanowires and visible emission from the junction can be obtained from the region near ZnO contact, starting from onset bias of 6V.

  5. Fabrication of Multilayer-Type Mn-Si Thermoelectric Device

    NASA Astrophysics Data System (ADS)

    Kajitani, T.; Ueno, T.; Miyazaki, Y.; Hayashi, K.; Fujiwara, T.; Ihara, R.; Nakamura, T.; Takakura, M.

    2014-06-01

    This research aims to develop a direct-contact manganese silicon p/ n multilayer-type thermoelectric power generation block. p-type MnSi1.74 and n-type Mn0.7Fe0.3Si1.68 ball-milled powders with diameter of about 10 μm or less were mixed with polyvinyl butyl alcohol diluted with methylbenzene at pigment volume concentration of approximately 70%. The doctor-blade method produced 45- μm-thick p- and n-type pigment plates. The insulator, i.e., powdered glass, was mixed with cellulose to form insulator slurry. Lamination of manganese silicide pigment layers and screen-printed insulator layers was carried out to fabricate multilayer direct-contact thermoelectric devices. Hot pressing and spark plasma sintering were carried out at 450°C and 900°C, respectively. Four to 30 thermoelectric (TE) p/ n pairs were fabricated in a 10 mm × 10 mm × 10 mm sintered TE block. The maximum output was 11.7 mW/cm2 at a temperature difference between 20°C and 700°C, which was about 1/85 of the ideal power generation estimated from the thermoelectric data of the bulk MnSi1.74 and Mn0.7Fe0.3Si1.68 materials. A power generation test using an engine test bench was also carried out.

  6. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  7. Boric acid solution concentration influencing p-type emitter formation in n-type crystalline Si solar cells

    NASA Astrophysics Data System (ADS)

    Singha, Bandana; Singh Solanki, Chetan

    2016-09-01

    Boric acid (BA) is a spin on dopant (BSoD) source which is used to form p+ emitters in n-type c-Si solar cells. High purity boric acid powder (99.99% pure) when mixed with deionized (DI) water can result in high quality p-type emitter with less amount of surface defects. In this work, we have used different concentrations of boric acid solution concentrations to fabricate p-type emitters with sheet resistance values < 90 Ω/□. The corresponding junction depths for the same are less than 500 nm as measured by SIMS analysis. Boron rich layer (BRL), which is considered as detrimental in emitter performance is found to be minimal for BA solution concentration less than 2% and hence useful for p-type emitter formation.

  8. Photoluminescence Probing of Complex H2O Adsorption on InGaN/GaN Nanowires.

    PubMed

    Maier, Konrad; Helwig, Andreas; Müller, Gerhard; Hille, Pascal; Teubert, Jörg; Eickhoff, Martin

    2017-02-08

    We demonstrate that the complex adsorption behavior of H 2 O on InGaN/GaN nanowire arrays is directly revealed by their ambient-dependent photoluminescence properties. Under low-humidity, ambient-temperature, and low-excitation-light conditions, H 2 O adsorbates cause a quenching of the photoluminescence. In contrast, for high humidity levels, elevated temperature, and high excitation intensity, H 2 O adsorbates act as efficient photoluminescence enhancers. We show that this behavior, which can only be detected due to the low operation temperature of the InGaN/GaN nanowires, can be explained on the basis of single H 2 O adsorbates forming surface recombination centers and multiple H 2 O adsorbates forming surface passivation layers. Reversible creation of such passivation layers is induced by the photoelectrochemical splitting of adsorbed water molecules and by the interaction of reactive H 3 O + and OH - ions with photoactivated InGaN surfaces. Due to electronic coupling of adsorbing molecules with photoactivated surfaces, InGaN/GaN nanowires act as sensitive nanooptical probes for the analysis of photoelectrochemical surface processes.

  9. Flexible Photodiodes Based on Nitride Core/Shell p–n Junction Nanowires

    PubMed Central

    2016-01-01

    A flexible nitride p-n photodiode is demonstrated. The device consists of a composite nanowire/polymer membrane transferred onto a flexible substrate. The active element for light sensing is a vertical array of core/shell p–n junction nanowires containing InGaN/GaN quantum wells grown by MOVPE. Electron/hole generation and transport in core/shell nanowires are modeled within nonequilibrium Green function formalism showing a good agreement with experimental results. Fully flexible transparent contacts based on a silver nanowire network are used for device fabrication, which allows bending the detector to a few millimeter curvature radius without damage. The detector shows a photoresponse at wavelengths shorter than 430 nm with a peak responsivity of 0.096 A/W at 370 nm under zero bias. The operation speed for a 0.3 × 0.3 cm2 detector patch was tested between 4 Hz and 2 kHz. The −3 dB cutoff was found to be ∼35 Hz, which is faster than the operation speed for typical photoconductive detectors and which is compatible with UV monitoring applications. PMID:27615556

  10. a-Si:H/SiNW shell/core for SiNW solar cell applications

    PubMed Central

    2013-01-01

    Vertically aligned silicon nanowires have been synthesized by the chemical etching of silicon wafers. The influence of a hydrogenated amorphous silicon (a-Si:H) layer (shell) on top of a silicon nanowire (SiNW) solar cell has been investigated. The optical properties of a-Si:H/SiNWs and SiNWs are examined in terms of optical reflection and absorption properties. In the presence of the a-Si:H shell, 5.2% reflection ratio in the spectral range (250 to 1,000 nm) is achieved with a superior absorption property with an average over 87% of the incident light. In addition, the characteristics of the solar cell have been significantly improved, which exhibits higher open-circuit voltage, short-circuit current, and efficiency by more than 15%, 12%, and 37%, respectively, compared with planar SiNW solar cells. Based on the current–voltage measurements and morphology results, we show that the a-Si:H shell can passivate the defects generated by wet etching processes. PMID:24195734

  11. Strain-induced structural defects and their effects on the electrochemical performances of silicon core/germanium shell nanowire heterostructures

    DOE PAGES

    Lin, Yung-Chen; Kim, Dongheun; Li, Zhen; ...

    2016-12-14

    Here we report on strain-induced structural defect formation in core Si nanowire of Si/Ge core/shell nanowire heterostructure and influences of the structural defects on the electrochemical performances in lithium-ion battery anodes based on Si/Ge core/shell nanowire heterostructures. The induced structural defects consisting of stacking faults and dislocations in the core Si nanowire were observed for the first time. The generation of stacking faults in Si/Ge core/shell nanowire heterostructure is observed to prefer settling in either only Ge shell region or in both Ge shell and Si core regions and is associated with the increase of the shell volume fraction. Themore » relax of misfit strain in [112] oriented core/shell nanowire heterostructure leads to subsequent gliding of Shockley partial dislocations, preferentially forming the twins. The observation of cross-over defect formation is of great importance for the understanding of heteroepitaxy in radial heterostructures at nanoscale and building the three dimensional heterostructures for the various applications. In addition, the effect of the defect formation on nanomaterial’s functionality is investigated by electrochemical performance test. The Si/Ge core/shell nanowire heterostructures enhance the gravimetric capacity of lithium ion battery anodes under fast charging/discharging rates compared to Si nanowires. However, the induced structural defects hamper lithiation of the Si/Ge core/shell nanowire heterostructure.« less

  12. Strain-induced structural defects and their effects on the electrochemical performances of silicon core/germanium shell nanowire heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Yung-Chen; Kim, Dongheun; Li, Zhen

    Here we report on strain-induced structural defect formation in core Si nanowire of Si/Ge core/shell nanowire heterostructure and influences of the structural defects on the electrochemical performances in lithium-ion battery anodes based on Si/Ge core/shell nanowire heterostructures. The induced structural defects consisting of stacking faults and dislocations in the core Si nanowire were observed for the first time. The generation of stacking faults in Si/Ge core/shell nanowire heterostructure is observed to prefer settling in either only Ge shell region or in both Ge shell and Si core regions and is associated with the increase of the shell volume fraction. Themore » relax of misfit strain in [112] oriented core/shell nanowire heterostructure leads to subsequent gliding of Shockley partial dislocations, preferentially forming the twins. The observation of cross-over defect formation is of great importance for the understanding of heteroepitaxy in radial heterostructures at nanoscale and building the three dimensional heterostructures for the various applications. In addition, the effect of the defect formation on nanomaterial’s functionality is investigated by electrochemical performance test. The Si/Ge core/shell nanowire heterostructures enhance the gravimetric capacity of lithium ion battery anodes under fast charging/discharging rates compared to Si nanowires. However, the induced structural defects hamper lithiation of the Si/Ge core/shell nanowire heterostructure.« less

  13. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    PubMed

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  14. Ultrafast carrier capture and Auger recombination in single GaN/InGaN multiple quantum well nanowires

    DOE PAGES

    Boubanga-Tombet, Stephane; Wright, Jeremy B.; Lu, Ping; ...

    2016-11-04

    Ultrafast optical microscopy is an important tool for examining fundamental phenomena in semiconductor nanowires with high temporal and spatial resolution. In this paper, we used this technique to study carrier dynamics in single GaN/InGaN core–shell nonpolar multiple quantum well nanowires. We find that intraband carrier–carrier scattering is the main channel governing carrier capture, while subsequent carrier relaxation is dominated by three-carrier Auger recombination at higher densities and bimolecular recombination at lower densities. Finally, the Auger constants in these nanowires are approximately 2 orders of magnitude lower than in planar InGaN multiple quantum wells, highlighting their potential for future light-emitting devices.

  15. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  16. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  17. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  18. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  19. Enhancement of p-type conductivity by modifying the internal electric field in Mg- and Si-δ-codoped AlxGa1-xN/AlyGa1-yN superlattices

    NASA Astrophysics Data System (ADS)

    Li, Jinchai; Yang, Weihuang; Li, Shuping; Chen, Hangyang; Liu, Dayi; Kang, Junyong

    2009-10-01

    The internal electric field is modified by using Mg- and Si-δ-codoped AlxGa1-xN/AlyGa1-yN superlattices (SLs). The first-principles simulation results show that the internal electric field in SL has been significantly intensified due to the charge transferring from Si-doped interface to Mg-doped interface. Accordingly, the Mg- and Si-δ-codoped p-type Al0.2Ga0.8N/GaN SLs are grown by metalorganic vapor phase epitaxy and higher hole concentration as much as twice of that in modulation-doped SL has been achieved, as determined by Hall effect measurements. Furthermore, by applying Mg- and Si-δ-codoped AlxGa1-xN/AlyGa1-yN SLs with high Al content as the p-type layers, we have fabricated deep ultraviolet light emitting diodes with superior current-voltage characteristics by lowering Mg-acceptor activation energy.

  20. Band line-up determination at p- and n-type Al/4H-SiC Schottky interfaces using photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Kohlscheen, J.; Emirov, Y. N.; Beerbom, M. M.; Wolan, J. T.; Saddow, S. E.; Chung, G.; MacMillan, M. F.; Schlaf, R.

    2003-09-01

    The band lineup of p- and n-type 4H-SiC/Al interfaces was determined using x-ray photoemission spectroscopy (XPS). Al was deposited in situ on ex situ cleaned SiC substrates in several steps starting at 1.2 Å up to 238 Å nominal film thickness. Before growth and after each growth step, the sample surface was characterized in situ by XPS. The analysis of the spectral shifts indicated that during the initial deposition stages the Al films react with the ambient surface contamination layer present on the samples after insertion into vacuum. At higher coverage metallic Al clusters are formed. The band lineups were determined from the analysis of the core level peak shifts and the positions of the valence bands maxima (VBM) depending on the Al overlayer thickness. Shifts of the Si 2p and C 1s XPS core levels occurred to higher (lower) binding energy for the p-(n-)type substrates, which was attributed to the occurrence of band bending due to Fermi-level equilibration at the interface. The hole injection barrier at the p-type interface was determined to be 1.83±0.1 eV, while the n-type interface revealed an electron injection barrier of 0.98±0.1 eV. Due to the weak features in the SiC valence bands measured by XPS, the VBM positions were determined using the Si 2p peak positions. This procedure required the determination of the Si 2p-to-VBM binding energy difference (99.34 eV), which was obtained from additional measurements.

  1. Design High-Efficiency III-V Nanowire/Si Two-Junction Solar Cell.

    PubMed

    Wang, Y; Zhang, Y; Zhang, D; He, S; Li, X

    2015-12-01

    In this paper, we report the electrical simulation results of a proposed GaInP nanowire (NW)/Si two-junction solar cell. The NW physical dimensions are determined for optimized solar energy absorption and current matching between each subcell. Two key factors (minority carrier lifetime, surface recombination velocity) affecting power conversion efficiency (PCE) of the solar cell are highlighted, and a practical guideline to design high-efficiency two-junction solar cell is thus provided. Considering the practical surface and bulk defects in GaInP semiconductor, a promising PCE of 27.5 % can be obtained. The results depict the usefulness of integrating NWs to construct high-efficiency multi-junction III-V solar cells.

  2. Composition controllability of InGaAs nanowire arrays in selective area growth with controlled pitches on Si platform

    NASA Astrophysics Data System (ADS)

    Chiba, Kohei; Tomioka, Katsuhiro; Yoshida, Akinobu; Motohisa, Junichi

    2017-12-01

    Composition controllability of vertical InGaAs nanowires (NWs) on Si integrated by selective area growth was characterized for Si photonics in the optical telecommunication bands. The pitch of pre-patterned holes (NW sites) changed to an In/Ga alloy-composition in the solid phase during the NW growth. The In composition with a nanometer-scaled pitch differed completely from that with a μm-scaled pitch. Accordingly, the growth morphologies of InGaAs NWs show different behavior with respect to the In/Ga ratio.

  3. In situ control of synchronous germanide/silicide reactions with Ge/Si core/shell nanowires to monitor formation and strain evolution in abrupt 2.7 nm channel length

    DOE PAGES

    Chen, Renjie; Nguyen, Binh-Minh; Tang, Wei; ...

    2017-05-22

    The metal-semiconductor interface in self-aligned contact formation can determine the overall performance of nanoscale devices. This interfacial morphology is predicted and well researched in homogenous semiconductor nanowires (NWs) but was not pursued in heterostructured core/shell nanowires. Here, we found here that the solid-state reactions between Ni and Ge/Si core/shell nanowires resulted in a protruded and a leading NiSiy segment into the channel. A single Ni 2Ge/NiSi y to Ge/Si core/shell interface was achieved by the selective shell removal near the Ni source/drain contact areas. In using in situ transmission electron microscopy, we measured the growth rate and anisotropic strain evolutionmore » in ultra-short channels. We also found elevated compressive strains near the interface between the compound contact and the NW and relatively lower strains near the center of the channel which increased exponentially below the 10 nm channel length to exceed 10% strain at ~3 nm lengths. These compressive strains are expected to result in a non-homogeneous energy band structure in Ge/Si core/shell NWs below 10 nm and potentially benefit their transistor performance.« less

  4. In situ control of synchronous germanide/silicide reactions with Ge/Si core/shell nanowires to monitor formation and strain evolution in abrupt 2.7 nm channel length

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Renjie; Nguyen, Binh-Minh; Tang, Wei

    The metal-semiconductor interface in self-aligned contact formation can determine the overall performance of nanoscale devices. This interfacial morphology is predicted and well researched in homogenous semiconductor nanowires (NWs) but was not pursued in heterostructured core/shell nanowires. Here, we found here that the solid-state reactions between Ni and Ge/Si core/shell nanowires resulted in a protruded and a leading NiSiy segment into the channel. A single Ni 2Ge/NiSi y to Ge/Si core/shell interface was achieved by the selective shell removal near the Ni source/drain contact areas. In using in situ transmission electron microscopy, we measured the growth rate and anisotropic strain evolutionmore » in ultra-short channels. We also found elevated compressive strains near the interface between the compound contact and the NW and relatively lower strains near the center of the channel which increased exponentially below the 10 nm channel length to exceed 10% strain at ~3 nm lengths. These compressive strains are expected to result in a non-homogeneous energy band structure in Ge/Si core/shell NWs below 10 nm and potentially benefit their transistor performance.« less

  5. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    PubMed

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  6. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching

    PubMed Central

    2014-01-01

    Abstract Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm−2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS 61.46.Km; 78.55.-m; 78.67.Lt PMID:24521284

  7. Development of high efficient visible light-driven N, S-codoped TiO2 nanowires photocatalysts

    NASA Astrophysics Data System (ADS)

    Zhang, Yanlin; Liu, Peihong; Wu, Honghai

    2015-02-01

    One-dimensional (1D) nanowire material (especially nonmetal doped 1D nanowires) synthesized by a facile way is of great significance and greatly desired as it has higher charge carrier mobility and lower carrier recombination rate. N, S-codoped TiO2 nanowires were synthesized using titanium sulfate as a precursor and isopropanol as a protective capping agent by a hydrothermal route. The obtained doped nanowires were characterized by XRD, SEM, HRTEM, SAED, XPS, BET and UV-vis absorption spectrum. The incorporation of N and S into TiO2 NWs can lead to the expansion of its lattice and remarkably lower its electron-transfer resistance. Photocatalytic activity measurement showed that the N, S-codoped TiO2 nanowires with high quantum efficiency revealed the best photocatalytic performance for atrazine degradation under visible light irradiation compared to N, S-codoped TiO2 nanoparticles and S-doped TiO2 nanowires, which was attributed to (i) the synergistic effect of N and S doping in narrowing the band gap, separating electron-hole pairs and increasing the photoinduced electrons, and (ii) extending the anatase-to-rutile transformation temperature above 600 °C.

  8. Origin of noise in liquid-gated Si nanowire troponin biosensors.

    PubMed

    Kutovyi, Y; Zadorozhnyi, I; Hlukhova, H; Handziuk, V; Petrychuk, M; Ivanchuk, Andriy; Vitusevich, S

    2018-04-27

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  9. Origin of noise in liquid-gated Si nanowire troponin biosensors

    NASA Astrophysics Data System (ADS)

    Kutovyi, Y.; Zadorozhnyi, I.; Hlukhova, H.; Handziuk, V.; Petrychuk, M.; Ivanchuk, Andriy; Vitusevich, S.

    2018-04-01

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  10. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  11. Charge Separation at Mixed-Dimensional Single and Multilayer MoS2/Silicon Nanowire Heterojunctions.

    PubMed

    Henning, Alex; Sangwan, Vinod K; Bergeron, Hadallia; Balla, Itamar; Sun, Zhiyuan; Hersam, Mark C; Lauhon, Lincoln J

    2018-05-16

    Layered two-dimensional (2-D) semiconductors can be combined with other low-dimensional semiconductors to form nonplanar mixed-dimensional van der Waals (vdW) heterojunctions whose charge transport behavior is influenced by the heterojunction geometry, providing a new degree of freedom to engineer device functions. Toward that end, we investigated the photoresponse of Si nanowire/MoS 2 heterojunction diodes with scanning photocurrent microscopy and time-resolved photocurrent measurements. Comparison of n-Si/MoS 2 isotype heterojunctions with p-Si/MoS 2 heterojunction diodes under varying biases shows that the depletion region in the p-n heterojunction promotes exciton dissociation and carrier collection. We measure an instrument-limited response time of 1 μs, which is 10 times faster than the previously reported response times for planar Si/MoS 2 devices, highlighting the advantages of the 1-D/2-D heterojunction. Finite element simulations of device models provide a detailed understanding of how the electrostatics affect charge transport in nanowire/vdW heterojunctions and inform the design of future vdW heterojunction photodetectors and transistors.

  12. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  13. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  14. Direct evidence of recombination between electrons in InGaN quantum discs and holes in p-type GaN.

    PubMed

    Sun, Xiaoxiao; Wang, Xinqiang; Wang, Ping; Wang, Tao; Sheng, Bowen; Zheng, Xiantong; Li, Mo; Zhang, Jian; Yang, Xuelin; Xu, Fujun; Ge, Weikun; Shen, Bo

    2017-11-27

    Intense emission from an InGaN quantum disc (QDisc) embedded in a GaN nanowire p-n junction is directly resolved by performing cathodoluminescence spectroscopy. The luminescence observed from the p-type GaN region is exclusively dominated by the emission at 380 nm, which has been usually reported as the emission from Mg induced impurity bands. Here, we confirm that the robust emission from 380 nm is actually not due to the Mg induced impurity bands, but rather due to being the recombination between electrons in the QDisc and holes in the p-type GaN. This identification helps to get a better understanding of the confused luminescence from nanowires with thin QDiscs embedded for fabricating electrically driven single photon emitters.

  15. Room-temperature InP/InAsP Quantum Discs-in-Nanowire Infrared Photodetectors.

    PubMed

    Karimi, Mohammad; Jain, Vishal; Heurlin, Magnus; Nowzari, Ali; Hussain, Laiq; Lindgren, David; Stehr, Jan Eric; Buyanova, Irina A; Gustafsson, Anders; Samuelson, Lars; Borgström, Magnus T; Pettersson, Håkan

    2017-06-14

    The possibility to engineer nanowire heterostructures with large bandgap variations is particularly interesting for technologically important broadband photodetector applications. Here we report on a combined study of design, fabrication, and optoelectronic properties of infrared photodetectors comprising four million n + -i-n + InP nanowires periodically ordered in arrays. The nanowires were grown by metal-organic vapor phase epitaxy on InP substrates, with either a single or 20 InAsP quantum discs embedded in the i-segment. By Zn compensation of the residual n-dopants in the i-segment, the room-temperature dark current is strongly suppressed to a level of pA/NW at 1 V bias. The low dark current is manifested in the spectrally resolved photocurrent measurements, which reveal strong photocurrent contributions from the InAsP quantum discs at room temperature with a threshold wavelength of about 2.0 μm and a bias-tunable responsivity reaching 7 A/W@1.38 μm at 2 V bias. Two different processing schemes were implemented to study the effects of radial self-gating in the nanowires induced by the nanowire/SiO x /ITO wrap-gate geometry. Summarized, our results show that properly designed axial InP/InAsP nanowire heterostructures are promising candidates for broadband photodetectors.

  16. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  17. Magnetoresistance effect in permalloy nanowires with various types of notches

    NASA Astrophysics Data System (ADS)

    Gao, Y.; You, B.; Wang, J.; Yuan, Y.; Wei, L. J.; Tu, H. Q.; Zhang, W.; Du, J.

    2018-05-01

    Suppressing the stochastic domain wall (DW) motion in magnetic nanowires is of great importance for designing DW-related spintronic devices. In this work, we have investigated the pinning/depinning processes of DWs in permalloy nanowires with three different types of notches by using longitudinal magnetoresistance (MR) measurement. The averaged MR curves demonstrate that the stochastic DW depinning is suppressed partly or even completely by a transversely asymmetric notch. The single-shot MR curves show that how the resistance changes with the applied field also depends strongly on the notch type while the DW is pinned around the notch. In the case of two depinning fields, larger (smaller) change of resistance always corresponds to larger (smaller) depinning field, regardless of the notch type. These phenomena can be understood by that the spin structure around the notch changes differently with the notch type when the DW is traveling through the notch.

  18. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  19. Engineering Graphene Quantum Dots for Enhanced Ultraviolet and Visible Light p-Si Nanowire-Based Photodetector.

    PubMed

    Mihalache, Iuliana; Radoi, Antonio; Pascu, Razvan; Romanitan, Cosmin; Vasile, Eugenia; Kusko, Mihaela

    2017-08-30

    In this work, a significant improvement of the classical silicon nanowire (SiNW)-based photodetector was achieved through the realization of core-shell structures using newly designed GQD PEI s via simple solution processing. The poly(ethyleneimine) (PEI)-assisted synthesis successfully tuned both optical and electrical properties of graphene quantum dots (GQDs) to fulfill the requirements for strong yellow photoluminescence emission along with large band gap formation and the introduction of electronic states inside the band gap. The fabrication of a GQD PEI -based device was followed by systematic structural and photoelectronic investigation. Thus, the GQD PEI /SiNW photodetector exhibited a large photocurrent to dark current ratio (I ph /I dark up to ∼0.9 × 10 2 under 4 V bias) and a remarkable improvement of the external quantum efficiency values that far exceed 100%. In this frame, GQD PEI s demonstrate the ability to arbitrate both charge-carrier photogeneration and transport inside a heterojunction, leading to simultaneous attendance of various mechanisms: (i) efficient suppression of the dark current governed by the type I alignment in energy levels, (ii) charge photomultiplication determined by the presence of the PEI-induced electron trap levels, and (iii) broadband ultraviolet-to-visible downconversion effects.

  20. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  1. Quantum confinement effects in lithographic sub-5 nm Silicon nanowire fets and integration of si nanograting fet biosensors

    NASA Astrophysics Data System (ADS)

    Trivedi, Krutarth B.

    In recent years, widespread accessibility to reliable nanofabrication techniques such as high resolution electron beam lithography as well as development of innovative techniques such as nanoimprint lithography and chemically grown nano-materials like carbon nanotubes and graphene have spurred a boom in many fields of research involving nanoscale features and devices. The breadth of fields in which nanoscale features represent a new paradigm is staggering. Scaling down device dimensions to nanoscale enables non-classical quantum behavior and allows for interaction with similarly sized natural materials, like proteins and DNA, as never before, affording an unprecedented level of performance and control and fostering a seemingly boundless array of unique applications. Much of the research effort has been directed toward understanding such interactions to leverage the potential of nanoscale devices to enhance electronic and medical technology. In keeping with the spirit of application based research, my graduate research career has spanned the development of nanoimprint techniques and devices for novel applications, demonstration and study of sub-5 nm Si nanowire FETs exhibiting tangible performance enhancement over conventional MOSFETs, and development of an integrated Si nanograting FET based biosensor and related framework. The following dissertation details my work in fabrication of sub-5 nm Si nanowire FETs and characterization of quantum confinement effects in charge transport of FETs with 2D and 1D channel geometry, fabrication and characterization of schottky contact Si nanograting FET sensors, integration of miniaturized Si nanograting FET biosensors into Chip-in-Strip(c) packaging, development of an automated microfluidic sensing system, and investigation of electrochemical considerations in the Si nanograting FET biosensor gate stack followed by development of a novel patent-pending strategy for a lithographically patterned on-chip gate electrode.

  2. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  3. Enhancement in c-Si solar cells using 16 nm InN nanoparticles

    NASA Astrophysics Data System (ADS)

    Imtiaz Chowdhury, Farsad; Alnuaimi, Aaesha; Alkis, Sabri; Ortaç, Bülend; Aktürk, Selçuk; Alevli, Mustafa; Dietz, Nikolaus; Kemal Okyay, Ali; Nayfeh, Ammar

    2016-05-01

    In this work, 16 nm indium nitride (InN) nanoparticles (NPs) are used to increase the performance of thin-film c-Si HIT solar cells. InN NPs were spin-coated on top of an ITO layer of c-Si HIT solar cells. The c-Si HIT cell is a stack of 2 μm p type c-Si, 4-5 nm n type a-Si, 15 nm n+ type a-Si and 80 nm ITO grown on a p+ type Si substrate. On average, short circuit current density (Jsc) increases from 19.64 mA cm-2 to 21.54 mA cm-2 with a relative improvement of 9.67% and efficiency increases from 6.09% to 7.09% with a relative improvement of 16.42% due to the presence of InN NPs. Reflectance and internal/external quantum efficiency (IQE/EQE) of the devices were also measured. Peak EQE was found to increase from 74.1% to 81.3% and peak IQE increased from 93% to 98.6% for InN NPs coated c-Si HIT cells. Lower reflection of light due to light scattering is responsible for performance enhancement between 400-620 nm while downshifted photons are responsible for performance enhancement from 620 nm onwards.

  4. Optical properties of photodetectors based on single GaN nanowires with a transparent graphene contact

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Babichev, A. V., E-mail: A.Babichev@mail.ioffe.ru; Zhang, H.; Guan, N.

    2016-08-15

    We report the fabrication and optical and electrical characterization of photodetectors for the UV spectral range based on single p–n junction nanowires with a transparent contact of a new type. The contact is based on CVD-grown (chemical-vapor deposition) graphene. The active region of the nitride nanowires contains a set of 30 radial In{sub 0.18}Ga{sub 0.82}N/GaN quantum wells. The structure is grown by metal-organic vaporphase epitaxy. The photodetectors are fabricated using electron-beam lithography. The current–voltage characteristics exhibit a rectifying behavior. The spectral sensitivity of the photodetector is recorded starting from 3 eV and extending far in the UV range. The maximalmore » photoresponse is observed at a wavelength of 367 nm (sensitivity 1.9 mA/W). The response switching time of the photodetector is less than 0.1 s.« less

  5. Approaching the ideal elastic strain limit in silicon nanowires

    PubMed Central

    Zhang, Hongti; Tersoff, Jerry; Xu, Shang; Chen, Huixin; Zhang, Qiaobao; Zhang, Kaili; Yang, Yong; Lee, Chun-Sing; Tu, King-Ning; Li, Ju; Lu, Yang

    2016-01-01

    Achieving high elasticity for silicon (Si) nanowires, one of the most important and versatile building blocks in nanoelectronics, would enable their application in flexible electronics and bio-nano interfaces. We show that vapor-liquid-solid–grown single-crystalline Si nanowires with diameters of ~100 nm can be repeatedly stretched above 10% elastic strain at room temperature, approaching the theoretical elastic limit of silicon (17 to 20%). A few samples even reached ~16% tensile strain, with estimated fracture stress up to ~20 GPa. The deformations were fully reversible and hysteresis-free under loading-unloading tests with varied strain rates, and the failures still occurred in brittle fracture, with no visible sign of plasticity. The ability to achieve this “deep ultra-strength” for Si nanowires can be attributed mainly to their pristine, defect-scarce, nanosized single-crystalline structure and atomically smooth surfaces. This result indicates that semiconductor nanowires could have ultra-large elasticity with tunable band structures for promising “elastic strain engineering” applications. PMID:27540586

  6. High efficiency silicon solar cell based on asymmetric nanowire.

    PubMed

    Ko, Myung-Dong; Rim, Taiuk; Kim, Kihyun; Meyyappan, M; Baek, Chang-Ki

    2015-07-08

    Improving the efficiency of solar cells through novel materials and devices is critical to realize the full potential of solar energy to meet the growing worldwide energy demands. We present here a highly efficient radial p-n junction silicon solar cell using an asymmetric nanowire structure with a shorter bottom core diameter than at the top. A maximum short circuit current density of 27.5 mA/cm(2) and an efficiency of 7.53% were realized without anti-reflection coating. Changing the silicon nanowire (SiNW) structure from conventional symmetric to asymmetric nature improves the efficiency due to increased short circuit current density. From numerical simulation and measurement of the optical characteristics, the total reflection on the sidewalls is seen to increase the light trapping path and charge carrier generation in the radial junction of the asymmetric SiNW, yielding high external quantum efficiency and short circuit current density. The proposed asymmetric structure has great potential to effectively improve the efficiency of the SiNW solar cells.

  7. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  8. Advanced fabrication of Si nanowire FET structures by means of a parallel approach.

    PubMed

    Li, J; Pud, S; Mayer, D; Vitusevich, S

    2014-07-11

    In this paper we present fabricated Si nanowires (NWs) of different dimensions with enhanced electrical characteristics. The parallel fabrication process is based on nanoimprint lithography using high-quality molds, which facilitates the realization of 50 nm-wide NW field-effect transistors (FETs). The imprint molds were fabricated by using a wet chemical anisotropic etching process. The wet chemical etch results in well-defined vertical sidewalls with edge roughness (3σ) as small as 2 nm, which is about four times better compared with the roughness usually obtained for reactive-ion etching molds. The quality of the mold was studied using atomic force microscopy and scanning electron microscopy image data. The use of the high-quality mold leads to almost 100% yield during fabrication of Si NW FETs as well as to an exceptional quality of the surfaces of the devices produced. To characterize the Si NW FETs, we used noise spectroscopy as a powerful method for evaluating device performance and the reliability of structures with nanoscale dimensions. The Hooge parameter of fabricated FET structures exhibits an average value of 1.6 × 10(-3). This value reflects the high quality of Si NW FETs fabricated by means of a parallel approach that uses a nanoimprint mold and cost-efficient technology.

  9. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    PubMed

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  10. Modeling of phonon scattering in n-type nanowire transistors using one-shot analytic continuation technique

    NASA Astrophysics Data System (ADS)

    Bescond, Marc; Li, Changsheng; Mera, Hector; Cavassilas, Nicolas; Lannoo, Michel

    2013-10-01

    We present a one-shot current-conserving approach to model the influence of electron-phonon scattering in nano-transistors using the non-equilibrium Green's function formalism. The approach is based on the lowest order approximation (LOA) to the current and its simplest analytic continuation (LOA+AC). By means of a scaling argument, we show how both LOA and LOA+AC can be easily obtained from the first iteration of the usual self-consistent Born approximation (SCBA) algorithm. Both LOA and LOA+AC are then applied to model n-type silicon nanowire field-effect-transistors and are compared to SCBA current characteristics. In this system, the LOA fails to describe electron-phonon scattering, mainly because of the interactions with acoustic phonons at the band edges. In contrast, the LOA+AC still well approximates the SCBA current characteristics, thus demonstrating the power of analytic continuation techniques. The limits of validity of LOA+AC are also discussed, and more sophisticated and general analytic continuation techniques are suggested for more demanding cases.

  11. Effects of silicon nanowire morphology on optical properties and hybrid solar cell performance

    NASA Astrophysics Data System (ADS)

    Syu, Hong-Jhang; Shiu, Shu-Chia; Hung, Yung-Jr; Lee, San-Liang; Lin, Ching-Fuh

    2012-10-01

    Silicon nanowire (SiNW) arrays are widespread applied on hybrid photovoltaic devices because SiNW arrays can substitute the pyramid texture and anti-reflection coating due to its strong light trapping. Also, SiNWs can be prepared through a cost-efficient process of metal-assisted chemical etching. However, though longer SiNW arrays have lower reflectance, the top of long SiNWs aggregate together to make junction synthesis difficult for SiNW/organic hybrid solar cell. To control and analyze the effect of SiNW array morphology on hybrid solar cells, here we change the metal deposition condition for metal-assisted chemical etching to obtain different SiNW array morphologies. The experiment was separated to two groups, by depositing metal, say, Ag, before etching (BE) or during etching (DE). For group BE, Ag was deposited on n-type Si (n-Si) wafers by thermal evaporation; then etched by H2O2 and HF. For group DE, n-Si was etched by Ag+ and HF directly. Ag was deposited on n-Si during etching process. Afterwards, residual Ag and SiO2 were removed by HNO3 and buffered HF, successively; then Ti and Ag were evaporated on the bottom of Si to be a cathode. Finally, SiNWs were stuck on the poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) that was spincoated on the ITO coated glass to form SiNW/organic heterojunction. The results show that group BE has reflectance lower than that in group DE in solar spectrum. However, group BE has smaller power conversion efficiency (PCE) of 8.65% and short-circuit current density (Jsc) of 24.94 mA/cm2 than group DE of PCE of 9.47% and Jsc of 26.81 mA/cm2.

  12. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  13. Synthesis, Properties and Applications of Gallium Nitride Nanowires

    NASA Astrophysics Data System (ADS)

    Ma, Zheng

    This main focus of the work is on controlling the growth morphology in GaN and related nanowires. Two key results are presented: (1) demonstration of GaN nanowire growth in a newly discovered `serrated' morphology and (2) demonstration of Mn-doped, GaMnN nanowires by a new method. In (1) it is shown that simply by controlling the type of catalyst, size of the catalyst and the initial ratio of the precursor materials, GaN nanowire growth in a highly periodic serrated morphology can be obtained. Unlike regular non-serrated wires which grow in the non-polar [1010] direction, growth of the serrated wires is in the polar [0001] direction. The serrated faces are oriented in the semi-polar directions. Wires with serrated faces in both [1011] and [1122] semi-polar directions have been obtained. In (2) it has been shown that by using Au-Mn alloy catalyst method, GaMnN wire growth can be obtained. This is a significant result since this may be the first demonstration wherein Mn doping is achieved by introducing Mn as a catalyst rather than as a source material. The growth direction of these GaMnN wires is in the non-polar direction as in the case of non-serrated wires. Interestingly, unlike the non-serrated GaN wires, in this case the growth direction is [1120]. A second focus of the work is on the investigation of transport properties of serrated GaN nanowires and comparison with the non-serrated GaN nanowires. For the serrated nanowires our results indicate significant influence of surface effects on the electronic transport resulting in much higher electrical resistivity. A third focus of the work is on the investigation of magnetic properties of the GaMnN nanowires which indicates potential weak ferromagnetic behavior. This is consistent with low hole concentration and low Mn doping concentration (~0.5%) in these nanowires.

  14. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  15. Enhanced photoemission from glancing angle deposited SiOx-TiO2 axial heterostructure nanowire arrays

    NASA Astrophysics Data System (ADS)

    Dhar, J. C.; Mondal, A.; Singh, N. K.; Chattopadhyay, K. K.

    2013-05-01

    The glancing angle deposition technique has been employed to synthesize SiOx-TiO2 heterostructure nanowire (NW) arrays on indium tin oxide (ITO) coated glass substrate. A field emission gun scanning electron microscopic image shows that the average diameter of the NWs is ˜50 nm. Transmission electron microscopy images show the formation of heterostructure NWs, which consist of ˜180 nm SiOx and ˜210 nm long TiO2. The selected-area electron diffraction shows the amorphous nature of the synthesized NWs, which was also confirmed by X-ray diffraction method. The main band absorption edges at 3.5 eV were found for both the SiOx-TiO2 and TiO2 NW arrays on ITO coated glass plate from optical absorption measurement. Ti3+ defect related sub-band gap transition at 2.5 eV was observed for TiO2 NWs, whereas heterostructure NWs revealed the SiOx optical band gap related transition at ˜2.2 eV. Two fold improved photon absorption as well as five times photoluminescence emission enhancement were observed for the SiOx-TiO2 multilayer NWs compared to TiO2 NWs.

  16. Time-Resolved Photoluminescence Studies of Si-doped AlGaN alloys

    NASA Astrophysics Data System (ADS)

    Nam, K. B.; Li, J.; Nakarmi, M. L.; Lin, J. Y.; Jiang, H. X.

    2002-03-01

    Si-doped n-type Al x Ga_1-x N alloys with x between 0.3 and 0.5 were grown by metal-organic chemical vapor deposition (MOCVD) on sapphire substrates. Time-resolved photoluminescence (PL) emission spectroscopy and variable temperature Hall-effect measurements were employed to study the optical and electrical properties of these epilayers. Our electrical data revealed that the conductivity of Si-doped Al x Ga_1-x N alloys (x > 0.4) increases with an increase of the Si doping concentration (N_Si) for a fixed x value and exhibits a sharp increase around N_Si= 1x10 ^18cm-3, suggesting the existence of a critical Si doping concentration needed to convert insulating Al x Ga_1-x N alloys (x > 0.4) to n-type conductivity. Time-resolved PL studies also showed that PL decay lifetime and activation energy decrease sharply when Si-doping concentration increases from N_Si= 0 to 1x10 ^18cm-3and then followed by gradual decreases as N_Si further increases. Our results thus suggest that Si-doping reduces the effect of carrier localization in Al x Ga_1-x N alloys and a sharp drop in carrier localization energy occurs at N_Si= 1x10 ^18cm-3, which is the critical Si-doping concentration needed to fill up the localized states in Al x Ga_1-x N alloys (x > 0.4). The implications of these results to UV optoelectronic devices are also discussed.

  17. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  18. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  19. Uninterrupted and reusable source for the controlled growth of nanowires

    PubMed Central

    Sugavaneshwar, R. P.; Nanda, Karuna Kar

    2013-01-01

    Generally, the length of the oxide nanowires grown by vapor phase transport is limited by the degradation of the source materials. Furthermore, the source material is used once for the nanowires growth. By exploiting the Si-Zn phase diagram, we have developed a simple methodology for the non-catalytic growth of ultralong ZnO nanowires in large area with controllable aspect ratio and branched structures. The insolubility of Zn in Si and the use of a Si cap on the Zn source to prevent local source oxidation of Zn (i. e. prevents the degradation of the source) are the keys to grow longer nanowires without limitations. It has been shown that the aspect ratio can be controlled by thermodynamically (temperature) and more importantly by kinetically (vapor flux). One of the interesting findings is that the same source material can be used for several depositions of oxide nanostructured materials. PMID:23412010

  20. Probing the low thermal conductivity of single-crystalline porous Si nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, Yunshan; Lina Yang Collaboration; Lingyu Kong Collaboration; Baowen Li Collaboration; John T L Thong Collaboration; Kedar Hippalgaonkar Collaboration

    Pore-like structures provide a novel way to reduce the thermal conductivity of silicon nanowires, compared to both smooth-surface VLS nanowires and rough EE nanowires. Because of enhanced phonon scattering with interface and decrease in phonon transport path, the porous nanostructures show reduction in thermal conductance by few orders of magnitude. It proves to be extremely challenging to evaluate porosity accurately in an experimental manner and further understand its effect on thermal transport. In this study, we use the newly developed electron-beam based micro-electrothermal device technique to study the porosity dependent thermal conductivity of mesoporous silicon nanowires that have single-crystalline scaffolding. Based on the Casino simulation, the power absorbed by the nanowire, coming from the loss of travelling electron energy, has a linear relationship with it cross section. The relationship has been verified experimentally as well. Monte Carlo simulation is carried out to theoretically predict the thermal conductivity of silicon nanowires with a specific value of porosity. These single-crystalline porous silicon nanowires show extremely low thermal conductivity, even below the amorphous limit. These structures together with our experimental techniques provide a particularly intriguing platform to understand the phonon transport in nanoscale and aid the performance improvement in future nanowires-based devices.

  1. MBE growth of nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A. D.; Ilkiv, I. V.; Reznik, R. R.; Shtrom, I. V.; Khrebtov, A. I.; Samsonenko, Yu B.; Soshnikov, I. P.; Cirlin, G. E.; Lipsanen, H.

    2017-06-01

    Ag colloidal nanoparticles are used as a catalyst for growth of GaAs nanowires by the molecular beam epitaxy on the Si(111) and GaAs(111)B substrate surfaces. The scanning electron microscopy measurements revealed that the nanowire formation occurs in different ways on different substrates, but the parameters of the synthesized nanowires open great prospects for their further use.

  2. Fully Printed Memristors from Cu-SiO2 Core-Shell Nanowire Composites

    NASA Astrophysics Data System (ADS)

    Catenacci, Matthew J.; Flowers, Patrick F.; Cao, Changyong; Andrews, Joseph B.; Franklin, Aaron D.; Wiley, Benjamin J.

    2017-07-01

    This article describes a fully printed memory in which a composite of Cu-SiO2 nanowires dispersed in ethylcellulose acts as a resistive switch between printed Cu and Au electrodes. A 16-cell crossbar array of these memristors was printed with an aerosol jet. The memristors exhibited moderate operating voltages (˜3 V), no degradation over 104 switching cycles, write speeds of 3 μs, and extrapolated retention times of 10 years. The low operating voltage enabled the programming of a fully printed 4-bit memristor array with an Arduino. The excellent performance of these fully printed memristors could help enable the creation of fully printed RFID tags and sensors with integrated data storage.

  3. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  4. Zinc oxide nanowire networks for macroelectronic devices

    NASA Astrophysics Data System (ADS)

    Unalan, Husnu Emrah; Zhang, Yan; Hiralal, Pritesh; Dalal, Sharvari; Chu, Daping; Eda, Goki; Teo, K. B. K.; Chhowalla, Manish; Milne, William I.; Amaratunga, Gehan A. J.

    2009-04-01

    Highly transparent zinc oxide (ZnO) nanowire networks have been used as the active material in thin film transistors (TFTs) and complementary inverter devices. A systematic study on a range of networks of variable density and TFT channel length was performed. ZnO nanowire networks provide a less lithographically intense alternative to individual nanowire devices, are always semiconducting, and yield significantly higher mobilites than those achieved from currently used amorphous Si and organic TFTs. These results suggest that ZnO nanowire networks could be ideal for inexpensive large area electronics.

  5. Effect of Elastic Strain Fluctuation on Atomic Layer Growth of Epitaxial Silicide in Si Nanowires by Point Contact Reactions.

    PubMed

    Chou, Yi-Chia; Tang, Wei; Chiou, Chien-Jyun; Chen, Kai; Minor, Andrew M; Tu, K N

    2015-06-10

    Effects of strain impact a range of applications involving mobility change in field-effect-transistors. We report the effect of strain fluctuation on epitaxial growth of NiSi2 in a Si nanowire via point contact and atomic layer reactions, and we discuss the thermodynamic, kinetic, and mechanical implications. The generation and relaxation of strain shown by in situ TEM is periodic and in synchronization with the atomic layer reaction. The Si lattice at the epitaxial interface is under tensile strain, which enables a high solubility of supersaturated interstitial Ni atoms for homogeneous nucleation of an epitaxial atomic layer of the disilicide phase. The tensile strain is reduced locally during the incubation period of nucleation by the dissolution of supersaturated Ni atoms in the Si lattice but the strained-Si state returns once the atomic layer epitaxial growth of NiSi2 occurs by consuming the supersaturated Ni.

  6. Measurement of N-Type 6H SiC Minority-Carrier Diffusion Lengths by Electron Bombardment of Schottky Barriers

    NASA Technical Reports Server (NTRS)

    Hubbard, S. M.; Tabib-Azar, M.; Balley, S.; Rybickid, G.; Neudeck, P.; Raffaelle, R.

    2004-01-01

    Minority-Carrier diffusion lengths of n-type 6H-SiC were measured using the electron-beam induced current (EBIC) technique. Experimental values of primary beam current, EBIC, and beam voltage were obtained for a variety of SIC samples. This data was used to calculate experimental diode efficiency vs. beam voltage curves. These curves were fit to theoretically calculated efficiency curves, and the diffusion length and metal layer thickness were extracted. The hole diffusion length in n-6H SiC ranged from 0.93 +/- 0.15 microns.

  7. Reduction of Thermal Conductivity in Nanowires by Combined Engineering of Crystal Phase and Isotope Disorder.

    PubMed

    Mukherjee, S; Givan, U; Senz, S; de la Mata, M; Arbiol, J; Moutanabbir, O

    2018-05-09

    Nanowires are a versatile platform to investigate and harness phonon and thermal transport phenomena in nanoscale systems. With this perspective, we demonstrate herein the use of crystal phase and mass disorder as effective degrees of freedom to manipulate the behavior of phonons and control the flow of local heat in silicon nanowires. The investigated nanowires consist of isotopically pure and isotopically mixed nanowires bearing either a pure diamond cubic or a cubic-rhombohedral polytypic crystal phase. The nanowires with tailor-made isotopic compositions were grown using isotopically enriched silane precursors 28 SiH 4 , 29 SiH 4 , and 30 SiH 4 with purities better than 99.9%. The analysis of polytypic nanowires revealed ordered and modulated inclusions of lamellar rhombohedral silicon phases toward the center in otherwise diamond-cubic lattice with negligible interphase biaxial strain. Raman nanothermometry was employed to investigate the rate at which the local temperature of single suspended nanowires evolves in response to locally generated heat. Our analysis shows that the lattice thermal conductivity in nanowires can be tuned over a broad range by combining the effects of isotope disorder and the nature and degree of polytypism on phonon scattering. We found that the thermal conductivity can be reduced by up to ∼40% relative to that of isotopically pure nanowires, with the lowest value being recorded for the rhombohedral phase in isotopically mixed 28 Si x 30 Si 1- x nanowires with composition close to the highest mass disorder ( x ∼ 0.5). These results shed new light on the fundamentals of nanoscale thermal transport and lay the groundwork to design innovative phononic devices.

  8. Study of Charge Transport in Vertically Aligned Nitride Nanowire Based Core Shell P-I-N Junctions

    DTIC Science & Technology

    2016-07-01

    Vertically- Aligned Nitride Nanowire Based Core Shell P-I-N Junctions Distribution Statement A. Approved for public release; distribution is...Study of Charge Transport in Vertically- Aligned Nitride Nanowire Based Core Shell P-I-N Junctions Grant Number: HDTRA1-14-1-0003 Principal...Investigator: Abhishek Motayed University of Maryland DISTRIBUTION A: Public Release Study of Charge Transport in Vertically-Aligned Nitride Nanowire

  9. Effect of Ga and P dopants on the thermoelectric properties of n-type SiGe

    NASA Technical Reports Server (NTRS)

    Draper, S. L.; Vandersande, J. W.; Wood, C.; Masters, R.; Raag, V.

    1989-01-01

    The purpose of this study was to hot-press improved n-type Si80Ge20/GaP samples directly (without any heat treatment) and to confirm that a Ga/P ratio less than one increases the solubility of P and, hence, improves the power factor and Z. One of the three samples (Ga/P = 0.43) had an improvement in Z of about 20 percent between 400 and 1000 C over that for standard SiGe. This demonstrates that improved samples can be pressed directly and that a Ga/P ratio less than one is necessary. The other two samples (Ga/P = 0.33 and 0.50) had Z's equal to or less than that of standard SiGe but had a lower hot-pressing temperature than the improved sample.

  10. J-type Carbon Stars: A Dominant Source of 14 N-rich Presolar SiC Grains of Type AB

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nan; Stephan, Thomas; Boehnke, Patrick

    We report Mo isotopic data of 27 new presolar SiC grains, including 12 N-14-rich AB (N-14/N-15 > 440, AB2) and 15 mainstream (MS) grains, and their correlated Sr and Ba isotope ratios when available. Direct comparison of the data for the MS grains, which came from low-mass asymptotic giant branch (AGB) stars with large s-process isotope enhancements, with the AB2 grain data demonstrates that AB2 grains show near-solar isotopic compositions and lack s-process enhancements. The near-normal Sr, Mo, and Ba isotopic compositions of AB2 grains clearly exclude born-again AGB stars, where the intermediate neutron-capture process (i-process) takes place, as theirmore » stellar source. On the other hand, low-mass CO novae and early R-and J-type carbon stars show C-13 and N-14 excesses but no s-process enhancements and are thus potential stellar sources of AB2 grains. Because both early R-type carbon stars and CO novae are rare objects, the abundant J-type carbon stars (10%-15% of all carbon stars) are thus likely to be a dominant source of AB2 grains.« less

  11. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    NASA Astrophysics Data System (ADS)

    Martineau, F.; Namur, K.; Mallet, J.; Delavoie, F.; Endres, F.; Troyon, M.; Molinari, M.

    2009-11-01

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P1,4) containing SiCl4 as Si source or GeCl4 as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  12. Characterization of Carrier Concentration and Mobility in n-type SiC Wafers Using Infrared Reflectance Spectroscopy

    NASA Astrophysics Data System (ADS)

    Narita, Katsutoshi; Hijikata, Yasuto; Yaguchi, Hiroyuki; Yoshida, Sadafumi; Nakashima, Shinichi

    2004-08-01

    We have estimated the free-carrier concentration and drift mobility in n-type 6H-SiC wafers in the carrier concentration range of 1017-1019 cm-3 from far- and mid-infrared (30-2000 cm-1) reflectance spectra obtained at room temperature. A modified classical dielectric function model was employed for the analysis. We found good agreement between the electrical properties derived from infrared reflectance spectroscopy and those derived from Hall effect measurements. We have demonstrated the spatial mapping of carrier concentration and mobility for commercially produced 2 inch SiC wafers.

  13. Electronic transport with dielectric confinement in degenerate InN nanowires.

    PubMed

    Blömers, Ch; Lu, J G; Huang, L; Witte, C; Grützmacher, D; Lüth, H; Schäpers, Th

    2012-06-13

    In this Letter, we present the size effects on charge conduction in InN nanowires by comprehensive transport studies supported by theoretical analysis. A consistent model for highly degenerate narrow gap semiconductor nanowires is developed. In contrast to common knowledge of InN, there is no evidence of an enhanced surface conduction, however, high intrinsic doping exists. Furthermore, the room-temperature resistivity exhibits a strong increase when the lateral size becomes smaller than 80 nm and the temperature dependence changes from metallic to semiconductor-like. This effect is modeled by donor deactivation due to dielectric confinement, yielding a shift of the donor band to higher ionization energies as the size shrinks.

  14. Large-area fabrication of patterned ZnO-nanowire arrays using light stamping lithography.

    PubMed

    Hwang, Jae K; Cho, Sangho; Seo, Eun K; Myoung, Jae M; Sung, Myung M

    2009-12-01

    We demonstrate selective adsorption and alignment of ZnO nanowires on patterned poly(dimethylsiloxane) (PDMS) thin layers with (aminopropyl)siloxane self-assembled monolayers (SAMs). Light stamping lithography (LSL) was used to prepare patterned PDMS thin layers as neutral passivation regions on Si substrates. (3-Aminopropyl)triethoxysilane-based SAMs were selectively formed only on regions exposing the silanol groups of the Si substrates. The patterned positively charged amino groups define and direct the selective adsorption of ZnO nanowires with negative surface charges in the protic solvent. This procedure can be adopted in automated printing machines that generate patterned ZnO-nanowire arrays on large-area substrates. To demonstrate its usefulness, the LSL method was applied to prepare ZnO-nanowire transistor arrays on 4-in. Si wafers.

  15. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  16. Enhanced lithium ion battery cycling of silicon nanowire anodes by template growth to eliminate silicon underlayer islands.

    PubMed

    Cho, Jeong-Hyun; Picraux, S Tom

    2013-01-01

    It is well-known that one-dimensional nanostructures reduce pulverization of silicon (Si)-based anode materials during Li ion cycling because they allow lateral relaxation. However, even with improved designs, Si nanowire-based structures still exhibit limited cycling stability for extended numbers of cycles, with the specific capacity retention with cycling not showing significant improvements over commercial carbon-based anode materials. We have found that one important reason for the lack of long cycling stability can be the presence of milli- and microscale Si islands which typically form under nanowire arrays during their growth. Stress buildup in these Si island underlayers with cycling results in cracking, and the loss of specific capacity for Si nanowire anodes, due to progressive loss of contact with current collectors. We show that the formation of these parasitic Si islands for Si nanowires grown directly on metal current collectors can be avoided by growth through anodized aluminum oxide templates containing a high density of sub-100 nm nanopores. Using this template approach we demonstrate significantly enhanced cycling stability for Si nanowire-based lithium-ion battery anodes, with retentions of more than ~1000 mA·h/g discharge capacity over 1100 cycles.

  17. Unique features of laterally aligned GeSi nanowires self-assembled on the vicinal Si (001) surface misoriented toward the [100] direction

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Vastola, Guglielmo; Zhang, Yong-Wei; Ren, Qijun; Fan, Yongliang; Zhong, Zhenyang

    2015-03-01

    We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth mechanism during heteroepitaxial growth, but also pave a prominent way to fabricate and meanwhile modulate laterally aligned and dislocation-free NWs.We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth

  18. GaN Nanowire Arrays for Efficient Optical Read-Out and Optoelectronic Control of NV Centers in Diamond.

    PubMed

    Hetzl, Martin; Wierzbowski, Jakob; Hoffmann, Theresa; Kraut, Max; Zuerbig, Verena; Nebel, Christoph E; Müller, Kai; Finley, Jonathan J; Stutzmann, Martin

    2018-06-13

    Solid-state quantum emitters embedded in a semiconductor crystal environment are potentially scalable platforms for quantum optical networks operated at room temperature. Prominent representatives are nitrogen-vacancy (NV) centers in diamond showing coherent entanglement and interference with each other. However, these emitters suffer from inefficient optical outcoupling from the diamond and from fluctuations of their charge state. Here, we demonstrate the implementation of regular n-type gallium nitride nanowire arrays on diamond as photonic waveguides to tailor the emission direction of surface-near NV centers and to electrically control their charge state in a p-i-n nanodiode. We show that the electrical excitation of single NV centers in such a diode can efficiently replace optical pumping. By the engineering of the array parameters, we find an optical read-out efficiency enhanced by a factor of 10 and predict a lateral NV-NV coupling 3 orders of magnitude stronger through evanescently coupled nanowire antennas compared to planar diamond not covered by nanowires, which opens up new possibilities for large-scale on-chip quantum-computing applications.

  19. Fabrication and characterization of GaN nanowire doubly clamped resonators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maliakkal, Carina B., E-mail: carina@tifr.res.in; Mathew, John P.; Hatui, Nirupam

    2015-09-21

    Gallium nitride (GaN) nanowires (NWs) have been intensely researched as building blocks for nanoscale electronic and photonic device applications; however, the mechanical properties of GaN nanostructures have not been explored in detail. The rigidity, thermal stability, and piezoelectric properties of GaN make it an interesting candidate for nano-electromechanical systems. We have fabricated doubly clamped GaN NW electromechanical resonators on sapphire using electron beam lithography and estimated the Young's modulus of GaN from resonance frequency measurements. For wires of triangular cross section with side ∼90 nm, we obtained values for the Young's modulus to be about 218 and 691 GPa, which are ofmore » the same order of magnitude as the values reported for bulk GaN. We also discuss the role of residual strain in the nanowire on the resonant frequency and the orientation dependence of the Young's modulus in wurtzite crystals.« less

  20. Nanoscale size dependence parameters on lattice thermal conductivity of Wurtzite GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamand, S.M., E-mail: soran.mamand@univsul.net; Omar, M.S.; Muhammad, A.J.

    2012-05-15

    Graphical abstract: Temperature dependence of calculated lattice thermal conductivity of Wurtzite GaN nanowires. Highlights: Black-Right-Pointing-Pointer A modified Callaway model is used to calculate lattice thermal conductivity of Wurtzite GaN nanowires. Black-Right-Pointing-Pointer A direct method is used to calculate phonon group velocity for these nanowires. Black-Right-Pointing-Pointer 3-Gruneisen parameter, surface roughness, and dislocations are successfully investigated. Black-Right-Pointing-Pointer Dislocation densities are decreases with the decrease of wires diameter. -- Abstract: A detailed calculation of lattice thermal conductivity of freestanding Wurtzite GaN nanowires with diameter ranging from 97 to 160 nm in the temperature range 2-300 K, was performed using a modified Callaway model.more » Both longitudinal and transverse modes are taken into account explicitly in the model. A method is used to calculate the Debye and phonon group velocities for different nanowire diameters from their related melting points. Effect of Gruneisen parameter, surface roughness, and dislocations as structure dependent parameters are successfully used to correlate the calculated values of lattice thermal conductivity to that of the experimentally measured curves. It was observed that Gruneisen parameter will decrease with decreasing nanowire diameters. Scattering of phonons is assumed to be by nanowire boundaries, imperfections, dislocations, electrons, and other phonons via both normal and Umklapp processes. Phonon confinement and size effects as well as the role of dislocation in limiting thermal conductivity are investigated. At high temperatures and for dislocation densities greater than 10{sup 14} m{sup -2} the lattice thermal conductivity would be limited by dislocation density, but for dislocation densities less than 10{sup 14} m{sup -2}, lattice thermal conductivity would be independent of that.« less