Sample records for n-type si substrates

  1. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  2. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  3. High Mobility SiGe/Si n-Type Structures and Field Effect Transistors on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Ponchak, George E.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    SiGe/Si n-type modulation doped field effect transistors (MODFETs) fabricated on sapphire substrates have been characterized at microwave frequencies for the first time. The highest measured room temperature electron mobility is 1380 sq cm/V-sec at a carrier density of 1.8 x 10(exp 12)/sq cm for a MODFET structure, and 900 sq cm/V-sec at a carrier density of 1.3 x 10/sq cm for a phosphorus ion implanted sample. A two finger, 2 x 200 micron gate n-MODFET has a peak transconductance of 37 mS/mm at a drain to source voltage of 2.5 V and a transducer gain of 6.4 dB at 1 GHz.

  4. Formation of Fe2SiO4 thin films on Si substrates and influence of substrate to its thermoelectric transport properties

    NASA Astrophysics Data System (ADS)

    Choi, Jeongyong; Nguyen, Van Quang; Duong, Van Thiet; Shin, Yooleemi; Duong, Anh Tuan; Cho, Sunglae

    2018-03-01

    Fe2SiO4 thin films have been grown on n-type, p-type and semi-insulating Si(100) substrates by molecular beam epitaxy. When Fe-O thin films were deposited on Si(100) substrate at 300 °C, the film reacted with Si, resulting in a Fe2SiO4 film because of the high reactivity between Fe and Si. The electrical resistance and Seebeck coefficient of Fe2SiO4 thin films grown were different in different doping states. On n-type and p-type Si(100), the electrical resistance decreased suddenly and increased again at 350 and 250 K, respectively, while on semi-insulating Si(100), it exhibited typical semiconducting resistance behavior. We observed similar crossovers at 350 and 250 K in temperature dependent Seebeck coefficients on n-type and p-type Si(100), respectively. These results suggest that the measured electrical and thermoelectric properties originate from Si substrate.

  5. Fabrication of n-type Si nanostructures by direct nanoimprinting with liquid-Si ink

    NASA Astrophysics Data System (ADS)

    Takagishi, Hideyuki; Masuda, Takashi; Yamazaki, Ken; Shimoda, Tatsuya

    2018-01-01

    Nanostructures of n-type amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) with a height of 270 nm and line widths of 110-165 nm were fabricated directly onto a substrate through a simple imprinting process that does not require vacuum conditions or photolithography. The n-type Liquid-Si ink was synthesized via photopolymerization of cyclopentasilane (Si5H10) and white phosphorus (P4). By raising the temperature from 160 °C to 200 °C during the nanoimprinting process, well-defined angular patterns were fabricated without any cracking, peeling, or deflections. After the nanoimprinting process, a-Si was produced by heating the nanostructures at 400°C-700 °C, and poly-Si was produced by heating at 800 °C. The dopant P diffuses uniformly in the Si films, and its concentration can be controlled by varying the concentration of P4 in the ink. The specific resistance of the n-type poly-Si pattern was 7.0 × 10-3Ω ṡ cm, which is comparable to the specific resistance of flat n-type poly-Si films.

  6. The kinetic friction of ZnO nanowires on amorphous SiO2 and SiN substrates

    NASA Astrophysics Data System (ADS)

    Roy, Aditi; Xie, Hongtao; Wang, Shiliang; Huang, Han

    2016-12-01

    ZnO nanowires were bent on amorphous SiO2 and SiN substrates in an ambient atmosphere using optical nanomanipulation. The kinetic friction between the nanowires and substrate was determined from the bent shape of the nanowires. The kinetic friction force per unit area, i.e. frictional shear stress, for the ZnO/SiO2 and ZnO/SiN nanowire/substrate systems being measured were 1.05 ± 0.28 and 2.08 ± 0.33 MPa, respectively. The surface roughness and the Hamaker constant of SiO2 and SiN substrates had significant effect on the frictional stresses.

  7. Epitaxy of boron phosphide on AlN, 4H-SiC, 3C-SiC and ZrB2 substrates

    NASA Astrophysics Data System (ADS)

    Padavala, Balabalaji

    The semiconductor boron phosphide (BP) has many outstanding features making it attractive for developing various electronic devices, including neutron detectors. In order to improve the efficiency of these devices, BP must have high crystal quality along with the best possible electrical properties. This research is focused on growing high quality crystalline BP films on a variety of superior substrates like AlN, 4H-SiC, 3C-SiC and ZrB2 by chemical vapor deposition. In particular, the influence of various parameters such as temperature, reactant flow rates, and substrate type and its crystalline orientation on the properties of BP films were studied in detail. Twin-free BP films were produced by depositing on off-axis 4H-SiC(0001) substrate tilted 4° toward [11¯00] and crystal symmetry matched zincblende 3C-SiC. BP crystalline quality improved at higher deposition temperature (1200°C) when deposited on AlN, 4H-SiC, whereas increased strain in 3C-SiC and increased boron segregation in ZrB2 at higher temperatures limited the best deposition temperature to below 1200°C. In addition, higher flow ratios of PH 3 to B2H6 resulted in smoother films and improved quality of BP on all substrates. The FWHM of the Raman peak (6.1 cm -1), XRD BP(111) peak FWHM (0.18°) and peak ratios of BP(111)/(200) = 5157 and BP(111)/(220) = 7226 measured on AlN/sapphire were the best values reported in the literature for BP epitaxial films. The undoped films on AlN/sapphire were n-type with a highest electron mobility of 37.8 cm2/V˙s and a lowest carrier concentration of 3.15x1018 cm -3. Raman imaging had lower values of FWHM (4.8 cm-1 ) and a standard deviation (0.56 cm-1) for BP films on AlN/sapphire compared to 4H-SiC, 3C-SiC substrates. X-ray diffraction and Raman spectroscopy revealed residual tensile strain in BP on 4H-SiC, 3C-SiC, ZrB2/4H-SiC, bulk AlN substrates while compressive strain was evident on AlN/sapphire and bulk ZrB2 substrates. Among the substrates studied, AlN

  8. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  9. Vertically aligned p-type single-crystalline GaN nanorod arrays on n-type Si for heterojunction photovoltaic cells.

    PubMed

    Tang, Y B; Chen, Z H; Song, H S; Lee, C S; Cong, H T; Cheng, H M; Zhang, W J; Bello, I; Lee, S T

    2008-12-01

    Vertically aligned Mg-doped GaN nanorods have been epitaxially grown on n-type Si substrate to form a heterostructure for fabricating p-n heterojunction photovoltaic cells. The p-type GaN nanorod/n-Si heterojunction cell shows a well-defined rectifying behavior with a rectification ratio larger than 10(4) in dark. The cell has a high short-circuit photocurrent density of 7.6 mAlcm2 and energy conversion efficiency of 2.73% under AM 1.5G illumination at 100 mW/cm2. Moreover, the nanorod array may be used as an antireflection coating for solar cell applications to effectively reduce light loss due to reflection. This study provides an experimental demonstration for integrating one-dimensional nanostructure arrays with the substrate to directly fabricate heterojunction photovoltaic cells.

  10. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  11. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  12. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  13. Growth of high-quality AlN epitaxial film by optimizing the Si substrate surface

    NASA Astrophysics Data System (ADS)

    Huang, Liegen; Li, Yuan; Wang, Wenliang; Li, Xiaochan; zheng, Yulin; Wang, Haiyan; Zhang, Zichen; Li, Guoqiang

    2018-03-01

    High-quality AlN epitaxial films have been grown on Si substrates by optimizing the hydrofluoric acid (HF) solution for cleaning of Si substrates. Effect of the Si substrate surface on the surface morphology and structural property of AlN epitaxial films is investigated in detail. It is revealed that as the concentration of HF solution increases from 0 to 2.0%, the surface morphology and the crystalline quality are initially improved and then get worse, and show an optimized value at 1.5%. The as-grown ∼200 nm-thick AlN epitaxial films on Si substrates grown with HF solution of 1.5% reveal the root-mean-square (RMS) surface roughness of 0.49 nm and the full-width at half-maximum for AlN(0002) X-ray rocking curve of 0.35°, indicating the smooth surface morphology and the high crystalline quality. The corresponding mechanism is proposed to interpret the effect of Si substrate surface on surface morphology and structural property of AlN epitaxial films, and provides an effective approach for the perspective fabrication of AlN-based devices.

  14. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    NASA Astrophysics Data System (ADS)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  15. High Electron Mobility in SiGe/Si n-MODFET Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Mueller, Carl H.; Croke, Edward T.; Alterovitz, Samuel A.

    2003-01-01

    For the first time, SiGe/Si n-Modulation Doped Field Effect Transistors (n-MODFET) structures have been grown on sapphire substrates. Room temperature electron mobility value of 1271 square centimeters N-sec at an electron carrier density (n(sub e) = 1.33x10(exp 12) per square centimeter)) of 1.6 x 10(exp 12) per square centimeter was obtained. At 250 mK, the mobility increases to 13,313 square centimeters/V-sec (n(sub e)=1.33x10(exp 12) per square centimeter)) and Shubnikov-de Haas oscillations appear, showing excellent confinement of the two-dimensional electron gas.

  16. Magnetic properties of epitaxial β-Nb2N thin film on SiC substrate

    NASA Astrophysics Data System (ADS)

    Yang, Zihao; Myers, Roberto; Katzer, D. Scott; Nepal, Neeraj; Meyer, David J.

    Previously superconductivity in Nb2N was studied in thin films synthesized by reactive magnetron sputtering or pulsed laser deposition. Recently, Nb2N was synthesized by molecular beam epitaxy (MBE). Here, we report on the magnetic properties of MBE grown Nb2N measured by SQUID magnetometry. The single hexagonal β phase Nb2N is grown on a semi-insulating Si-face 4H SiC (0001) substrate in nitrogen rich conditions at a substrate temperature of 850 °C. In-plane magnetization as a function of magnetic field measured at 5 K shows type-II superconductivity with critical fields Hc1 and Hc2 of 300 Oe and 10 kOe, respectively. In-plane field-cooled and zero-field-cooled a critical temperature (Tc) of 11.5 K, higher than in sputtered Nb2N films. This work was supported by Army Research Office and the Office of Naval Research.

  17. Van der Waals heterojunction diode composed of WS2 flake placed on p-type Si substrate

    NASA Astrophysics Data System (ADS)

    Aftab, Sikandar; Farooq Khan, M.; Min, Kyung-Ah; Nazir, Ghazanfar; Afzal, Amir Muhammad; Dastgeer, Ghulam; Akhtar, Imtisal; Seo, Yongho; Hong, Suklyun; Eom, Jonghwa

    2018-01-01

    P-N junctions represent the fundamental building blocks of most semiconductors for optoelectronic functions. This work demonstrates a technique for forming a WS2/Si van der Waals junction based on mechanical exfoliation. Multilayered WS2 nanoflakes were exfoliated on the surface of bulk p-type Si substrates using a polydimethylsiloxane stamp. We found that the fabricated WS2/Si p-n junctions exhibited rectifying characteristics. We studied the effect of annealing processes on the performance of the WS2/Si van der Waals p-n junction and demonstrated that annealing improved its electrical characteristics. However, devices with vacuum annealing have an enhanced forward-bias current compared to those annealed in a gaseous environment. We also studied the top-gate-tunable rectification characteristics across the p-n junction interface in experiments as well as density functional theory calculations. Under various temperatures, Zener breakdown occurred at low reverse-bias voltages, and its breakdown voltage exhibited a negative coefficient of temperature. Another breakdown voltage was observed, which increased with temperature, suggesting a positive coefficient of temperature. Therefore, such a breakdown can be assigned to avalanche breakdown. This work demonstrates a promising application of two-dimensional materials placed directly on conventional bulk Si substrates.

  18. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  19. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    NASA Astrophysics Data System (ADS)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  20. Hexagonal AlN Layers Grown on Sulfided Si(100) Substrate

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Gushchina, E. V.; Konenkova, E. V.; L'vova, T. V.; Panteleev, V. N.; Shcheglov, M. P.

    2018-01-01

    We have studied the influence of sulfide passivation on the initial stages of aluminum nitride (AlN)-layer nucleation and growth by hydride vapor-phase epitaxy (HVPE) on (100)-oriented single-crystalline silicon substrates. It is established that the substrate pretreatment in (NH4)2S aqueous solution leads to the columnar nucleation of hexagonal AlN crystals of two modifications rotated by 30° relative to each other. Based on the sulfide treatment, a simple method of oxide removal from and preparation of Si(100) substrate surface is developed that can be used for the epitaxial growth of group-III nitride layers.

  1. High-performance Ge p-i-n photodetector on Si substrate

    NASA Astrophysics Data System (ADS)

    Chen, Li-qun; Huang, Xiang-ying; Li, Min; Huang, Yan-hua; Wang, Yue-yun; Yan, Guang-ming; Li, Cheng

    2015-05-01

    High-performance and tensile-strained germanium (Ge) p-i-n photodetector is demonstrated on Si substrate. The epitaxial Ge layers were prepared in an ultrahigh vacuum chemical vapor deposition (UHV-CVD) system using low temperature Ge buffer technique. The devices were fabricated by in situ doping and using Si as passivation layer between Ge and metal, which can improve the ohmic contact and realize the high doping. The results show that the dark current of the photodetector with diameter of 24 μm is about 2.5×10-7 μA at the bias voltage of -1 V, and the optical responsivity is 0.1 A/W at wavelength of 1.55 μm. The 3 dB bandwidth (BW) of 4 GHz is obtained for the photodetector with diameter of 24 μm at reverse bias voltage of 1 V. The long diffusion time of minority carrier in n-type Ge and the large contact resistance in metal/Ge contacts both affect the performance of Ge photodetectors.

  2. MOVPE growth of N-polar AlN on 4H-SiC: Effect of substrate miscut on layer quality

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Kauppinen, C.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present the effect of miscut angle of SiC substrates on N-polar AlN growth. The N-polar AlN layers were grown on C-face 4H-SiC substrates with a miscut towards 〈 1 bar 1 0 0 〉 by metal-organic vapor phase epitaxy (MOVPE). The optimal V/III ratios for high-quality AlN growth on 1 ° and 4 ° miscut substrates were found to be 20,000 and 1000, respectively. MOVPE grown N-polar AlN layer without hexagonal hillocks or step bunching was achieved using a 4H-SiC substrate with an intentional miscut of 1 ° towards 〈 1 bar 1 0 0 〉 . The 200-nm-thick AlN layer exhibited X-ray rocking curve full width half maximums of 203 arcsec and 389 arcsec for (0 0 2) and (1 0 2) reflections, respectively. The root mean square roughness was 0.4 nm for a 2 μm × 2 μm atomic force microscope scan.

  3. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  4. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  5. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  6. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  7. Red Light Emitting Schottky Diodes on p-TYPE GaN/AlN/Si(111) Substrate

    NASA Astrophysics Data System (ADS)

    Chuah, L. S.; Hassan, Z.; Abu Hassan, H.

    High quality GaN layers doped with Mg were grown on Si(111) substrates using high temperature AlN as buffer layer by radio-frequency molecular beam epitaxy. From the Hall measurements, fairly uniform high hole concentration as high as (4-5) × 1020 cm-3 throughout the GaN was achieved. The fabrication of the device is very simple. Nickel ohmic contacts and Schottky contacts using indium were fabricated on Mg-doped p-GaN films. The light emission has been obtained from these thin film electroluminescent devices. Thin film electroluminescent devices were operated under direct current bias. Schottky and ohmic contacts used as cathode and anode were employed in these investigations. Alternatively, two Schottky contacts could be probed as cathode and anode. Thin film electroluminescent devices were able to emit light. However, electrical and optical differences could be observed from the two different probing methods. The red light color could be observed when the potential between the electrodes was increased gradually under forward bias of 8 V at room temperature. Electrical properties of these thin film electroluminescent devices were characterized by current-voltage (I-V) system, the heights of barriers determined from the I-V measurements were found to be related to the electroluminescence.

  8. Real-time photoelectron spectroscopy study of the oxidation reaction kinetics on p-type and n-type Si (001) surfaces

    NASA Astrophysics Data System (ADS)

    Yu, Zhou

    Silicon oxides thermally grown on Si surface are the core gate materials of metal-oxide-semiconductor field effect transistor (MOSFET). This thin oxide layer insulates the gate terminals and the transistors substrate which make MOSFET has certain advantages over those conventional junctions, such as field-effect transistor (FET) and junction field effect transistor (JFET). With an oxide insulating layer, MOSFET is able to sustain higher input impedance and the corresponding gate leakage current can be minimized. Today, though the oxidation process on Si substrate is popular in industry, there are still some uncertainties about its oxidation kinetics. On a path to clarify and modeling the oxidation kinetics, a study of initial oxidation kinetics on Si (001) surface has attracted attentions due to having a relatively low surface electron density and few adsorption channels compared with other Si surface direction. Based on previous studies, there are two oxidation models of Si (001) that extensively accepted, which are dual oxide species mode and autocatalytic reaction model. These models suggest the oxidation kinetics on Si (001) mainly relies on the metastable oxygen atom on the surface and the kinetic is temperature dependent. Professor Yuji Takakuwa's group, Surface Physics laboratory, Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, observed surface strain existed during the oxidation kinetics on Si (001) and this is the first time that strain was discovered during Si oxidation. Therefore, it is necessary to explain where the strain comes from since none of previous model research included the surface strain (defects generation) into considerations. Moreover, recent developing of complementary metal-oxide-semiconductor (CMOS) requires a simultaneous oxidation process on p- and n-type Si substrate. However, none of those previous models included the dopant factor into the oxidation kinetic modeling. All of these points that

  9. Low Temperature Ohmic Contact Formation of Ni2Si on N-type 4H-SiC and 6H-SiC

    NASA Technical Reports Server (NTRS)

    Elsamadicy, A. M.; Ila, D.; Zimmerman, R.; Muntele, C.; Evelyn, L.; Muntele, I.; Poker, D. B.; Hensley, D.; Hirvonen, J. K.; Demaree, J. D.; hide

    2001-01-01

    Nickel Silicide (Ni2Si) is investigated as possible ohmic contact to heavily nitrogen-doped N-type 4H-SiC and 6H-SiC. Nickel Silicide was deposited via electron gun with various thicknesses on both Si and C faces of the SiC substrates. The Ni2Si contacts were formed at room temperature as well as at elevated temperatures (400 to 1000 K). Contact resistivities and I-V characteristics were measured at temperatures between 100 and 700 C. To investigate the electric properties, I-V characteristics were studied and the Transmission Line Method (TLM) was used to determine the specific contact resistance for the samples at each annealing temperature. Both Rutherford Backscattering Spectroscopy (RBS) and Auger Electron Spectroscopy (AES) were used for depth profiling of the Ni2Si, Si, and C. X-ray Photoemission Spectroscopy (XPS) was used to study the chemical structure of the Ni2Si/SiC interface.

  10. Atom probe tomography of a Ti-Si-Al-C-N coating grown on a cemented carbide substrate.

    PubMed

    Thuvander, M; Östberg, G; Ahlgren, M; Falk, L K L

    2015-12-01

    The elemental distribution within a Ti-Si-Al-C-N coating grown by physical vapour deposition on a Cr-doped WC-Co cemented carbide substrate has been investigated by atom probe tomography. Special attention was paid to the coating/substrate interface region. The results indicated a diffusion of substrate binder phase elements into the Ti-N adhesion layer. The composition of this layer, and the Ti-Al-N interlayer present between the adhesion layer and the main Ti-Si-Al-C-N layer, appeared to be sub-stoichiometric. The analysis of the interlayer showed the presence of internal surfaces, possibly grain boundaries, depleted in Al. The composition of the main Ti-Al-Si-C-N layer varied periodically in the growth direction; layers enriched in Ti appeared with a periodicity of around 30 nm. Laser pulsing resulted in a good mass resolution that made it possible to distinguish between N(+) and Si(2+) at 14 Da. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  12. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates

    NASA Astrophysics Data System (ADS)

    Mahato, J. C.; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B. N.

    2017-10-01

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types—flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi2 and Si are A-type. In the ridged NWs CoSi2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  13. Depth profiling and morphological characterization of AlN thin films deposited on Si substrates using a reactive sputter magnetron

    NASA Astrophysics Data System (ADS)

    Macchi, Carlos; Bürgi, Juan; García Molleja, Javier; Mariazzi, Sebastiano; Piccoli, Mattia; Bemporad, Edoardo; Feugeas, Jorge; Sennen Brusa, Roberto; Somoza, Alberto

    2014-08-01

    It is well-known that the characteristics of aluminum nitride thin films mainly depend on their morphologies, the quality of the film-substrate interfaces and the open volume defects. A study of the depth profiling and morphological characterization of AlN thin films deposited on two types of Si substrates is presented. Thin films of thicknesses between 200 and 400 nm were deposited during two deposition times using a reactive sputter magnetron. These films were characterized by means of X-ray diffraction and imaging techniques (SEM and TEM). To analyze the composition of the films, energy dispersive X-ray spectroscopy was applied. Positron annihilation spectroscopy, specifically Doppler broadening spectroscopy, was used to gather information on the depth profiling of open volume defects inside the films and the AlN films-Si substrate interfaces. The results are interpreted in terms of the structural changes induced in the films as a consequence of changes in the deposition time (i.e., thicknesses) and of the orientation of the substrates.

  14. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  15. Defect observations of Ni/AlGaN/GaN Schottky contacts on Si substrates using scanning internal photoemission microscopy

    NASA Astrophysics Data System (ADS)

    Shiojima, Kenji; Konishi, Hiroaki; Imadate, Hiroyoshi; Yamaoka, Yuya; Matsumoto, Kou; Egawa, Takashi

    2018-04-01

    We have demonstrated the use of scanning internal photoemission microscopy (SIPM) to characterize crystal defects in an AlGaN/GaN heterostructure grown on Si substrates. SIPM enabled the visualization of unusually grown regions owing to cracking of the Si substrates. In these regions, photocurrent was large, which was consistent with leaky current-voltage characteristics. We also found smaller photoyield regions, which may originate from the Al-rich AlGaN regions on hillocks. We confirmed the usefulness of SIPM for investigating the inhomogeneity of crystal quality and electrical characteristics from macroscopic viewpoints.

  16. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    NASA Astrophysics Data System (ADS)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  17. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  18. In situ observation of melting and crystallization of Si on porous Si3N4 substrate that repels Si melt

    NASA Astrophysics Data System (ADS)

    Itoh, Hironori; Okamura, Hideyuki; Asanoma, Susumu; Ikemura, Kouhei; Nakayama, Masaharu; Komatsu, Ryuichi

    2014-09-01

    High temperature in situ observation of melting and crystallization of spherical Si droplets on a substrate with a porous surface was carried out for the first time using an original in situ observation apparatus. The contact angle between the Si melt and the substrate was measured to be 160°, with the Si melt forming spherical droplets on the substrate. During crystallization, a ring-like pattern was observed on the surface of the spherical Si melt droplets due to crystal growth at low levels of supercooling. The solidified spherical Si crystals consisted of single or twin grains. This demonstrates that high-quality spherical Si crystals can be prepared easily and stably by using a Si melt-repelling substrate.

  19. Hole injection and dielectric breakdown in 6H-SiC and 4H-SiC metal-oxide-semiconductor structures during substrate electron injection via Fowler-Nordheim tunneling

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas; Mandal, Krishna C.

    2015-12-01

    Hole injection into silicon dioxide (SiO2) films (8-40 nm thick) is investigated for the first time during substrate electron injection via Fowler-Nordheim (FN) tunneling in n-type 4H- and 6H-SiC (silicon carbide) based metal-oxide-semiconductor (MOS) structures at a wide range of temperatures (T) between 298 and 598 K and oxide electric fields Eox from 6 to 10 MV/cm. Holes are generated in heavily doped n-type polycrystalline silicon (n+ -polySi) gate serving as the anode as well as in the bulk silicon dioxide (SiO2) film via hot-electron initiated band-to-band ionization (BTBI). In absence of oxide trapped charges, it is shown that at a given temperature, the hole injection rates from either of the above two mechanisms are higher in n-4H-SiC MOS devices than those in n-6H-SiC MOS structures when compared at a given Eox and SiO2 thickness (tox). On the other hand, relative to n-4H-SiC devices, n-6H-SiC structures exhibit higher hole injection rates for a given tox during substrate electron injection at a given FN current density je,FN throughout the temperature range studied here. These two observations clearly reveal that the substrate material (n-6H-SiC and n-4H-SiC) dependencies on time-to-breakdown (tBD) or injected charge (electron) to breakdown (QBD) of the SiO2 film depend on the mode of FN injections (constant field/voltage and current) from the substrate which is further verified from the rigorous device simulation as well.

  20. Silicon-on-insulator with hybrid orientations for heterogeneous integration of GaN on Si (100) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin

    2018-05-01

    Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).

  1. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q.; Takahashi, T.; Matsuhata, H.

    2013-12-02

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayersmore » grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.« less

  2. Role of an ultra-thin AlN/GaN superlattice interlayer on the strain engineering of GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shen, X. Q.; Takahashi, T.; Rong, X.; Chen, G.; Wang, X. Q.; Shen, B.; Matsuhata, H.; Ide, T.; Shimizu, M.

    2013-12-01

    We investigate the role of an ultra-thin AlN/GaN superlattice interlayer (SL-IL) on the strain engineering of the GaN films grown on Si(110) and Si(111) substrates by plasma-assisted molecular beam epitaxy. It is found that micro-cracks limitted only at the SL-IL position are naturally generated. These micro-cracks play an important role in relaxing the tensile strain caused by the difference of the coefficient of thermal expansion between GaN and Si and keeping the residual strain in the crack-free GaN epilayers resulted from the SL-IL during the growth. The mechanism understanding of the strain modulation by the SL-IL in the GaN epilayers grown on Si substrates makes it possible to design new heterostructures of III-nitrides for optic and electronic device applications.

  3. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    PubMed

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  4. Growth mechanism and microstructure of low defect density InN (0001) In-face thin films on Si (111) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kehagias, Th.; Dimitrakopulos, G. P.; Koukoula, T.

    2013-10-28

    Transmission electron microscopy has been employed to analyze the direct nucleation and growth, by plasma-assisted molecular beam epitaxy, of high quality InN (0001) In-face thin films on (111) Si substrates. Critical steps of the heteroepitaxial growth process are InN nucleation at low substrate temperature under excessively high N-flux conditions and subsequent growth of the main InN epilayer at the optimum conditions, namely, substrate temperature 400–450 °C and In/N flux ratio close to 1. InN nucleation occurs in the form of a very high density of three dimensional (3D) islands, which coalesce very fast into a low surface roughness InN film.more » The reduced reactivity of Si at low temperature and its fast coverage by InN limit the amount of unintentional Si nitridation by the excessively high nitrogen flux and good bonding/adhesion of the InN film directly on the Si substrate is achieved. The subsequent overgrowth of the main InN epilayer, in a layer-by-layer growth mode that enhances the lateral growth of InN, reduces significantly the crystal mosaicity and the density of threading dislocations is about an order of magnitude less compared to InN films grown using an AlN/GaN intermediate nucleation/buffer layer on Si. The InN films exhibit the In-face polarity and very smooth atomically stepped surfaces.« less

  5. Porous nC-Si/SiOx nanostructured layer on Si substrate with tunable photoluminescent properties fabricated by direct, precursor-free microplasma irradiation in air

    NASA Astrophysics Data System (ADS)

    Wang, Tao; Hu, Mingshan; Yang, Bin; Wang, Xiaolin; Liu, Jingquan

    2018-03-01

    Porous nC-Si/SiOx photoluminescent nanostructured layer is fabricated by direct, precursor-free microplasma irradiation on Si substrate in air. It is confirmed that the deposited layer has porous and cluster-like structures by scanning electron microscopy (SEM) and profile scanning. Fourier transform infrared transmission (FTIR), X-ray diffraction (XRD) and X-ray photoelectron spectrum (XPS) results indicate the produced layer is actually composed of nanocrystalline silicon (nC-Si) embedded in SiOx matrix. Transmission electron microscopy (TEM) and Raman results show the mean particle size of nC-Si is mainly between 2 and 4 nm and the highest crystalline volume fraction reaches 86.9%. The photoluminescence (PL) measurement of nC-Si/SiOx layer exhibited a broad band centered at 1.7-1.9 eV, ranging from 1.2-2.4 eV, and could be tuned by varying the applied voltage. The synthetical mechanisms are discussed to explain the PL properties of the layers. We propose that the energetic ions bombing induced by high compressed electric field near the Si surface is the main reason for porous nC-Si/SiOx formation. Maskless deposition of the line pattern of nC-Si/SiOx layer was also successfully fabricated. This simple, maskless, vacuum-free and precursor-free technique could be used in various potential optoelectronics and biological applications in the future.

  6. Deep level transient spectroscopic analysis of p/n junction implanted with boron in n-type silicon substrate

    NASA Astrophysics Data System (ADS)

    Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi

    2018-04-01

    For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.

  7. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    NASA Astrophysics Data System (ADS)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  8. Band line-up determination at p- and n-type Al/4H-SiC Schottky interfaces using photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Kohlscheen, J.; Emirov, Y. N.; Beerbom, M. M.; Wolan, J. T.; Saddow, S. E.; Chung, G.; MacMillan, M. F.; Schlaf, R.

    2003-09-01

    The band lineup of p- and n-type 4H-SiC/Al interfaces was determined using x-ray photoemission spectroscopy (XPS). Al was deposited in situ on ex situ cleaned SiC substrates in several steps starting at 1.2 Å up to 238 Å nominal film thickness. Before growth and after each growth step, the sample surface was characterized in situ by XPS. The analysis of the spectral shifts indicated that during the initial deposition stages the Al films react with the ambient surface contamination layer present on the samples after insertion into vacuum. At higher coverage metallic Al clusters are formed. The band lineups were determined from the analysis of the core level peak shifts and the positions of the valence bands maxima (VBM) depending on the Al overlayer thickness. Shifts of the Si 2p and C 1s XPS core levels occurred to higher (lower) binding energy for the p-(n-)type substrates, which was attributed to the occurrence of band bending due to Fermi-level equilibration at the interface. The hole injection barrier at the p-type interface was determined to be 1.83±0.1 eV, while the n-type interface revealed an electron injection barrier of 0.98±0.1 eV. Due to the weak features in the SiC valence bands measured by XPS, the VBM positions were determined using the Si 2p peak positions. This procedure required the determination of the Si 2p-to-VBM binding energy difference (99.34 eV), which was obtained from additional measurements.

  9. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  10. AlGaN/GaN HEMT grown on large size silicon substrates by MOVPE capped with in-situ deposited Si 3N 4

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Leys, M.; Derluyn, J.; Degroote, S.; Xiao, D. P.; Lorenz, A.; Boeykens, S.; Germain, M.; Borghs, G.

    2007-01-01

    AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on 4 and 6 in Si(1 1 1) substrates by metal organic vapor phase epitaxy (MOVPE). A record sheet resistance of 256 Ω/□ has been measured by contactless eddy current mapping on 4 in silicon substrates. The wafer also shows an excellent uniformity and the standard variation is 3.6 Ω/□ over the whole wafer. These values were confirmed by Hall-Van der Pauw measurements. In the 2DEG at the AlGaN/GaN interface, the electron mobility is in the range of 1500-1800 cm 2/Vs and the electron density is between 1.3×10 13 and 1.7×10 13 cm -2. The key step in obtaining these results is an in-situ deposited Si 3N 4 passivation layer. This in-situ Si 3N 4, deposited directly after AlGaN top layer growth in the MOVPE reactor chamber, not only prevents the stress relaxation in AlGaN/GaN hetero-structures but also passivates the surface states of the AlGaN cap layer. HEMT transistors have been processed on the epitaxial structures and the maximum source-drain current density is 1.1 A/mm for a gate-source voltage of 2 V. The current collapse is minimized thanks to in-situ Si 3N 4. First results on AlGaN/GaN structures grown on 6 in Si(1 1 1) are also presented.

  11. Synthesis and characterization of AlTiSiN/CrSiN multilayer coatings by cathodic arc ion-plating

    NASA Astrophysics Data System (ADS)

    Yang, B.; Tian, C. X.; Wan, Q.; Yan, S. J.; Liu, H. D.; Wang, R. Y.; Li, Z. G.; Chen, Y. M.; Fu, D. J.

    2014-09-01

    AlTiSiN/CrSiN multilayer coatings were deposited on Si (1 0 0) and cemented carbide substrates using Cr, AlTi cathodes and SiH4 gases by cathodic arc ion plating system. The influences of SiH4 gases flowrate on the structural and mechanical properties of the coatings were investigated, systematically. AlTiSiN/CrSiN coatings exhibit a B1 NaCl-type nano-multilayered structure in which the CrSiN nano-layers alternate with AlTiSiN nano-layers with multiple orientations of crystal planes indicated by XRD patterns and TEM. Si contents of the coatings increase with increasing SiH4 flowrate. The hardness of the coatings increases to the maximum value of 3500 Hv0.05 with increasing SiH4 flowrate from 20 to 40 sccm and then decreases with further addition of SiH4 gases. A higher adhesive force of 73 N is obtained at the flowrate of 48 sccm. The coatings exhibit different tribological performance when the mating materials were varied from Si3N4 to cemented carbide balls and the variation of friction coefficients of the coatings against Si3N4 influenced by SiH4 flowrate are not obvious as against cemented carbide balls.

  12. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  13. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  14. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  15. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  16. Growth and characterization of few unit-cell NbN superconducting films on 3C-SiC/Si substrate

    NASA Astrophysics Data System (ADS)

    Chang, H. W.; Wang, C. L.; Huang, Y. R.; Chen, T. J.; Wang, M. J.

    2017-11-01

    Superconducting δ-NbN ultrathin film has become a key element in extremely sensitive detector applications in recent decades because of its excellent electronic properties. We have realized the epitaxial growth of ultrathin δ-NbN films on (100)-oriented 3C-SiC/Si substrates by dc reactive magnetron sputtering at 760 °C with a deposition rate of 0.054 nm s-1. High-resolution transmission electron microscope images confirm the excellent epitaxy of these films. Even with a thickness of 1.3 nm (˜3 unit cells), the δ-NbN film shows a superconducting transition above 8 K. Furthermore, our ultrathin δ-NbN films demonstrate a long Ginzburg-Landau superconducting coherent length ({ξ }{{G}{{L}}}(0)> 5 {{nm}}) with a critical current density of about 2.2 MA cm-2, and good stability in an ambient environment.

  17. Boron doped Si rich oxide/SiO{sub 2} and silicon rich nitride/SiN{sub x} bilayers on molybdenum-fused silica substrates for vertically structured Si quantum dot solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Ziyun, E-mail: z.lin@unsw.edu.au; Wu, Lingfeng; Jia, Xuguang

    2015-07-28

    Vertically structured Si quantum dots (QDs) solar cells with molybdenum (Mo) interlayer on quartz substrates would overcome current crowding effects found in mesa-structured cells. This study investigates the compatibility between boron (B) doped Si QDs bilayers and Mo-fused silica substrate. Both Si/SiO{sub 2} and Si/SiN{sub x} based QDs bilayers were studied. The material compatibility under high temperature treatment was assessed by examining Si crystallinity, microstress, thin film adhesion, and Mo oxidation. It was observed that the presence of Mo interlayer enhanced the Si QDs size confinement, crystalline fraction, and QDs size uniformity. The use of B doping was preferred comparedmore » to phosphine (PH{sub 3}) doping studied previously in terms of better surface and interface properties by reducing oxidized spots on the film. Though crack formation due to thermal mismatch after annealing remained, methods to overcome this problem were proposed in this paper. Schematic diagram to fabricate full vertical structured Si QDs solar cells was also suggested.« less

  18. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  19. Observation of positive and small electron affinity of Si-doped AlN films grown by metalorganic chemical vapor deposition on n-type 6H-SiC

    NASA Astrophysics Data System (ADS)

    Feng, Liang; Ping, Chen; De-Gang, Zhao; De-Sheng, Jiang; Zhi-Juan, Zhao; Zong-Shun, Liu; Jian-Jun, Zhu; Jing, Yang; Wei, Liu; Xiao-Guang, He; Xiao-Jing, Li; Xiang, Li; Shuang-Tao, Liu; Hui, Yang; Li-Qun, Zhang; Jian-Ping, Liu; Yuan-Tao, Zhang; Guo-Tong, Du

    2016-05-01

    We have investigated the electron affinity of Si-doped AlN films (N Si = 1.0 × 1018-1.0 × 1019 cm-3) with thicknesses of 50, 200, and 400 nm, synthesized by metalorganic chemical vapor deposition (MOCVD) under low pressure on the n-type (001)6H-SiC substrates. The positive and small electron affinity of AlN films was observed through the ultraviolet photoelectron spectroscopy (UPS) analysis, where an increase in electron affinity appears with the thickness of AlN films increasing, i.e., 0.36 eV for the 50-nm-thick one, 0.58 eV for the 200-nm-thick one, and 0.97 eV for the 400-nm-thick one. Accompanying the x-ray photoelectron spectroscopy (XPS) analysis on the surface contaminations, it suggests that the difference of electron affinity between our three samples may result from the discrepancy of surface impurity contaminations. Project supported by the National Natural Science Foundation of China (Grant Nos. 61574135, 61574134, 61474142, 61474110, 61377020, 61376089, 61223005, and 61321063), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).

  20. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  1. Electrical properties of epitaxial 3C- and 6H-SiC p-n junction diodes produced side-by-side on 6H-SiC substrates

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.; Larkin, David J.; Starr, Jonathan E.; Powell, J. Anthony; Salupo, Carl S.; Matus, Lawrence G.

    1994-01-01

    3C-SiC (beta-SiC) and 6H-SiC p-n junction diodes have been fabricated in regions of both 3C-SiC and 6H-SiC epitaxial layers which were grown side-by-side on low-tilt-angle 6H-SiC substrates via a chemical vapor deposition (CVD) process. Several runs of diodes exhibiting state-of-the-art electrical characteristics were produced, and performance characteristics were measured and compared as a function of doping, temperature, and polytype. The first 3C-SiC diodes which rectify to reverse voltages in excess of 300 V were characterized, representing a six-fold blocking voltage improvement over experimental 3C-SiC diodes produced by previous techniques. When placed under sufficient forward bias, the 3C-SiC diodes emit significantly bright green-yellow light while the 6H-SiC diodes emit in the blue-violet. The 6H-SiC p-n junction diodes represent the first reported high-quality 6H-SiC devices to be grown by CVD on very low-tilt-angle (less than 0.5 deg off the (0001) silicon face) 6H substrates. The reverse leakage current of a 200 micron diameter circular device at 1100 V reverse bias was less than 20 nA at room temperature, and excellent rectification characteristics were demonstrated at the peak characterization temperature of 400 C.

  2. Strain-Engineered Nanomembrane Substrates for Si/SiGe Heterostructures

    NASA Astrophysics Data System (ADS)

    Sookchoo, Pornsatit

    For Group IV materials, including silicon, germanium, and their alloys, although they are most widely used in the electronics industry, the development of photonic devices is hindered by indirect band gaps and large lattice mismatches. Thus, any heterostructures involving Si and Ge (4.17% lattice mismatch) are subject to plastic relaxation by dislocation formation in the heterolayers. These defects make many devices impossible and at minimum degrade the performance of those that are possible. Fabrication using elastic strain engineering in Si/SiGe nanomembranes (NMs) is an approach that is showing promise to overcome this limitation. A key advantage of such NM substrates over conventional bulk substrates is that they are relaxed elastically and therefore free of dislocations that occur in the conventional fabrication of SiGe substrates, which are transferred to the epilayers and roughen film interfaces. In this thesis, I use the strain engineering of NMs or NM stacks to fabricate substrates for the epitaxial growth of many repeating units of Si/SiGe heterostructure, known as a 'superlattice', by the elastic strain sharing of a few periods of the repeating unit of Si/SiGe heterolayers or a Si/SiGe/Si tri-layer structure. In both cases, the process begins with the epitaxial growth of Si/SiGe heterolayers on silicon-on-insulator (SOI), where each layer thickness is designed to stay below its kinetic critical thickness for the formation of dislocations. The heterostructure NMs are then released by etching of the SiO2 sacrificial layer in hydrofluoric acid. The resulting freestanding NMs are elastically relaxed by the sharing of strain between the heterolayers. The NMs can be bonded in-place to their host substrate or transferred to another host substrate for the subsequent growth of many periods of superlattice film. The magnitude of strain sharing in these freestanding NMs is influenced by their layer thicknesses and layer compositions. As illustrated in this

  3. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  4. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  5. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  6. High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.

    2004-01-01

    SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony concentration was approximately 4 x 10(exp 19) per cubic centimeter. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per square centimeter, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V (sub DS)) range, with V (sub DS) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.

  7. Structural and electrical characterization of NbO2 vertical devices grown on TiN coated SiO2/Si substrate

    NASA Astrophysics Data System (ADS)

    Joshi, Toyanath; Borisov, Pavel; Lederman, David

    Due to its relatively high MIT temperature (1081 K) and current-controlled negative differential resistance, NbO2 is a robust candidate for memory devices and electrical switching applications. In this work, we present in-depth analysis of NbO2 thin film vertical devices grown on TiN coated SiO2/Si substrates using pulsed laser deposition (PLD). Two of the films grown in 1 mTorr and 10 mTorr O2/Ar (~7% O2) mixed growth pressures were studied. The formation of NbO2 phase was confirmed by Grazing Incidence X-ray Diffractometry (GIXRD), X-ray Photoelectron Spectroscopy (XPS) and current vs. voltage measurements. A probe station tip (tip size ~2 μm) or conductive AFM tip was used as a top and TiN bottom layer was used as a bottom contact. Device conductivity showed film thickness and contact size dependence. Current pulse measurements, performed in response to applied triangular voltage pulses, showed a non-linear threshold switching behavior for voltage pulse durations of ~100 ns and above. Self-sustained current oscillations were analyzed in terms of defect density presented in the film. Supported by FAME (sponsored by MARCO and DARPA, Contract 2013-MA-2382), WV Higher Education Policy Commission Grant (HEPC.dsr.12.29), and WVU SRF. We also thank S. Kramer from Micron for providing the TiN-coated Si substrates.

  8. Improving off-state leakage characteristics for high voltage AlGaN/GaN-HFETs on Si substrates

    NASA Astrophysics Data System (ADS)

    Moon, Sung-Woon; Twynam, John; Lee, Jongsub; Seo, Deokwon; Jung, Sungdal; Choi, Hong Goo; Shim, Heejae; Yim, Jeong Soon; Roh, Sungwon D.

    2014-06-01

    We present a reliable process and design technique for realizing high voltage AlGaN/GaN hetero-junction field effect transistors (HFETs) on Si substrates with very low and stable off-state leakage current characteristics. In this work, we have investigated the effects of the surface passivation layer, prepared by low pressure chemical vapor deposition (LPCVD) of silicon nitride (SiNx), and gate bus isolation design on the off-state leakage characteristics of metal-oxide-semiconductor (MOS) gate structure-based GaN HFETs. The surface passivated devices with gate bus isolation fully surrounding the source and drain regions showed extremely low off-state leakage currents of less than 20 nA/mm at 600 V, with very small variation. These techniques were successfully applied to high-current devices with 80-mm gate width, yielding excellent off-state leakage characteristics within a drain voltage range 0-700 V.

  9. Al{sub x}Ga{sub 1−x}N-based solar-blind ultraviolet photodetector based on lateral epitaxial overgrowth of AlN on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cicek, E.; McClintock, R.; Cho, C. Y.

    2013-10-28

    We report on Al{sub x}Ga{sub 1−x}N-based solar-blind ultraviolet (UV) photodetector (PD) grown on Si(111) substrate. First, Si(111) substrate is patterned, and then metalorganic chemical vapor deposition is implemented for a fully-coalesced ∼8.5 μm AlN template layer via a pulsed atomic layer epitaxial growth technique. A back-illuminated p-i-n PD structure is subsequently grown on the high quality AlN template layer. After processing and implementation of Si(111) substrate removal, the optical and electrical characteristic of PDs are studied. Solar-blind operation is observed throughout the array; at the peak detection wavelength of 290 nm, 625 μm{sup 2} area PD showed unbiased peak externalmore » quantum efficiency and responsivity of ∼7% and 18.3 mA/W, respectively, with a UV and visible rejection ratio of more than three orders of magnitude. Electrical measurements yielded a low-dark current density below 1.6 × 10{sup −8} A/cm{sup 2} at 10 V reverse bias.« less

  10. Interface-state density estimation of n-type nanocrystalline FeSi2/p-type Si heterojunctions fabricated by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nopparuchikun, Adison; Promros, Nathaporn; Sittimart, Phongsaphak; Onsee, Peeradon; Duangrawa, Asanlaya; Teakchaicum, Sakmongkon; Nogami, Tomohiro; Yoshitake, Tsuyoshi

    2017-09-01

    By utilizing pulsed laser deposition (PLD), heterojunctions comprised of n-type nanocrystalline (NC) FeSi2 thin films and p-type Si substrates were fabricated at room temperature in this study. Both dark and illuminated current density-voltage (J-V) curves for the heterojunctions were measured and analyzed at room temperature. The heterojunctions demonstrated a large reverse leakage current as well as a weak near-infrared light response. Based on the analysis of the dark forward J-V curves, at the V value  ⩽  0.2 V, we show that a carrier recombination process was governed at the heterojunction interface. When the V value was  >  0.2 V, the probable mechanism of carrier transportation was a space-charge limited-current process. Both the measurement and analysis for capacitance-voltage-frequency (C-V-f ) and conductance-voltage-frequency (G-V-f ) curves were performed in the applied frequency (f ) range of 50 kHz-2 MHz at room temperature. From the C-V-f and G-V-f curves, the density of interface states (N ss) for the heterojunctions was computed by using the Hill-Coleman method. The N ss values were 9.19  ×  1012 eV-1 cm-2 at 2 MHz and 3.15  ×  1014 eV-1 cm-2 at 50 kHz, which proved the existence of interface states at the heterojunction interface. These interface states are the probable cause of the degraded electrical performance in the heterojunctions. Invited talk at 5th Thailand International Nanotechnology Conference (Nano Thailand-2016), 27-29 November 2016, Nakhon Ratchasima, Thailand.

  11. Mechanisms of the micro-crack generation in an ultra-thin AlN/GaN superlattice structure grown on Si(110) substrates by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, X. Q., E-mail: xq-shen@aist.go.jp; Takahashi, T.; Ide, T.

    2015-09-28

    We investigate the generation mechanisms of micro-cracks (MCs) in an ultra-thin AlN/GaN superlattice (SL) structure grown on Si(110) substrates by metalorganic chemical vapor deposition. The SL is intended to be used as an interlayer (IL) for relaxing tensile stress and obtaining high-quality crack-free GaN grown on Si substrates. It is found that the MCs can be generated by two different mechanisms, where large mismatches of the lattice constant (LC) and the coefficient of thermal expansion (CTE) play key roles in the issue. Different MC configurations (low-density and high-density MCs) are observed, which are considered to be formed during the differentmore » growth stages (SL growth and cooling down processes) due to the LC and the CTE effects. In-situ and ex-situ experimental results support the mechanism interpretations of the MCs generation. The mechanism understanding makes it possible to optimize the SL IL structure for growing high-quality crack-free GaN films on Si substrates for optical and electronic device applications.« less

  12. High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.

    2003-01-01

    SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony, concentration was approximately 4 x 10(exp19) per cubic cm. The electron mobility was over 1,200 and 13,000 sq cm/V-sec at room temperature and 0.25 K, respectively. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per sq cm, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V(sub DS)) range, with (V(sub DS)) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.

  13. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  14. Enhancement in c-Si solar cells using 16 nm InN nanoparticles

    NASA Astrophysics Data System (ADS)

    Imtiaz Chowdhury, Farsad; Alnuaimi, Aaesha; Alkis, Sabri; Ortaç, Bülend; Aktürk, Selçuk; Alevli, Mustafa; Dietz, Nikolaus; Kemal Okyay, Ali; Nayfeh, Ammar

    2016-05-01

    In this work, 16 nm indium nitride (InN) nanoparticles (NPs) are used to increase the performance of thin-film c-Si HIT solar cells. InN NPs were spin-coated on top of an ITO layer of c-Si HIT solar cells. The c-Si HIT cell is a stack of 2 μm p type c-Si, 4-5 nm n type a-Si, 15 nm n+ type a-Si and 80 nm ITO grown on a p+ type Si substrate. On average, short circuit current density (Jsc) increases from 19.64 mA cm-2 to 21.54 mA cm-2 with a relative improvement of 9.67% and efficiency increases from 6.09% to 7.09% with a relative improvement of 16.42% due to the presence of InN NPs. Reflectance and internal/external quantum efficiency (IQE/EQE) of the devices were also measured. Peak EQE was found to increase from 74.1% to 81.3% and peak IQE increased from 93% to 98.6% for InN NPs coated c-Si HIT cells. Lower reflection of light due to light scattering is responsible for performance enhancement between 400-620 nm while downshifted photons are responsible for performance enhancement from 620 nm onwards.

  15. Growth of high-quality InGaN/GaN LED structures on (1 1 1) Si substrates with internal quantum efficiency exceeding 50%

    NASA Astrophysics Data System (ADS)

    Lee, JaeWon; Tak, Youngjo; Kim, Jun-Youn; Hong, Hyun-Gi; Chae, Suhee; Min, Bokki; Jeong, Hyungsu; Yoo, Jinwoo; Kim, Jong-Ryeol; Park, Youngsoo

    2011-01-01

    GaN-based light-emitting-diodes (LEDs) on (1 1 1) Si substrates with internal quantum efficiency (IQE) exceeding 50% have been successfully grown by metal organic vapor phase epitaxy (MOVPE). 3.5 μm thick crack-free GaN epitaxial layers were grown on the Si substrates by the re-growth method on patterned templates. Series of step-graded Al xGa 1- xN epitaxial layers were used as the buffer layers to compensate thermal tensile stresses produced during the post-growth cooling process as well as to reduce the density of threading dislocations (TDs) generated due to the lattice mismatches between III-nitride layers and the silicon substrates. The light-emitting region consisted of 1.8 μm thick n-GaN, 3 periods of InGaN/GaN superlattice, InGaN/GaN multiple quantum wells (MQWs) designed for a peak wavelength of about 455 nm, an electron blocking layer (EBL), and p-GaN. The full-widths at half-maximum (FWHM) of (0 0 0 2) and (1 0 -1 2) ω-rocking curves of the GaN epitaxial layers were 410 and 560 arcsec, respectively. Cross-sectional transmission electron microscopy (TEM) investigation revealed that the propagation of the threading dislocations was mostly limited to the interface between the last Al xGa 1- xN buffer and n-GaN layers. The density of the threading dislocations induced pits of n-GaN, as estimated by atomic force microscopy (AFM), was about 5.5×10 8 cm -2. Temperature dependent photoluminescence (PL) measurements with a relative intensity integration method were carried out to estimate the internal quantum efficiency (IQE) of the light-emitting structures grown on Si, which reached up to 55%.

  16. p-n Junction Diodes Fabricated on Si-Si/Ge Heteroepitaxial Films

    NASA Technical Reports Server (NTRS)

    Das, K.; Mazumder, M. D. A.; Hall, H.; Alterovitz, Samuel A. (Technical Monitor)

    2000-01-01

    A set of photolithographic masks was designed for the fabrication of diodes in the Si-Si/Ge material system. Fabrication was performed on samples obtained from two different wafers: (1) a complete HBT structure with an n (Si emitter), p (Si/Ge base), and an n/n+ (Si collector/sub-collector) deposited epitaxially (MBE) on a high resistivity p-Si substrate, (2) an HBT structure where epitaxial growth was terminated after the p-type base (Si/Ge) layer deposition. Two different process runs were attempted for the fabrication of Si-Si/Ge (n-p) and Si/Ge-Si (p-n) junction diodes formed between the emitter-base and base-collector layers, respectively, of the Si-Si/Ge-Si HBT structure. One of the processes employed a plasma etching step to expose the p-layer in the structure (1) and to expose the e-layer in structure (2). The Contact metallization used for these diodes was a Cu-based metallization scheme that was developed during the first year of the grant. The plasma-etched base-collector diodes on structure (2) exhibited well-behaved diode-like characteristics. However, the plasma-etched emitter-base diodes demonstrated back-to-back diode characteristics. These back-to back characteristics were probably due to complete etching of the base-layer, yielding a p-n-p diode. The deep implantation process yielded rectifying diodes with asymmetric forward and reverse characteristics. The ideality factor of these diodes were between 1.6 -2.1, indicating that the quality of the MBE grown epitaxial films was not sufficiently high, and also incomplete annealing of the implantation damage. Further study will be conducted on CVD grown films, which are expected to have higher epitaxial quality.

  17. Processing of n+/p-/p+ strip detectors with atomic layer deposition (ALD) grown Al2O3 field insulator on magnetic Czochralski silicon (MCz-si) substrates

    NASA Astrophysics Data System (ADS)

    Härkönen, J.; Tuovinen, E.; Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T.; Junkes, A.; Wu, X.; Li, Z.

    2016-08-01

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n+ segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO2 interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al2O3) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current-voltage and capacitance-voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×1015 neq/cm2 proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  18. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  19. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    NASA Astrophysics Data System (ADS)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  1. Strong room temperature electroluminescence from lateral p-SiGe/i-Ge/n-SiGe heterojunction diodes on silicon-on-insulator substrate

    NASA Astrophysics Data System (ADS)

    Lin, Guangyang; Yi, Xiaohui; Li, Cheng; Chen, Ningli; Zhang, Lu; Chen, Songyan; Huang, Wei; Wang, Jianyuan; Xiong, Xihuan; Sun, Jiaming

    2016-10-01

    A lateral p-Si0.05Ge0.95/i-Ge/n-Si0.05Ge0.95 heterojunction light emitting diode on a silicon-on-insulator (SOI) substrate was proposed, which is profitable to achieve higher luminous extraction compared to vertical junctions. Due to the high carrier injection ratio of heterostructures and optical reflection at the SiO2/Si interface of the SOI, strong room temperature electroluminescence (EL) at around 1600 nm from the direct bandgap of i-Ge with 0.30% tensile strain was observed. The EL peak intensity of the lateral heterojunction is enhanced by ˜4 folds with a larger peak energy than that of the vertical Ge p-i-n homojunction, suggesting that the light emitting efficiency of the lateral heterojunction is effectively improved. The EL peak intensity of the lateral heterojunction, which increases quadratically with injection current density, becomes stronger for diodes with a wider i-Ge region. The CMOS compatible fabrication process of the lateral heterojunctions paves the way for the integration of the light source with the Ge metal-oxide-semiconductor field-effect-transistor.

  2. Theoretical prediction of a self-forming gallium oxide layer at an n-type GaN/SiO2 interface

    NASA Astrophysics Data System (ADS)

    Chokawa, Kenta; Narita, Tetsuo; Kikuta, Daigo; Kachi, Tetsu; Shiozaki, Koji; Shiraishi, Kenji

    2018-03-01

    We examine the energy band diagram at the n-type GaN (n-GaN)/SiO2 interface and show that electron transfer from n-GaN to SiO2 leads to the formation of negatively charged oxygen vacancies in the SiO2, resulting in the self-formation of an n-GaN/Ga2O3/SiO2 structure. On the other hand, it is difficult to automatically form Ga2O3 at a p-type GaN (p-GaN)/SiO2 interface. This electron-transfer-induced self-formation of Ga2O3 causes an interface dipole, which leads to band bending, resulting in an increase in the conduction band offset between GaN and SiO2. Accordingly, by using this self-forming phenomenon, GaN MOSFETs with lower leakage current can be realized.

  3. Time-Resolved Photoluminescence Studies of Si-doped AlGaN alloys

    NASA Astrophysics Data System (ADS)

    Nam, K. B.; Li, J.; Nakarmi, M. L.; Lin, J. Y.; Jiang, H. X.

    2002-03-01

    Si-doped n-type Al x Ga_1-x N alloys with x between 0.3 and 0.5 were grown by metal-organic chemical vapor deposition (MOCVD) on sapphire substrates. Time-resolved photoluminescence (PL) emission spectroscopy and variable temperature Hall-effect measurements were employed to study the optical and electrical properties of these epilayers. Our electrical data revealed that the conductivity of Si-doped Al x Ga_1-x N alloys (x > 0.4) increases with an increase of the Si doping concentration (N_Si) for a fixed x value and exhibits a sharp increase around N_Si= 1x10 ^18cm-3, suggesting the existence of a critical Si doping concentration needed to convert insulating Al x Ga_1-x N alloys (x > 0.4) to n-type conductivity. Time-resolved PL studies also showed that PL decay lifetime and activation energy decrease sharply when Si-doping concentration increases from N_Si= 0 to 1x10 ^18cm-3and then followed by gradual decreases as N_Si further increases. Our results thus suggest that Si-doping reduces the effect of carrier localization in Al x Ga_1-x N alloys and a sharp drop in carrier localization energy occurs at N_Si= 1x10 ^18cm-3, which is the critical Si-doping concentration needed to fill up the localized states in Al x Ga_1-x N alloys (x > 0.4). The implications of these results to UV optoelectronic devices are also discussed.

  4. Performance improvement of GaN-based metal-semiconductor-metal photodiodes grown on Si(111) substrate by thermal cycle annealing process

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin

    2014-01-01

    A simple thermal cycle annealing (TCA) process was used to improve the quality of GaN grown on a Si substrate. The X-ray diffraction (XRD) and etch pit density (EPD) results revealed that using more process cycles, the defect density cannot be further reduced. However, the performance of GaN-based metal-semiconductor-metal (MSM) photodiodes (PDs) prepared on Si substrates showed significant improvement. With a two-cycle TCA process, it is found that the dark current of the device was only 1.46 × 10-11 A, and the photo-to-dark-current contrast ratio was about 1.33 × 105 at 5 V. Also, the UV/visible rejection ratios can reach as high as 1077.

  5. Si /SiGe n-type resonant tunneling diodes fabricated using in situ hydrogen cleaning

    NASA Astrophysics Data System (ADS)

    Suet, Z.; Paul, D. J.; Zhang, J.; Turner, S. G.

    2007-05-01

    In situ hydrogen cleaning to reduce the surface segregation of n-type dopants in SiGe epitaxy has been used to fabricate Si /SiGe resonant tunneling diodes in a joint gas source chemical vapor deposition and molecular beam epitaxial system. Diodes fabricated without the in situ clean demonstrate linear current-voltage characteristics, while a 15min hydrogen clean produces negative differential resistance with peak-to-valley current ratios up to 2.2 and peak current densities of 5.0A/cm2 at 30K. Analysis of the valley current and the band structure of the devices suggest methods for increasing the operating temperature of Si /SiGe resonant tunneling diodes as required for applications.

  6. Impact of Substrate Types on Structure and Emission of ZnO Nanocrystalline Films

    NASA Astrophysics Data System (ADS)

    Ballardo Rodriguez, I. Ch.; El Filali, B.; Díaz Cano, A. I.; Torchynska, T. V.

    2018-02-01

    Zinc oxide (ZnO) films were simultaneously synthesized by an ultrasonic spray pyrolysis (USP) method on p-type Si (100), silicon carbide polytype [6H-SiC (0001)], porous 6H-SiC and amorphous glass substrates with the aim of studying the impact of substrate types on the structure and emission of ZnO nanocrystalline films. Porous silicon carbide (P-SiC) was prepared by the electrochemical anodization method at a constant potential of 20 V and etching time of 12 min. ZnO films grown on the SiC and P-SiC substrates are characterized by a wurtzite crystal structure with preferential growth along the (002) direction and with grain sizes of 90-180 and 70-160 nm, respectively. ZnO films grown on the Si substrate have just some small irregular hexagonal islands. The amorphous glass substrate did not promote the formation of any regular crystal forms. The obtained x-ray diffraction and photoluminescence (PL) results have shown that the better ZnO film crystallinity and high PL intensity of near-band edge emissions were achieved in the films grown on the porous SiC and SiC substrates. The preferential growth and crystalline nature of ZnO films on the SiC substrate have been discussed from the point of view of the lattice parameter compatibility between ZnO and SiC crystals.

  7. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  8. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  9. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  10. Electron concentration in highly resistive GaN substrates co-doped with Si, C, and Fe

    NASA Astrophysics Data System (ADS)

    Tokuda, Hirokuni; Suzuki, Kosuke; Asubar, Joel T.; Kuzuhara, Masaaki

    2018-07-01

    Electron concentration in highly resistive GaN substrates with intentional iron (Fe) dopants as well as unintentionally incorporated silicon (Si) and carbon (C) dopants has been investigated. Si, C, and Fe atomic concentrations were 2 × 1017, 1 × 1016, and 1 × 1019 cm‑3, respectively as measured by secondary ion mass spectroscopy (SIMS). Temperature dependence of current–voltage (I–V) characteristics revealed that the resistivity (ρ) was 3.8 × 109 Ω cm at 300 K and monotonously decreased to 3.1 × 104 Ω cm at 570 K, giving an activation energy of 0.63 eV. Electron concentration (n) was modeled using analytical equation assuming three impurity levels of Si donor, C and Fe acceptors. The n of 5.0 × 107 and 3.1 × 1012 cm‑3 at 300 and 570 K, respectively, with an effective activation energy of 0.60 eV, were derived based on the model. These calculated electron concentration values are in good agreement with the experimental results. In addition, quantitatively analyzed results revealed that around 2 orders of magnitude reduction of n is expected by increasing doping concentration of Fe from 1.0 × 1018 to 1.0 × 1020 cm‑3.

  11. Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate

    PubMed Central

    Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan

    2014-01-01

    GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601

  12. Novel approach for III-N on Si (111) templates fabrication by low-temperature PA MBE using porous Si layer

    NASA Astrophysics Data System (ADS)

    Zolotukhin, D.; Seredin, P.; Lenshin, A.; Goloshchapov, D.; Mizerov, A.

    2017-11-01

    We report on successful growth of GaN nanorods by low-temperature plasma-assisted molecular beam epitaxy on a Si(111) substrate with and without preformed thin porous Si layer (por-Si). The deposited GaN initially forms islands which act as a seed for the wires. Porous structure of the por-Si layer helps to control nucleation islands sizes and achieve homogeneous distribution of the nanorods diameters. In addition 850 nm-thick crack-free GaN layer was formed on Si(111) substrate with preformed por-Si layer.

  13. Stress management on underlying GaN-based epitaxial films: A new vision for achieving high-performance LEDs on Si substrates

    NASA Astrophysics Data System (ADS)

    Lin, Zhiting; Wang, Haiyan; Lin, Yunhao; Wang, Wenliang; Li, Guoqiang

    2017-11-01

    High-performance blue GaN-based light-emitting diodes (LEDs) on Si substrates have been achieved by applying a suitable tensile stress in the underlying n-GaN. It is demonstrated by simulation that tensile stress in the underlying n-GaN alleviates the negative effect from polarization electric fields on multiple quantum wells but an excessively large tensile stress severely bends the band profile of the electron blocking layer, resulting in carrier loss and large electric resistance. A medium level of tensile stress, which ranges from 4 to 5 GPa, can maximally improve the luminous intensity and decrease forward voltage of LEDs on Si substrates. The LED with the optimal tensile stress shows the largest simulated luminous intensity and the smallest simulated voltage at 35 A/cm2. Compared to the LEDs with a compressive stress of -3 GPa and a large tensile stress of 8 GPa, the improvement of luminous intensity can reach 102% and 28.34%, respectively. Subsequent experimental results provide evidence of the superiority of applying tensile stress in n-GaN. The experimental light output power of the LEDs with a tensile stress of 1.03 GPa is 528 mW, achieving a significant improvement of 19.4% at 35 A/cm2 in comparison to the reference LED with a compressive stress of -0.63 GPa. The forward voltage of this LED is 3.08 V, which is smaller than 3.11 V for the reference LED. This methodology of stress management on underlying GaN-based epitaxial films shows a bright feature for achieving high-performance LED devices on Si substrates.

  14. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    NASA Astrophysics Data System (ADS)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  15. Growth and Characterization of 3C-SiC and 2H-AIN/GaN Films and Devices Produced on Step-Free 4H-SiC Mesa Substrates

    NASA Technical Reports Server (NTRS)

    Neudeck, P. G.; Du, H.; Skowronski, M.; Spry, D. J.; Trunek, A. J.

    2007-01-01

    While previously published experimental results have shown that the step-free (0 0 0 1) 4H-SiC mesa growth surface uniquely enables radical improvement of 3C-SiC and 2H-AlN/GaN heteroepitaxial film quality (greater than 100-fold reduction in extended defect densities), important aspects of the step-free mesa heterofilm growth processes and resulting electronic device benefits remain to be more fully elucidated. This paper reviews and updates recent ongoing studies of 3C-SiC and 2H-AlN/GaN heteroepilayers grown on top of 4H-SiC mesas. For both 3C-SiC and AlN/GaN films nucleated on 4H-SiC mesas rendered completely free of atomic-scale surface steps, TEM studies reveal that relaxation of heterofilm strain arising from in-plane film/substrate lattice constant mismatch occurs in a remarkably benign manner that avoids formation of threading dislocations in the heteroepilayer. In particular, relaxation appears to occur via nucleation and inward lateral glide of near-interfacial dislocation half-loops from the mesa sidewalls. Preliminary studies of homojunction diodes implemented in 3C-SiC and AlN/GaN heterolayers demonstrate improved electrical performance compared with much more defective heterofilms grown on neighbouring stepped 4H-SiC mesas. Recombination-enhanced dislocation motion known to degrade forward-biased 4H-SiC bipolar diodes has been completely absent from our initial studies of 3C-SiC diodes, including diodes implemented on defective 3C-SiC heterolayers grown on stepped 4H-SiC mesas.

  16. p-BaSi2/n-Si heterojunction solar cells on Si(001) with conversion efficiency approaching 10%: comparison with Si(111)

    NASA Astrophysics Data System (ADS)

    Deng, Tianguo; Sato, Takuma; Xu, Zhihao; Takabe, Ryota; Yachi, Suguru; Yamashita, Yudai; Toko, Kaoru; Suemasu, Takashi

    2018-06-01

    B-doped p-BaSi2 epitaxial layers with a hole concentration of 1.1 × 1018 cm‑3 were grown on n-Si(001) using molecular beam epitaxy to fabricate p-BaSi2/n-Si solar cells. The thickness (d) of the p-BaSi2 layer was varied from 20 to 60 nm to investigate its effect on the solar cell performance. The conversion efficiency under an AM1.5 illumination increased with d reaching a maximum of 9.8% at d = 40 nm, which is nearly equal to the highest efficiency (9.9%) for p-BaSi2/n-Si solar cells on Si(111). This study indicated that Si(001) substrates are promising for use in BaSi2 solar cells.

  17. Fowler-Nordheim analysis of oxides on 4H-SiC substrates using noncontact metrology

    NASA Astrophysics Data System (ADS)

    Oborina, Elena I.; Benjamin, Helen N.; Hoff, Andrew M.

    2009-10-01

    A noncontact corona-Kelvin metrology technique was applied to investigate stress-induced leakage current (SILC) on thermal and afterglow thermal oxides grown on n-type 4H-SiC substrates. The equivalent oxide thickness was extracted from noncontact C-V measurements and used to obtain the experimental Fowler-Nordheim (F-N) plots. Differences between characteristics calculated from theory and experimental plots were found. Modification of the theoretical F-N characteristics with respect to trapped charge phenomena effectively eliminated the offset between theoretically predicted and experimental curves for thermal oxides grown at atmosphere but was unable to achieve such agreement in the case of afterglow oxides. Only variations in the effective barrier and trapped charge combined provided overlay between calculated and experimental F-N plots for afterglow oxides. In addition, the SILC property VSASS, or self-adjusting steady state voltage, is suggested as a useful monitor characteristic for oxides on SiC. This parameter was larger for afterglow oxides compared to thermal oxides of similar thickness. The SASS voltage also showed that the afterglow oxide interface was stable to substrate injected stress fluence in accumulation compared to thermal oxide of comparable thickness.

  18. Diodes of nanocrystalline SiC on n-/n+-type epitaxial crystalline 6H-SiC

    NASA Astrophysics Data System (ADS)

    Zheng, Junding; Wei, Wensheng; Zhang, Chunxi; He, Mingchang; Li, Chang

    2018-03-01

    The diodes of nanocrystalline SiC on epitaxial crystalline (n-/n+)6H-SiC wafers were investigated, where the (n+)6H-SiC layer was treated as cathode. For the first unit, a heavily boron doped SiC film as anode was directly deposited by plasma enhanced chemical vapor deposition method on the wafer. As to the second one, an intrinsic SiC film was fabricated to insert between the wafer and the SiC anode. The third one included the SiC anode, an intrinsic SiC layer and a lightly phosphorus doped SiC film besides the wafer. Nanocrystallization in the yielded films was illustrated by means of X-ray diffraction, transmission electronic microscope and Raman spectrum respectively. Current vs. voltage traces of the obtained devices were checked to show as rectifying behaviors of semiconductor diodes, the conduction mechanisms were studied. Reverse recovery current waveforms were detected to analyze the recovery performance. The nanocrystalline SiC films in base region of the fabricated diodes are demonstrated as local regions for lifetime control of minority carriers to improve the reverse recovery properties.

  19. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  20. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimatani, Masaaki; Ogawa, Shinpei, E-mail: Ogawa.Shimpei@eb.MitsubishiElectric.co.jp; Fujisawa, Daisuke

    2016-03-15

    Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO{sub 2} layer, and metal electrode comprise a metal-oxide-semiconductor (MOS) capacitor due tomore » the presence of defects at the interface between the Si substrate and SiO{sub 2} layer. The difference in the diffusion time of the intrinsic major carriers (electrons) and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.« less

  1. SiN sub x passivation of silicon surfaces

    NASA Technical Reports Server (NTRS)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  2. Low cost, p-ZnO/n-Si, rectifying, nano heterojunction diode: Fabrication and electrical characterization.

    PubMed

    Kabra, Vinay; Aamir, Lubna; Malik, M M

    2014-01-01

    A low cost, highly rectifying, nano heterojunction (p-ZnO/n-Si) diode was fabricated using solution-processed, p-type, ZnO nanoparticles and an n-type Si substrate. p-type ZnO nanoparticles were synthesized using a chemical synthesis route and characterized by XRD and a Hall effect measurement system. The device was fabricated by forming thin film of synthesized p-ZnO nanoparticles on an n-Si substrate using a dip coating technique. The device was then characterized by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The effect of UV illumination on the I-V characteristics was also explored and indicated the formation of a highly rectifying, nano heterojunction with a rectification ratio of 101 at 3 V, which increased nearly 2.5 times (232 at 3 V) under UV illumination. However, the cut-in voltage decreases from 1.5 V to 0.9 V under UV illumination. The fabricated device could be used in switches, rectifiers, clipper and clamper circuits, BJTs, MOSFETs and other electronic circuitry.

  3. Superconducting FeSe0.1Te0.9 thin films integrated on Si-based substrates

    NASA Astrophysics Data System (ADS)

    Huang, Jijie; Chen, Li; Li, Leigang; Qi, Zhimin; Sun, Xing; Zhang, Xinghang; Wang, Haiyan

    2018-05-01

    With the goal of integrating superconducting iron chalcogenides with Si-based electronics, superconducting FeSe0.1Te0.9 thin films were directly deposited on Si and SiOx/Si substrates without any buffer layer by a pulsed laser deposition (PLD) method. Microstructural characterization showed excellent film quality with mostly c-axis growth on both types of substrates. Superconducting properties (such as superconducting transition temperature T c and upper critical field H c2) were measured to be comparable to that of the films on single crystal oxide substrates. The work demonstrates the feasibility of integrating superconducting iron chalcogenide (FeSe0.1Te0.9) thin films with Si-based microelectronics.

  4. 229 nm UV LEDs on aluminum nitride single crystal substrates using p-type silicon for increased hole injection

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Cho, Sang June; Park, Jeongpil; Seo, Jung-Hun; Dalmau, Rafael; Zhao, Deyin; Kim, Kwangeun; Gong, Jiarui; Kim, Munho; Lee, In-Kyu; Albrecht, John D.; Zhou, Weidong; Moody, Baxter; Ma, Zhenqiang

    2018-02-01

    AlGaN based 229 nm light emitting diodes (LEDs), employing p-type Si to significantly increase hole injection, were fabricated on single crystal bulk aluminum nitride (AlN) substrates. Nitride heterostructures were epitaxially deposited by organometallic vapor phase epitaxy and inherit the low dislocation density of the native substrate. Following epitaxy, a p-Si layer is bonded to the heterostructure. LEDs were characterized both electrically and optically. Owing to the low defect density films, large concentration of holes from p-Si, and efficient hole injection, no efficiency droop was observed up to a current density of 76 A/cm2 under continuous wave operation and without external thermal management. An optical output power of 160 μW was obtained with the corresponding external quantum efficiency of 0.03%. This study demonstrates that by adopting p-type Si nanomembrane contacts as a hole injector, practical levels of hole injection can be realized in UV light-emitting diodes with very high Al composition AlGaN quantum wells, enabling emission wavelengths and power levels that were previously inaccessible using traditional p-i-n structures with poor hole injection efficiency.

  5. Influence of the AlN nucleation layer on the properties of AlGaN/GaN heterostructure on Si (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu

    2018-07-01

    AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.

  6. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  7. Micro-Raman investigations of InN-GaN core-shell nanowires on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Sangeetha, P.; Jeganathan, K.; Ramakrishnan, V.

    2013-06-01

    The electron-phonon interactions in InN-GaN core-shell nanowires grown by plasma assisted- molecular beam epitaxy (MBE) on Si (111) substrate have been analysed using micro-Raman spectroscopic technique with the excitation wavelength of 633, 488 and 325 nm. The Raman scattering at 633 nm reveals the characteristic E2 (high) and A1 (LO) phonon mode of InN core at 490 and 590 cm-1 respectively and E2 (high) phonon mode of GaN shell at 573 cm-1. The free carrier concentration of InN core is found to be low in the order ˜ 1016 cm-3 due to the screening of charge carriers by thin GaN shell. Diameter of InN core evaluated using the spatial correlation model is consistent with the transmission electron microscopic measurement of ˜15 nm. The phonon-life time of core-shell nanowire structure is estimated to be ˜0.4 ps. The micro-Raman mapping and its corresponding localised spectra for 325 nm excitation exhibit intense E2 (high) phonon mode of GaN shell at 573 cm-1 as the decrease of laser interaction length and the signal intensity is quenched at the voids due to high spacing of NWs.

  8. In-situ NC-AFM measurements of high quality AlN(0001) layers grown at low growth rate on 4H-SiC(0001) and Si(111) substrates using ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaumeton, Florian, E-mail: florian.chaumeton@cemes.fr; Gauthier, Sébastien, E-mail: gauthier@cemes.fr; Martrou, David, E-mail: david.martrou@cemes.fr

    Nitride wide-band-gap semiconductors are used to make high power electronic devices or efficient light sources. The performance of GaN-based devices is directly linked to the initial AlN buffer layer. During the last twenty years of research on nitride growth, only few information on the AlN surface quality have been obtained, mainly by ex-situ characterization techniques. Thanks to a Non Contact Atomic Force Microscope (NC-AFM) connected under ultra high vacuum (UHV) to a dedicated molecular beam epitaxy (MBE) chamber, the surface of AlN(0001) thin films grown on Si(111) and 4H-SiC(0001) substrates has been characterized. These experiments give access to a quantitativemore » determination of the density of screw and edge dislocations at the surface. The layers were also characterized by ex-situ SEM to observe the largest defects such as relaxation dislocations and hillocks. The influence of the growth parameters (substrate temperature, growth speed, III/V ratio) and of the initial substrate preparation on the dislocation density was also investigated. On Si(111), the large in-plane lattice mismatch with AlN(0001) (19%) induces a high dislocation density ranging from 6 to 12×10{sup 10}/cm{sup 2} depending on the growth conditions. On 4H-SiC(0001) (1% mismatch with AlN(0001)), the dislocation density decreases to less than 10{sup 10}/cm{sup 2}, but hillocks appear, depending on the initial SiC(0001) reconstruction. The use of a very low growth rate of 10 nm/h at the beginning of the growth process allows to decrease the dislocation density below 2 × 10{sup 9}/cm{sup 2}.« less

  9. Controlled formation of GeSi nanostructures on pillar-patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Zeng, Ceng; Fan, Yongliang; Jiang, Zuimin; Xia, Jinsong; Zhong, Zhenyang; Fudan University Team; Huazhong University of Science; Technology Collaboration

    2015-03-01

    GeSi quantum nanostructures (QNs) have potential applications in optoelectronic devices due to their unique properties and compatibility with the sophisticated Si technology. However, the disadvantages of poor quantum efficiency of the GeSi QNs on flat Si (001) substrates hinder their optoelectronic applications. Today, numerous growth strategies have been proposed to control the formation of GeSi QNs in hope of improving the optoelectronic performances. One of the ways is to fabricate GeSi QNs on patterned substrates, where the GeSi QNs can be greatly manipulated in aspects of size, shape, composition, orientation and arrangement. Here, self-assembled GeSi QNs on periodic Si (001) sub-micro pillars (SPMs) are systematically studied. By controlling the growth conditions and the diameters of the SPMs, different GeSi QNs, including circularly arranged quantum dots (QDs), quantum rings (QRs), and quantum dot molecules (QDMs), are realized at the top edge of SMPs. Meanwhile, fourfold symmetric GeSi QDMs can be also obtained at the base edges of the SPMs. The promising features of self-assembled GeSi QNs are explained in terms of the surface chemical potential, which disclose the critical effect of surface morphology on the diffusion and the aggregation of Ge adatoms.

  10. A spot laser modulated resistance switching effect observed on n-type Mn-doped ZnO/SiO2/Si structure.

    PubMed

    Lu, Jing; Tu, Xinglong; Yin, Guilin; Wang, Hui; He, Dannong

    2017-11-09

    In this work, a spot laser modulated resistance switching (RS) effect is firstly observed on n-type Mn-doped ZnO/SiO 2 /Si structure by growing n-type Mn-doped ZnO film on Si wafer covered with a 1.2 nm native SiO 2 , which has a resistivity in the range of 50-80 Ω∙cm. The I-V curve obtained in dark condition evidences the structure a rectifying junction, which is further confirmed by placing external bias. Compared to the resistance state modulated by electric field only in dark (without illumination), the switching voltage driving the resistance state of the structure from one state to the other, shows clear shift under a spot laser illumination. Remarkably, the switching voltage shift shows a dual dependence on the illumination position and power of the spot laser. We ascribe this dual dependence to the electric filed produced by the redistribution of photo-generated carriers, which enhance the internal barrier of the hetero-junction. A complete theoretical analysis based on junction current and diffusion equation is presented. The dependence of the switching voltage on spot laser illumination makes the n-type Mn-doped ZnO/SiO 2 /Si structure sensitive to light, which thus allows for the integration of an extra functionality in the ZnO-based photoelectric device.

  11. Optical and electrical properties of GaN-based light emitting diodes grown on micro- and nano-scale patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Chiu, Ching-Hsueh; Lin, Chien-Chung; Deng, Dongmei; Kuo, Hao-Chung; Lau, Kei-May

    2011-10-01

    We investigate the optical and electrical characteristics of the GaN-based light emitting diodes (LEDs) grown on Micro and Nano-scale Patterned silicon substrate (MPLEDs and NPLEDs). The transmission electron microscopy (TEM) images reveal the suppression of threading dislocation density in InGaN/GaN structure on nano-pattern substrate due to nanoscale epitaxial lateral overgrowth (NELOG). The plan-view and cross-section cathodoluminescence (CL) mappings show less defective and more homogeneous active quantum well region growth on nano-porous substrates. From temperature dependent photoluminescence (PL) and low temperature time-resolved photoluminescence (TRPL) measurement, NPLEDs has better carrier confinement and higher radiative recombination rate than MPLEDs. In terms of device performance, NPLEDs exhibits smaller electroluminescence (EL) peak wavelength blue shift, lower reverse leakage current and decreases efficiency droop compared with the MPLEDs. These results suggest the feasibility of using NPSi for the growth of high quality and power LEDs on Si substrates.

  12. (abstract) Transmission Electron Microscopy of Al(sub x)Ga(sub 1-x)N/SiC Multilayer Structures Grown on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Pike, W. T.; George, T.; Khan, M. A.; Kuznia, J. N.

    1994-01-01

    The potential of wide-band-gap III-V nitrides as ultraviolet sensors and light emitters has prompted an increasing amount of work recently, including the fabrication of the first UV sensors from as-deposited single crystal GaN. We have used high resolution transmission electron microscopy (TEM) to study the microstructure of two novel developments of wide-band-gap III-V nitrides: the growth of ultra-short period GaN/AlN superlattices; and the incorporation of SiC layers into Al(sub x)Ga(sub 1-x)N structures. By varying the relative periods in a GaN/AlN superlattice, the band gap of the composite can be tailored to lie between the elemental values of 365 nm for GaN and 200 nm for AlN. The group IV semiconductor, SiC, has a wide band-gap and has a close lattice match (less than 3 %) to Al(sub x)Ga(sub 1-x)N for growth on the basal plane. Demonstration of epitaxial growth for Al(sub x)Ga(sub 1-x)N/SiC multilayers would introduce a wide band-gap analog to the already existing family of III-V and Si(sub 1-x)Ge(sub x) heteroepitaxial growth systems. Although good quality growth of GaN on SiC substrates has been demonstrated, Al(sub x)Ga(sub 1-x)N/SiC multilayer structures have never been grown and the interfacial structure is unknown.

  13. High extraction efficiency GaN-based light-emitting diodes on embedded SiO2 nanorod array and nanoscale patterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Huang, Hung-Wen; Huang, Jhi-Kai; Kuo, Shou-Yi; Lee, Kang-Yuan; Kuo, Hao-Chung

    2010-06-01

    In this paper, GaN-based LEDs with a nanoscale patterned sapphire substrate (NPSS) and a SiO2 photonic quasicrystal (PQC) structure on an n-GaN layer using nanoimprint lithography are fabricated and investigated. The light output power of LED with a NPSS and a SiO2 PQC structure on an n-GaN layer was 48% greater than that of conventional LED. Strong enhancement in output power is attributed to better epitaxial quality and higher reflectance resulted from NPSS and PQC structures. Transmission electron microscopy images reveal that threading dislocations are blocked or bended in the vicinities of NPSS layer. These results provide promising potential to increase output power for commercial light emitting devices.

  14. Efficient broad color luminescence from InGaN/GaN single quantum-well nanocolumn crystals on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Zhang, Xuehua; Wang, Yongjin; Hu, Fangren

    2017-10-01

    Nanocolumn InGaN/GaN single quantum well crystals were deposited on Si (111) substrate with nitrified Ga dots as buffer layer. Transmission electron microscopy image shows the crystals' diameter of 100-130 nm and length of about 900 nm. Nanoscale spatial phase separation of cubic and hexagonal GaN was observed by selective area electron diffraction on the quantum well layer. Raman spectrum of the quantum well crystals proved that the crystals were fully relaxed. Room temperature photoluminescence from 450 to 750 nm and full width at half maximum of about 420 meV indicate broad color luminescence covering blue, green, yellow and red emission, which is helpful for the fabrication of tunable optoelectronic devices and colorful light emitting diodes.

  15. Improved electrical properties of n-type SiGe alloys

    NASA Technical Reports Server (NTRS)

    Scoville, A. N.; Bajgar, Clara; Vandersande, Jan; Fleurial, Jean-Pierre

    1992-01-01

    The effect of changes in the carrier concentration and mobility for heavily doped n-type SiGe on the electrical power factor has been investigated. It has been shown that power factors of 37-40 microV/cm-K-squared can be achieved with carrier concentrations of 2.0 - 2.5 x 10 exp 20/cu cm and mobilities of 38-40 sq cm/V-sec. Many samples with suitable carrier concentration do not have high mobilities and some rationale for this behavior is presented. Initial results are presented on fabrication of n-type samples from ultrafine powders. The emphasis in this work is to achieve thermal conductivity reductions by adding inert particles to scatter midfrequency phonons.

  16. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  17. Magneto-transport phenomena in metal/SiO2/n(p)-Si hybrid structures

    NASA Astrophysics Data System (ADS)

    Volkov, N. V.; Tarasov, A. S.; Rautskii, M. V.; Lukyanenko, A. V.; Bondarev, I. A.; Varnakov, S. N.; Ovchinnikov, S. G.

    2018-04-01

    Present review touches upon a subject of magnetotransport phenomena in hybrid structures which consist of ferromagnetic or nonmagnetic metal layer, layer of silicon oxide and silicon substrate with n- or p-type conductivity. Main attention will be paid to a number gigantic magnetotransport effects discovered in the devices fabricated on the base of the M/SiO2/n(p)-Si (M is ferromagnetic or paramagnetic metal) hybrid structures. These effects include bias induced dc magnetoresistance, gigantic magnetoimpedance, dc magnetoresistance induced by an optical irradiation and lateral magneto-photo-voltaic effect. The magnetoresistance ratio in ac and dc modes for some of our devices can exceed 106% in a magnetic field below 1 T. For lateral magneto-photo-voltaic effect, the relative change of photo-voltage in magnetic field can reach 103% at low temperature. Two types of mechanisms are responsible for sensitivity of the transport properties of the silicon based hybrid structures to magnetic field. One is related to transformation of the energy structure of the (donor) acceptor states including states near SiO2/n(p)-Si interface in magnetic field. Other mechanism is caused by the Lorentz force action. The features in behaviour of magnetotransport effects in concrete device depend on composition of the used structure, device topology and experimental conditions (bias voltage, optical radiation and others). Obtained results can be base for design of some electronic devices driven by a magnetic field. They can also provide an enhancement of the functionality for existing sensors.

  18. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/V<1). The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1) and metal rich growth regime (III/V≥1), respectively. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  19. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  20. The management of stress in MOCVD-grown InGaN/GaN LED multilayer structures on Si(1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Jiang, Quanzhong; Allsopp, Duncan W. E.; Bowen, Chris R.; Wang, Wang N.

    2013-09-01

    The tensile stress in light-emitting diode (LED)-on-Si(1 1 1) multilayer structures must be reduced so that it does not compromise the multiple quantum well emission wavelength uniformity and structural stability. In this paper it is shown for non-optimized LED structures grown on Si(1 1 1) substrates that both emission wavelength uniformity and structural stability can be achieved within the same growth process. In order to gain a deeper understanding of the stress distribution within such a structure, cross-sectional Raman and photo-luminescence spectroscopy techniques were developed. It is observed that for a Si:GaN layer grown on a low-temperature (LT) AlN intermediate layer there is a decrease in compressive stress with increasing Si:GaN layer thickness during MOCVD growth which leads to a high level of tensile stress in the upper part of the layer. This may lead to the development of cracks during cooling to room temperature. Such a phenomenon may be associated with annihilation of defects such as dislocations. Therefore, a reduction of dislocation intensity should take place at the early stage of GaN growth on an AlN or AlGaN layer in order to reduce a build up of tensile stress with thickness. Furthermore, it is also shown that a prolonged three dimensional GaN island growth on a LT AlN interlayer for the reduction of dislocations may result in a reduction in the compressive stress in the resulting GaN layer.

  1. Heterojunction photodiode on cleaved SiC

    NASA Astrophysics Data System (ADS)

    Solovan, Mykhailo M.; Farah, John; Kovaliuk, Taras T.; Brus, Viktor V.; Mostovyi, Andrii I.; Maistruk, Eduard V.; Maryanchuk, Pavlo D.

    2018-01-01

    Graphite/n-SiC Shottky diodes were prepared by means of the recently proposed technique based on the transferring of drawn graphite films onto the n-SiC single crystal substrate. Current-voltage characteristics were measured and analyzed. High quality ohmic contancts were prepared by the DC magnetron sputtering of Ni thin films onto cleaved n-type SiC single crystal substrates. The height of the potential barrier and the series resistance of the graphite/n-SiC junctions were measured and analysed. The dominant current transport mechanisms through the diodes were determined. There was shown that the dominant current transport mechanisms through the graphite/n-SiC Shottky diodes were the multi-step tunnel-recombination at forward bias and the tunnelling mechanisms at reverse bias.

  2. Recovery of Mo/Si multilayer coated optical substrates

    DOEpatents

    Baker, Sherry L.; Vernon, Stephen P.; Stearns, Daniel G.

    1997-12-16

    Mo/Si multilayers are removed from superpolished ZERODUR and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. The two step dry etching process removes SiO.sub.2 overlayer with a fluroine-containing gas and then moves molybdenum and silicon multilayers with a chlorine-containing gas. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates.

  3. Investigations of 3C-SiC inclusions in 4H-SiC epilayers on 4H-SiC single crystal substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Si, W.; Dudley, M.; Kong, H.S.

    1997-03-01

    Synchrotron white beam x-ray topography (SWBXT) and Nomarski optical microscopy (NOM) have been used to characterize 4H-SiC epilayers and to study the character of triangular inclusions therein. 4H-SiC substrates misoriented by a range of angles from (0001), as well as (1 1{bar 0}0) and (11 2{bar 0}) oriented substrates were used. No evidence was found for the nucleation of 3C-SiC inclusions at superscrew dislocations (along the [0001] axis) in the 4H-SiC substrates. Increasing the off-axis angle of the substrates from 3.5 to 6.5{degree} was found to greatly suppress the formation of the triangular inclusions. In the case of substrates misorientedmore » by 8.0{degree} from (0001) toward [112{bar 0}], the triangular inclusions were virtually eliminated. The crystalline quality of 4H-SiC epilayers grown on the substrates misoriented by 8.0{degree} from (0001) was very good. For the (11{bar 0}0) and (112{bar 0}) samples, there is no indication of 3C-SiC inclusions in the epilayers. Possible formation mechanisms and the morphology of 3C-SiC inclusions are discussed. 17 refs., 13 figs.« less

  4. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  5. Al2O3/SiON stack layers for effective surface passivation and anti-reflection of high efficiency n-type c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Thi Thanh Nguyen, Huong; Balaji, Nagarajan; Park, Cheolmin; Triet, Nguyen Minh; Le, Anh Huy Tuan; Lee, Seunghwan; Jeon, Minhan; Oh, Donhyun; Dao, Vinh Ai; Yi, Junsin

    2017-02-01

    Excellent surface passivation and anti-reflection properties of double-stack layers is a prerequisite for high efficiency of n-type c-Si solar cells. The high positive fixed charge (Q f) density of N-rich hydrogenated amorphous silicon nitride (a-SiNx:H) films plays a poor role in boron emitter passivation. The more the refractive index ( n ) of a-SiNx:H is decreased, the more the positive Q f of a-SiNx:H is increased. Hydrogenated amorphous silicon oxynitride (SiON) films possess the properties of amorphous silicon oxide (a-SiOx) and a-SiNx:H with variable n and less positive Q f compared with a-SiNx:H. In this study, we investigated the passivation and anti-reflection properties of Al2O3/SiON stacks. Initially, a SiON layer was deposited by plasma enhanced chemical vapor deposition with variable n and its chemical composition was analyzed by Fourier transform infrared spectroscopy. Then, the SiON layer was deposited as a capping layer on a 10 nm thick Al2O3 layer, and the electrical and optical properties were analyzed. The SiON capping layer with n = 1.47 and a thickness of 70 nm resulted in an interface trap density of 4.74 = 1010 cm-2 eV-1 and Q f of -2.59 = 1012 cm-2 with a substantial improvement in lifetime of 1.52 ms after industrial firing. The incorporation of an Al2O3/SiON stack on the front side of the n-type solar cells results in an energy conversion efficiency of 18.34% compared to the one with Al2O3/a-SiNx:H showing 17.55% efficiency. The short circuit current density and open circuit voltage increase by up to 0.83 mA cm-2 and 12 mV, respectively, compared to the Al2O3/a-SiNx:H stack on the front side of the n-type solar cells due to the good anti-reflection and front side surface passivation.

  6. Recovery of Mo/Si multilayer coated optical substrates

    DOEpatents

    Baker, S.L.; Vernon, S.P.; Stearns, D.G.

    1997-12-16

    Mo/Si multilayers are removed from superpolished ZERODUR and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. The two step dry etching process removes SiO{sub 2} overlayer with a fluroine-containing gas and then moves molybdenum and silicon multilayers with a chlorine-containing gas. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates. 5 figs.

  7. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  8. Method of forming thermally stable high-resistivity regions in n-type indium phosphide by oxygen implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thompson, P.E.; Dietrich, H.B.

    1985-12-12

    Objects of this invention are: to form high-temperature stable isolation regions in InP; to provide InP wafers that allow greater flexibility in the design and fabrication of discrete devices; to provide new and improved InP semiconductor devices in n-type InP; to provide high-resisitivity isolation regions in InP; to extend the usefulness of damage-induced isolation in n-type InP by making possible processes in which the isolation implantation precedes the alloying of ohmic contacts; and to provide n-type InP substrates without unwanted conductive layers. The above and other object are realized by an InP wafer comprising a S.I. InP substrate; a n-typemore » InP active layer disposed on the substrate; and oxygen ion implanted isolation regions disposed in the active layer. The S.I. InP dopant may comprise either Fe or Cr.« less

  9. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Young Mi; Jung, Min-Sang; Choi, Duck-Kyun, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp

    2015-08-15

    Using scanning electron microscopy (SEM) and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiO{sub x}-capped Si, and SiO{sub 2}-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  10. Large area tunnel oxide passivated rear contact n -type Si solar cells with 21.2% efficiency: Large area tunnel oxide passivated rear contact n -type Si solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tao, Yuguo; Upadhyaya, Vijaykumar; Chen, Chia-Wei

    This paper reports on the implementation of carrier-selective tunnel oxide passivated rear contact for high-efficiency screen-printed large area n-type front junction crystalline Si solar cells. It is shown that the tunnel oxide grown in nitric acid at room temperature (25°C) and capped with n+ polysilicon layer provides excellent rear contact passivation with implied open-circuit voltage iVoc of 714mV and saturation current density J0b of 10.3 fA/cm2 for the back surface field region. The durability of this passivation scheme is also investigated for a back-end high temperature process. In combination with an ion-implanted Al2O3-passivated boron emitter and screen-printed front metal grids,more » this passivated rear contact enabled 21.2% efficient front junction Si solar cells on 239 cm2 commercial grade n-type Czochralski wafers.« less

  11. Si and Mg pair-doped interlayers for improving performance of AlGaN/GaN heterostructure field effect transistors grown on Si substrate

    NASA Astrophysics Data System (ADS)

    Ni, Yi-Qiang; He, Zhi-Yuan; Yao, Yao; Yang, Fan; Zhou, De-Qiu; Zhou, Gui-Lin; Shen, Zhen; Zhong, Jian; Zheng, Yue; Zhang, Bai-Jun; Liu, Yang

    2015-05-01

    We report a novel structure of AlGaN/GaN heterostructure field effect transistors (HFETs) with a Si and Mg pair-doped interlayer grown on Si substrate. By optimizing the doping concentrations of the pair-doped interlayers, the mobility of 2DEG increases by twice for the conventional structure under 5 K due to the improved crystalline quality of the conduction channel. The proposed HFET shows a four orders lower off-state leakage current, resulting in a much higher on/off ratio (˜ 109). Further temperature-dependent performance of Schottky diodes revealed that the inhibition of shallow surface traps in proposed HFETs should be the main reason for the suppression of leakage current. Project supported by the National Natural Science Foundation of China (Grant Nos. 51177175 and 61274039), the National Basic Research Project of China (Grant Nos. 2010CB923200 and 2011CB301903), the Ph.D. Program Foundation of Ministry of Education of China (Grant No. 20110171110021), the International Sci. & Tech. Collaboration Program of China (Grant No. 2012DFG52260), the National High-tech R&D Program of China (Grant No. 2014AA032606), the Science and Technology Plan of Guangdong Province, China (Grant No. 2013B010401013), and the Opened Fund of the State Key Laboratory on Integrated Optoelectronics (Grant No. IOSKL2014KF17).

  12. Growth evolution of AlN films on silicon (111) substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Haiyan; Wang, Wenliang; Yang, Weijia

    2015-05-14

    AlN films with various thicknesses have been grown on Si(111) substrates by pulsed laser deposition (PLD). The surface morphology and structural property of the as-grown AlN films have been investigated carefully to comprehensively explore the epitaxial behavior. The ∼2 nm-thick AlN film initially grown on Si substrate exhibits an atomically flat surface with a root-mean-square surface roughness of 0.23 nm. As the thickness increases, AlN grains gradually grow larger, causing a relatively rough surface. The surface morphology of ∼120 nm-thick AlN film indicates that AlN islands coalesce together and eventually form AlN layers. The decreasing growth rate from 240 to 180 nm/h is amore » direct evidence that the growth mode of AlN films grown on Si substrates by PLD changes from the islands growth to the layer growth. The evolution of AlN films throughout the growth is studied deeply, and its corresponding growth mechanism is hence proposed. These results are instructional for the growth of high-quality nitride films on Si substrates by PLD, and of great interest for the fabrication of AlN-based devices.« less

  13. Self-organization during growth of ZrN/SiN{sub x} multilayers by epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fallqvist, A.; Fager, H.; Hultman, L.

    ZrN/SiN{sub x} nanoscale multilayers were deposited on ZrN seed layers grown on top of MgO(001) substrates by dc magnetron sputtering with a constant ZrN thickness of 40 Å and with an intended SiN{sub x} thickness of 2, 4, 6, 8, and 15 Å at a substrate temperature of 800 °C and 6 Å at 500 °C. The films were investigated by X-ray diffraction, high-resolution scanning transmission electron microscopy, and energy dispersive X-ray spectroscopy. The investigations show that the SiN{sub x} is amorphous and that the ZrN layers are crystalline. Growth of epitaxial cubic SiN{sub x}—known to take place on TiN(001)—onmore » ZrN(001) is excluded to the monolayer resolution of this study. During the course of SiN{sub x} deposition, the material segregates to form surface precipitates in discontinuous layers for SiN{sub x} thicknesses ≤6 Å that coalesce into continuous layers for 8 and 15 Å thickness at 800 °C, and for 6 Å at 500 °C. The SiN{sub x} precipitates are aligned vertically. The ZrN layers in turn grow by epitaxial lateral overgrowth on the discontinuous SiN{sub x} in samples deposited at 800 °C with up to 6 Å thick SiN{sub x} layers. Effectively a self-organized nanostructure can be grown consisting of strings of 1–3 nm large SiN{sub x} precipitates along apparent column boundaries in the epitaxial ZrN.« less

  14. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  15. The Development of Ultraviolet Light Emitting Diodes on p-SiC Substrates

    NASA Astrophysics Data System (ADS)

    Brummer, Gordon

    Ultraviolet (UV) light emitting diodes (LEDs) are promising light sources for purification, phototherapy, and resin curing applications. Currently, commercial UV LEDs are composed of AlGaN-based n-i-p junctions grown on sapphire substrates. These devices suffer from defects in the active region, inefficient p-type doping, and poor light extraction efficiency. This dissertation addresses the development of a novel UV LED device structure, grown on p-SiC substrates. In this device structure, the AlGaN-based intrinsic (i) and n-layers are grown directly on the p-type substrate, forming a p-i-n junction. The intrinsic layer (active region) is composed of an AlN buffer layer followed by three AlN/Al0.30Ga0.70N quantum wells. After the intrinsic layer, the n-layer is formed from n-type AlGaN. This device architecture addresses the deficiencies of UV LEDs on sapphire substrates while providing a vertical device geometry, reduced fabrication complexity, and improved thermal management. The device layers were grown by molecular beam epitaxy (MBE). The material properties were optimized by considering varying growth conditions and by considering the role of the layer within the device. AlN grown at 825 C and with a Ga surfactant yielded material with screw dislocation density of 1x10 7 cm-2 based on X-ray diffraction (XRD) analysis. AlGaN alloys grown in this work contained compositional inhomogeneity, as verified by high-resolution XRD, photoluminescence, and absorption measurements. Based on Stokes shift measurements, the degree of compositional inhomogeneity was correlated with the amount of excess Ga employed during growth. Compositional inhomogeneity yields carrier localizing potential fluctuations, which are advantages in light emitting device layers. Therefore, excess Ga growth conditions were used to grow AlN/Al0.30Ga0.70N quantum wells (designed using a wurtzite k.p model) with 35% internal quantum efficiency. Potential fluctuations limit the mobility of carriers

  16. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  17. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  18. Si substrates texturing and vapor-solid-solid Si nanowhiskers growth using pure hydrogen as source gas

    NASA Astrophysics Data System (ADS)

    Nordmark, H.; Nagayoshi, H.; Matsumoto, N.; Nishimura, S.; Terashima, K.; Marioara, C. D.; Walmsley, J. C.; Holmestad, R.; Ulyashin, A.

    2009-02-01

    Scanning and transmission electron microscopies have been used to study silicon substrate texturing and whisker growth on Si substrates using pure hydrogen source gas in a tungsten hot filament reactor. Substrate texturing, in the nanometer to micrometer range of mono- and as-cut multicrystalline silicon, was observed after deposition of WSi2 particles that acted as a mask for subsequent hydrogen radical etching. Simultaneous Si whisker growth was observed for long residence time of the source gas and low H2 flow rate with high pressure. The whiskers formed via vapor-solid-solid growth, in which the deposited WSi2 particles acted as catalysts for a subsequent metal-induced layer exchange process well below the eutectic temperature. In this process, SiHx species, formed by substrate etching by the H radicals, diffuse through the metal particles. This leads to growth of crystalline Si whiskers via metal-induced solid-phase crystallization. Transmission electron microscopy, electron diffraction, and x-ray energy dispersive spectroscopy were used to study the WSi2 particles and the structure of the Si substrates in detail. It has been established that the whiskers are partly crystalline and partly amorphous, consisting of pure Si with WSi2 particles on their tips as well as sometimes being incorporated into their structure.

  19. Dependence of Morphology of SiOx Nanowires on the Supersaturation of Au-Si Alloy Liquid Droplets Formed on the Au-Coated Si Substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Han; Li, Ji-Xue; Jin, Ai-Zi; Zhang, Ze

    2001-11-01

    A thermodynamic theory about the dependence of morphology of SiOx nanowires on the super-saturation of alloy liquid droplets has been proposed on the basis of the vapour-liquid-solid growth mechanism and has been supported experimentally. By changing the Si concentration in the Au-Si liquid droplets formed on the Au-coated Si substrate, firework-, tulip- and bud-shaped SiOx nanowires were synthesized by a thermal evaporation method and distributed concentrically around some void defects in the Si substrate. Voids were formed underneath the surface of the Si substrate during the thermal evaporation at 850°C and resulted in the Si-concentration deficient thus different saturation of Au-Si droplets. Electron microscopy analysis showed that the nanowires had an amorphous structure and were terminated by Au-Si particles.

  20. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  1. Ag/SiO2 surface-enhanced Raman scattering substrate for plasticizer detection

    NASA Astrophysics Data System (ADS)

    Wu, Ming-Chung; Lin, Ming-Pin; Lin, Ting-Han; Su, Wei-Fang

    2018-04-01

    In this study, we demonstrated a simple method of fabricating a high-performance surface-enhanced Raman scattering (SERS) substrate. Monodispersive SiO2 colloidal spheres were self-assembled on a silicon wafer, and then a silver layer was coated on it to obtain a Ag/SiO2 SERS substrate. The Ag/SiO2 SERS substrates were used to detect three kinds of plasticizer with different concentrations, namely, including bis(2-ethylhexyl)phthalate (DEHP), benzyl butyl phthalate (BBP), and dibutyl phthalate (DBP). The enhancement of Raman scattering intensity caused by surface plasmon resonance can be observed using the Ag/SiO2 SERS substrates. The Ag/SiO2 SERS substrate with a 150-nm-thick silver layer can detect plasticizers, and it satisfies the detection limit of plasticizers at 100 ppm. The developed highly sensitive Ag/SiO2 SERS substrates show a potential for the design and fabrication of functional sensors to identify the harmful plasticizers that plastic products release in daily life.

  2. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  3. Band gap narrowing in n-type and p-type 3C-, 2H-, 4H-, 6H-SiC, and Si

    NASA Astrophysics Data System (ADS)

    Persson, C.; Lindefelt, U.; Sernelius, B. E.

    1999-10-01

    Doping-induced energy shifts of the conduction band minimum and the valence band maximum have been calculated for n-type and p-type 3C-, 2H-, 4H-, 6H-SiC, and Si. The narrowing of the fundamental band gap and of the optical band gap are presented as functions of ionized impurity concentration. The calculations go beyond the common parabolic treatments of the ground state energy dispersion by using energy dispersion and overlap integrals from band structure calculations. The nonparabolic valence band curvatures influence strongly the energy shifts especially in p-type materials. The utilized method is based on a zero-temperature Green's function formalism within the random phase approximation with local field correction according to Hubbard. We have parametrized the shifts of the conduction and the valence bands and made comparisons with recently published results from a semi-empirical model.

  4. Deep-level traps in lightly Si-doped n-GaN on free-standing m-oriented GaN substrates

    NASA Astrophysics Data System (ADS)

    Yamada, H.; Chonan, H.; Takahashi, T.; Yamada, T.; Shimizu, M.

    2018-04-01

    In this study, we investigated the deep-level traps in Si-doped GaN epitaxial layers by metal-organic chemical vapor deposition on c-oriented and m-oriented free-standing GaN substrates. The c-oriented and m-oriented epitaxial layers, grown at a temperature of 1000 °C and V/III ratio of 1000, contained carbon atomic concentrations of 1.7×1016 and 4.0×1015 cm-3, respectively. A hole trap was observed at about 0.89 eV above the valence band maximum by minority carrier transient spectroscopy. The trap concentrations in the c-oriented and m-oriented GaN epitaxial layers were consistent with the carbon atomic concentrations from secondary ion mass spectroscopy and the yellow luminescence intensity at 2.21 eV from photoluminescence. The trap concentrations in the m-oriented GaN epitaxial layers were lower than those in the c-oriented GaN. Two electron traps, 0.24 and 0.61 eV below the conduction band (EC) minimum, were observed in the c-oriented GaN epitaxial layer. In contrast, the m-oriented GaN epitaxial layer was free from the electron trap at EC - 0.24 eV, and the trap concentration at EC - 0.61 eV in the m-oriented GaN epitaxial layer was lower than that in the c-oriented GaN epitaxial layer. The m-oriented GaN epitaxial layer exhibited fewer hole and electron traps compared to the c-oriented GaN epitaxial layers.

  5. Microstructure and mechanical properties of Zr-Si-N films prepared by rf-reactive sputtering

    NASA Astrophysics Data System (ADS)

    Nose, M.; Chiou, W. A.; Zhou, M.; Mae, T.; Meshii, M.

    2002-05-01

    ZrN and ZrSiN films were prepared in an rf sputtering apparatus that has a pair of targets facing each other (referred to as the facing target-type rf sputtering). Films were deposited on silicon wafers without bias application or substrate heating in order to examine only the effect of silicon addition to the transition metal nitride films. The contents of zirconium, nitrogen, and silicon of the films were determined with an electron probe microanalyzer. The transmission electron microscopy studies were carried out in addition to x-ray diffraction. For the high resolution transmission electron microscopy observation, the field emission type transmission electron microscope was used, which provides a point-to-point resolution of 0.1 nm. The samples were observed both parallel and perpendicular to the film surface, which were plane and cross sectional views, respectively. In order to investigate the relationship between the mechanical properties and microstructure of films, the hardness was measured by a nanoindentation system at room temperature. The load was selected to keep the impression depth below 60 nm (not more than 5% of film thickness) so that the influence from the substrate can be neglected. The hardness of the films increases with small Si additions reaching the maximum value of 35 GPa at around 3 at. % Si. The tendency to grow columnar grains was strongest around this composition, while grains became equiaxial above 5 at. % of Si. The films containing 12.8% Si, which showed the lowest hardness of 18 GPa, consist of nanocrystal grains. The presence of ZrN nanocrystals embedded in Si3N4 was not observed in the present study. The hardening mechanism due to the addition of small amounts of Si in ZrN can not be determined at this time. The grain size and residual stress can make minor contributions to the hardening. A possibility of solid solution hardening due to atomistic strain, such as nitrogen atoms at interstitial sites or other point defects is

  6. Production and evolution of A-centers in n-type Si1-xGex

    NASA Astrophysics Data System (ADS)

    Sgourou, E. N.; Andrianakis, A.; Londos, C. A.; Chroneos, A.

    2013-03-01

    The vacancy-oxygen pair (VO or A-center) in n-type Si1-xGex crystals (x = 0, 0.025, 0.055) has been studied using infrared (IR) spectroscopy. It is determined that the VO production is suppressed in the case of n-type Si1-xGex as compared to Si. It is observed that the annealing temperature of the VO defect in Si1-xGex is substantially lower as compared to Si. The decay of the VO (830 cm-1) band, in the course of 20 min isochronal anneals, shows two stages: The onset of the first stage is at ˜180 °C and the decrease of the VO signal is accompanied in the spectra by the increase of the intensity of two bands at ˜834 and 839 cm-1. These bands appear in the spectra immediately after irradiation and were previously correlated with (VO-Ge) structures. The onset of the second stage occurs at ˜250 °C were the 830 cm-1 band of VO and the above two bands of (VO-Ge) decrease together in the spectra accompanied by the simultaneous growth of the 885 cm-1 band of the VO2 defect. Interestingly, the percentage of the VO pairs that are converted to VO2 defects is larger in the Si1-xGex samples with intermediate Ge content (x = 0.025) as compared with Si (x = 0) and with the high Ge content samples (x = 0.055). The results are discussed in view of the association of VO pairs with Ge.

  7. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    NASA Astrophysics Data System (ADS)

    Cecchi, S.; Gatti, E.; Chrastina, D.; Frigerio, J.; Müller Gubler, E.; Paul, D. J.; Guzzi, M.; Isella, G.

    2014-03-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si1-xGex buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si1-xGex layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach.

  8. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  9. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  10. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  11. Comparative study on degradation and trap density-of-states of p type and n type organic semiconductors

    NASA Astrophysics Data System (ADS)

    Shijeesh, M. R.; Vikas, L. S.; Jayaraj, M. K.; Puigdollers, J.

    2014-10-01

    The OTFTs with both p type and n type channel layers were fabricated using the inverted-staggered (top contact) structure by thermal vapour deposition on Si/SiO2 substrate. Pentacene and N,N'-Dioctyl- 3,4,9,10- perylenedicarboximide (PTCDI-C8) were used as channel layer for the fabrications of p type and n type OTFTs respectively. A comparative study on the degradation and density of states (DOS) of p type and n type organic semiconductors have been carried out. In order to compare the stability and degradation of pentacene and PTCDI-C8 OTFTs, the devices were exposed to air for 2 h before performing electrical measurements in air. The DOS measurements revealed that a level with defect density of 1020 cm-3 was formed only in PTCDI C8 layer on exposure to air. The oxygen adsorption into the PTCDI-C8 active layer can be attributed to the formation of this level at 0.15 eV above the LUMO level. The electrical charge transport is strongly affected by the oxygen traps and hence n type organic materials are less stable than p type organic materials.

  12. Large-area ordered Ge-Si compound quantum dot molecules on dot-patterned Si (001) substrates

    NASA Astrophysics Data System (ADS)

    Lei, Hui; Zhou, Tong; Wang, Shuguang; Fan, Yongliang; Zhong, Zhenyang

    2014-08-01

    We report on the formation of large-area ordered Ge-Si compound quantum dot molecules (CQDMs) in a combination of nanosphere lithography and self-assembly. Truncated-pyramid-like Si dots with {11n} facets are readily formed, which are spatially ordered in a large area with controlled period and size. Each Si dot induces four self-assembled Ge-rich dots at its base edges that can be fourfold symmetric along <110> directions. A model based on surface chemical potential accounts well for these phenomena. Our results disclose the critical effect of surface curvature on the diffusion and the aggregation of Ge adatoms and shed new light on the unique features and the inherent mechanism of self-assembled QDs on patterned substrates. Such a configuration of one Si QD surrounded by fourfold symmetric Ge-rich QDs can be seen as a CQDM with unique features, which will have potential applications in novel devices.

  13. Deposition of tetracene thin films on SiO2/Si substrates by rapid expansion of supercritical solutions using carbon dioxide

    NASA Astrophysics Data System (ADS)

    Fujii, Tatsuya; Takahashi, Yuta; Uchida, Hirohisa

    2015-03-01

    We report on a novel deposition technique of tetracene (naphthacene) thin films on SiO2/Si substrates by rapid expansion of supercritical solutions (RESS) using CO2. Optical microscopy and scanning electron microscopy show that the thin films consist of a high density of submicron-sized grains. The growth mode of the grains followed the Volmer-Weber mode. X-ray diffraction shows that the thin films have regularly arranged structures in both the horizontal and vertical directions of the substrate. A fabricated top-contacted organic thin-film transistor with the tetracene active layer showed p-type transistor characteristics with a field-effect mobility of 5.1 × 10-4 cm2 V-1 s-1.

  14. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    NASA Astrophysics Data System (ADS)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  15. Effect of interleaved Si layer on the magnetotransport and semiconducting properties of n-Si/Fe Schottky junctions

    NASA Astrophysics Data System (ADS)

    Das, Sudhansu Sekhar; Kumar, M. Senthil

    2017-12-01

    Heterostructure films of the form n-Si/Si(tSi)/Fe(800 Å) were prepared by DC magnetron sputtering. In these films, the Si and Fe (800 Å) films were deposited onto n-Si(100) substrates. Substrates with different doping concentration ND were used. The thickness tSi of the interleaved Si layer is varied. For tSi = 0, the heterostructures form n-Si/Fe Schottky junctions. Structural studies on the samples as performed through XRD indicate the polycrystalline nature of the films. The magnetization data showed that the samples have in-plane easy axis of magnetization. The coercivity of the samples is of the order of 90 Oe. The I-V measurements on the samples showed nonlinear behavior. The diode ideality factor η = 2.6 is observed for the junction with ND = 1018 cm-3. The leakage current I0 increases with the increase of ND. Magnetic field has less effect on the electrical properties of the junctions. A positive magnetoresistance in the range 1 - 10 % was observed for the Si/Fe Schottky junctions in the presence of magnetic field of strength 2 T. The origin of the MR is analyzed using a model where the ratio of the currents across the junctions with and without the applied magnetic field, IH=2T/IH=0 is studied as a function of the bias voltage Vbias. The ratio IH=2T/IH=0 shows a decreasing trend with the Vbias, suggesting that the contribution to the MR in our n-Si/Fe Schottky junctions due to the spin dependent scattering is very less as compared to that due to the suppression of the impact ionization process.

  16. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  17. Selective-area growth of GaN nanocolumns on Si(111) substrates for application to nanocolumn emitters with systematic analysis of dislocation filtering effect of nanocolumns

    NASA Astrophysics Data System (ADS)

    Kishino, Katsumi; Ishizawa, Shunsuke

    2015-06-01

    The growth of highly uniform arrays of GaN nanocolumns with diameters from 122 to 430 nm on Si (111) substrates was demonstrated. The employment of GaN film templates with flat surfaces (root mean square surface roughness of 0.84 nm), which were obtained using an AlN/GaN superlattice (SL) buffer on Si, contributed to the high-quality selective-area growth of nanocolumns using a thin Ti mask of 5 nm thickness by rf-plasma-assisted molecular beam epitaxy. Although the GaN template included a large number of dislocations (dislocation density ˜1011 cm-2), the dislocation filtering effect of nanocolumns was enhanced with decreasing nanocolumn diameters (D). Systematic transmission electron microscopy (TEM) observation enabled us to explain the dependence of the dislocation propagation behavior in nanocolumns on the nanocolumn diameter for the first time. Plan-view TEM analysis was performed for nanocolumns with D = 120-324 nm by slicing the nanocolumns horizontally at a height of ˜300 nm above their bottoms and dislocation propagation through the nanocolumns was analyzed by the cross-sectional TEM observation of nanocolumns with D ˜ 200 nm. It was clarified that dislocations were effectively filtered in the bottom 300 nm region of the nanocolumns, the dislocation density of the nanocolumns decreased with decreasing D, and for narrow nanocolumns with D < 200 nm, dislocation-free crystals were obtained in the upper part of the nanocolumns. The dramatic improvement in the emission properties of GaN nanocolumns observed with decreasing diameter is discussed in relation to the decreased dislocation density. The laser action of InGaN/GaN-based nanocolumn arrays with a nanocolumn diameter of 170 nm and a period of 200 nm on Si under optical excitation was obtained with an emission wavelength of 407 nm. We also fabricated red-emitting InGaN-based nanocolumn light-emitting diodes on Si that operated at a wavelength of 652 nm, demonstrating vertical conduction through the AlN/GaN

  18. Electrical properties of n-type GaSb substrates and p-type GaSb buffer layers for InAs/InGaSb superlattice infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchel, W. C., E-mail: William.Mitchel.1@us.af.mil; Haugan, H. J.; Mou, Shin

    2015-09-15

    Lightly doped n-type GaSb substrates with p-type GaSb buffer layers are the preferred templates for growth of InAs/InGaSb superlattices used in infrared detector applications because of relatively high infrared transmission and a close lattice match to the superlattices. We report here temperature dependent resistivity and Hall effect measurements of bare substrates and substrate-p-type buffer layer structures grown by molecular beam epitaxy. Multicarrier analysis of the resistivity and Hall coefficient data demonstrate that high temperature transport in the substrates is due to conduction in both the high mobility zone center Γ band and the low mobility off-center L band. High overallmore » mobility values indicate the absence of close compensation and that improved infrared and transport properties were achieved by a reduction in intrinsic acceptor concentration. Standard transport measurements of the undoped buffer layers show p-type conduction up to 300 K indicating electrical isolation of the buffer layer from the lightly n-type GaSb substrate. However, the highest temperature data indicate the early stages of the expected p to n type conversion which leads to apparent anomalously high carrier concentrations and lower than expected mobilities. Data at 77 K indicate very high quality buffer layers.« less

  19. Growth and Comparison of Residual Stress of AlN Films on Silicon (100), (110) and (111) Substrates

    NASA Astrophysics Data System (ADS)

    Pandey, Akhilesh; Dutta, Shankar; Prakash, Ravi; Raman, R.; Kapoor, Ashok Kumar; Kaur, Davinder

    2018-02-01

    This paper reports on the comparison of residual stresses in AlN thin films sputter-deposited in identical conditions on Si (100) (110) and (111) substrates. The deposited films are of polycrystalline wurtzite structure with preferred orientation along the (002) direction. AlN film on the Si (111) substrate showed a vertical columnar structure, whereas films on Si (100) and (110) showed tilted columnar structures. Residual stress in the AlN films is estimated by x-ray diffraction (XRD), infra-red absorption method and wafer curvature technique. Films residual stress are found compressive and values are in the range of - 650 (± 50) MPa, - 730 (± 50) MPa and - 300 (± 50) MPa for the AlN films grown on Si (100), (110) and (111) substrates, respectively, with different techniques. The difference in residual stresses can be attributed to the microstructure of the films and mismatch between in plane atomic arrangements of the film and substrates.

  20. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  1. Growth and characterization of textured well-faceted ZnO on planar Si(100), planar Si(111), and textured Si(100) substrates for solar cell applications.

    PubMed

    Tsai, Chin-Yi; Lai, Jyong-Di; Feng, Shih-Wei; Huang, Chien-Jung; Chen, Chien-Hsun; Yang, Fann-Wei; Wang, Hsiang-Chen; Tu, Li-Wei

    2017-01-01

    In this work, textured, well-faceted ZnO materials grown on planar Si(100), planar Si(111), and textured Si(100) substrates by low-pressure chemical vapor deposition (LPCVD) were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and cathode luminescence (CL) measurements. The results show that ZnO grown on planar Si(100), planar Si(111), and textured Si(100) substrates favor the growth of ZnO(110) ridge-like, ZnO(002) pyramid-like, and ZnO(101) pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100) substrate is slightly larger than that on the planar Si(111) substrate, while both of them are much larger than that on the textured Si(100) substrate. The average grain sizes (about 10-50 nm) of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT) solar cells.

  2. The Impact of GaN/Substrate Thermal Boundary Resistance on a HEMT Device

    DTIC Science & Technology

    2011-11-01

    stack between the GaN and Substrate layers. The University of Bristol recently reported that this TBR in commercial devices on Silicon Carbide ( SiC ...Circuit RF Radio Frequency PA Power Amplifier SiC Silicon Carbide FEA Finite Element Analysis heff Effective Heat transfer Coefficient (W/m 2 K...substrate material switched from sapphire to silicon , and by another factor of two from silicon to SiC . TABLE 1: SAMPLE RESULTS FROM DOUGLAS ET AL. FOR

  3. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  4. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    NASA Astrophysics Data System (ADS)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  5. Measured Attenuation of Coplanar Waveguide on 6H, p-type SiC and High Purity Semi-Insulating 4H SiC through 800 K

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.; Schwartz, Zachary D.; Alterovitz, Samuel A.; Downey, Alan N.

    2004-01-01

    Wireless sensors for high temperature applications such as oil drilling and mining, automobiles, and jet engine performance monitoring require circuits built on wide bandgap semiconductors. In this paper, the characteristics of microwave transmission lines on 4H-High Purity Semi-Insulating SiC and 6H, p-type SiC is presented as a function of temperature and frequency. It is shown that the attenuation of 6H, p-type substrates is too high for microwave circuits, large leakage current will flow through the substrate, and that unusual attenuation characteristics are due to trapping in the SiC. The 4H-HPSI SiC is shown to have low attenuation and leakage currents over the entire temperature range.

  6. Surface-potential undulation of Alq3 thin films prepared on ITO, Au, and n-Si.

    PubMed

    Ozasa, Kazunari; Ito, Hiromi; Maeda, Mizuo; Hara, Masahiko

    2012-01-01

    The surface potential (SP) morphology on thin films of tris(8-hydroxyquinolinato) aluminum (Alq3) was investigated with Kelvin probe force microscopy. Thin Alq3 films of 100 nm were prepared on ITO/glass substrates, Au/mica substrates, and n-Si substrates. Cloud-like morphologies of the SP undulation with 200-400 nm in lateral size were observed for all three types of the substrates. New larger peaks were observed in the cloud-like morphologies when the surfaces were exposed shortly to a light, while the SP average was reduced monotonically. The nonuniform distribution of charged traps and mobility was deduced from the SP undulation morphology and its photoexposure dependences.

  7. Integration of amorphous tantalum silicon nitride (TaSiN) films as diffusion barriers in a Cu/SiLK(TM) metallization scheme

    NASA Astrophysics Data System (ADS)

    Padiyar, Sumant Devdas

    2003-09-01

    Current and future performance requirements for high- speed integrated circuit (IC) devices have placed great emphasis on the introduction of novel materials, deposition techniques and improved metrology techniques. The introduction of copper interconnects and more currently low-k dielectric materials in IC fabrication are two such examples. This introduction necessitates research on the compatibility of these materials and process techniques with adjacent diffusion barrier materials. One candidate, which has attracted significant attention is tantalum-silicon-nitride (TaSiN) on account of its superior diffusion barrier performance and high recrystallization temperature1. The subject of this dissertation is an investigation of the integration compatibility and performance of TaSiN barrier layers with a low-k dielectric polymer (SiLK ®2). A plasma- enhanced chemical vapor deposition (PECVD) approach is taken for growth of TaSiN films in this work due to potential advantages in conformal film coverage compared to more conventional physical vapor deposition methods. A Design of Experiment (DOE) methodology was introduced for PECVD of TaSiN on SiLK to optimize film properties such as film composition, resistivity, growth rate and film roughness with respect to the predictors viz. substrate temperature, precursor gas flow and plasma power. The first pass study determined the response window for optimized TaSiN film composition, growth rate and low halide contamination and the compatibility of the process with an organic polymer substrate, i.e. SiLK. Second-pass studies were carried out to deposit ultra- thin (10nm) films on: (a)blanket SiLK to investigate the performance of TaSiN films against copper diffusion, and (b)patterned SiLK to evaluate step coverage and conformality. All TaSiN depositions were carried out on SiO2 substrates for baseline comparisons. A second purpose of the diffusion barrier in IC processing is to improve interfacial adhesion between the

  8. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  9. Fabrication of Heterojunction Diode Based on n-ZnO Nanowires/p-Si Substrate: Temperature Dependent Transport Characteristics.

    PubMed

    Badran, R I; Umar, Ahmad

    2017-01-01

    Herein, we report the growth and characterizations of well-crystalline n-ZnO nanowires assembled in micro flower-shaped morphologies. The nanowires are grown on p-Silicon substrate and characterized in terms of their structural, morphological and electrical properties. Temperature dependent transport characteristics of the fabricated n-ZnO/p-Si heterojunction diode were examined. The morphological studies revealed that the nanowires are grown in high-density and arrange in special micro flower shaped morphology. The structural characterizations confirmed that the nanowires are well-crystalline and possessing wurtzite hexagonal phase. The electrical properties were evaluated by examining the I–V characteristics of the fabricated n-ZnO/p-Si heterojunction diode. The I–V characteristics were studied at temperature <300 K and ≥300 K in the forward and reverse bias conditions. The detailed temperature dependent electrical properties revealed that the fabricated heterojunction assembly shows a diode-like behavior with a turn-on voltage of 5 V at almost all temperatures and the delivered current changes between ˜1 to ˜5 μA when temperature changes from 77 K to 425 K. The rectifying behavior of the fabricated heterojunction diode, at 5 V, was demonstrated by rectifying ratio of ˜4 at 77 K which decreases to ˜1.5 at 425 K. This analysis also showed that the mean potential barrier of the fabricated heterojunction (˜1.2 eV) is larger than the energy difference (0.72 eV) of the work functions between Si and ZnO.

  10. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  11. 570 mV photovoltage, stabilized n-Si/CoO x heterojunction photoanodes fabricated using atomic layer deposition

    DOE PAGES

    Zhou, Xinghao; Liu, Rui; Sun, Ke; ...

    2016-01-08

    Heterojunction photoanodes, consisting of n-type crystalline Si(100) substrates coated with a thin ~50 nm film of cobalt oxide fabricated using atomic-layer deposition (ALD), exhibited photocurrent-onset potentials of -205 ± 20 mV relative to the formal potential for the oxygen-evolution reaction (OER), ideal regenerative solar-to-O 2(g) conversion efficiencies of 1.42 ± 0.20%, and operated continuously for over 100 days (~2500 h) in 1.0 M KOH(aq) under simulated solar illumination. The ALD CoO x thin film: (i) formed a heterojunction with the n-Si(100) that provided a photovoltage of 575 mV under 1 Sun of simulated solar illumination; (ii) stabilized Si photoanodes thatmore » are otherwise unstable when operated in aqueous alkaline electrolytes; and, (iii) catalyzed the oxidation of water, thereby reducing the kinetic overpotential required for the reaction and increasing the overall efficiency relative to electrodes that do not have an inherently electrocatalytic coating. The process provides a simple, effective method for enabling the use of planar n-Si(100) substrates as efficient and durable photoanodes in fully integrated, photovoltaic-biased solar fuels generators.« less

  12. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  13. Fast Pulling of n-Type Si Ingots for Enhanced Si Solar Cell Production

    NASA Astrophysics Data System (ADS)

    Kim, Kwanghun; Park, Sanghyun; Park, Jaechang; Pang, Ilsun; Ryu, Sangwoo; Oh, Jihun

    2018-07-01

    Reducing the manufacturing costs of silicon substrates is an important issue in the silicon-based solar cell industry. In this study, we developed a high-throughput ingot growth method by accelerating the pulling speed in the Czochralski process. By controlling the heat flow of the ingot growth chamber and at the solid-liquid interfaces, the pulling speed of an ingot could be increased by 15% compared to the conventional method, while retaining high quality. The wafer obtained at a high pulling speed showed an enhanced minority carrier lifetime compared with conventional wafers, due to the vacancy passivation effect, and also demonstrated comparable bulk resistivity and impurities. The results in this work are expected to open a new way to enhance the productivity of Si wafers used for Si solar cells, and therefore, to reduce the overall manufacturing cost.

  14. Fast Pulling of n-Type Si Ingots for Enhanced Si Solar Cell Production

    NASA Astrophysics Data System (ADS)

    Kim, Kwanghun; Park, Sanghyun; Park, Jaechang; Pang, Ilsun; Ryu, Sangwoo; Oh, Jihun

    2018-03-01

    Reducing the manufacturing costs of silicon substrates is an important issue in the silicon-based solar cell industry. In this study, we developed a high-throughput ingot growth method by accelerating the pulling speed in the Czochralski process. By controlling the heat flow of the ingot growth chamber and at the solid-liquid interfaces, the pulling speed of an ingot could be increased by 15% compared to the conventional method, while retaining high quality. The wafer obtained at a high pulling speed showed an enhanced minority carrier lifetime compared with conventional wafers, due to the vacancy passivation effect, and also demonstrated comparable bulk resistivity and impurities. The results in this work are expected to open a new way to enhance the productivity of Si wafers used for Si solar cells, and therefore, to reduce the overall manufacturing cost.

  15. Gold nanoparticles deposited on linker-free silicon substrate and embedded in aluminum Schottky contact.

    PubMed

    Gorji, Mohammad Saleh; Razak, Khairunisak Abdul; Cheong, Kuan Yew

    2013-10-15

    Given the enormous importance of Au nanoparticles (NPs) deposition on Si substrates as the precursor for various applications, we present an alternative approach to deposit Au NPs on linker-free n- and p-type Si substrates. It is demonstrated that, all conditions being similar, there is a significant difference between densities of the deposited NPs on both substrates. The Zeta-potential and polarity of charges surrounding the hydroxylamine reduced seeded growth Au NPs, are determined by a Zetasizer. To investigate the surface properties of Si substrates, contact angle measurement is performed. Field-emission scanning electron microscope is then utilized to distinguish the NPs density on the substrates. Finally, Al/Si Schottky barrier diodes with embedded Au NPs are fabricated, and their structural and electrical characteristics are further evaluated using an energy-filtered transmission electron microscope and current-voltage measurements, respectively. The results reveal that the density of NPs is significantly higher on n-type Si substrate and consequently has more pronounced effects on the electrical characteristics of the diode. It is concluded that protonation of Si-OH group on Si surface in low pH is responsible for the immobilization of Au NPs, which eventually contributes to the lowering of barrier height and enhances the electrical characteristics. Copyright © 2013 Elsevier Inc. All rights reserved.

  16. A comparative study of three-terminal Hanle signals in CoFe/SiO{sub 2}/n{sup +}-Si and Cu/SiO{sub 2}/n{sup +}-Si tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jeong-Hyeon; Cho, B. K., E-mail: chobk@gist.ac.kr; Grünberg Center for Magnetic Nanomaterials, Gwangju Institute of Science and Technology

    We performed three-terminal (3T) Hanle measurement for two types of sample series, CoFe/SiO{sub 2}/n{sup +}-Si and Cu/SiO{sub 2}/n{sup +}-Si, with various tunnel resistances. Clear Hanle signal and anomalous scaling between spin resistance-area product and tunnel resistance-area product were observed in CoFe/SiO{sub 2}/n{sup +}-Si devices. In order to explore the origin of the Hanle signal and the impurity-assisted tunneling effect on the Hanle signal in our devices, Hanle measurement in Cu/SiO{sub 2}/n{sup +}-Si devices was performed as well. However, no detectable Hanle signal was observed in Cu/SiO{sub 2}/n{sup +}-Si, even though a lot of samples with various tunnel resistances were studiedmore » in wide temperature and bias voltage ranges. Through a comparative study, it is found that the impurity-assisted tunneling magnetoresistance mechanism would not play a dominant role in the 3T Hanle signal in CoFe/SiO{sub 2}/n{sup +}-Si tunnel junctions, where the SiO{sub 2} was formed by plasma oxidation to minimize impurities.« less

  17. Cyclic Thermal Stress-Induced Degradation of Cu Metallization on Si3N4 Substrate at -40°C to 300°C

    NASA Astrophysics Data System (ADS)

    Lang, Fengqun; Yamaguchi, Hiroshi; Nakagawa, Hiroshi; Sato, Hiroshi

    2015-01-01

    The high-temperature reliability of active metal brazed copper (AMC) on Si3N4 ceramic substrates used for fabricating SiC high-temperature power modules was investigated under harsh environments. The AMC substrate underwent isothermal storage at 300°C for up to 3000 h and a thermal cycling test at -40°C to 300°C for up to 3000 cycles. During isothermal storage at 300°C, the AMC substrate exhibited high reliability, characterized by very little deformation of the copper (Cu) layer, low crack growth, and low oxidation rate of the Cu layer. Under thermal cycling conditions at -40°C to 300°C, no detachment of the Cu layer was observed even after the maximum 3000 cycles of the experiment. However, serious deformation of the Cu layer occurred and progressed as the number of thermal cycles increased, thus significantly roughening the surface of the Cu metallized layer. The cyclic thermal stress led to a significant increase in the crack growth and oxidation of the Cu layer. The maximum depth of the copper oxides reached up to 5/6 of the Cu thickness. The deformation of the Cu layer was the main cause of the decrease of the bond strength under thermal cycling conditions. The shear strength of the SiC chips bonded on the AMC substrate with a Au-12 wt.%Ge solder decreased from the original 83 MPa to 14 MPa after 3000 cycles. Therefore, the cyclic thermal stress destroyed the Cu oxides and enhanced the oxidation of the Cu layer.

  18. Improvement of minority carrier life time in N-type monocrystalline Si by the Czochralski method

    NASA Astrophysics Data System (ADS)

    Baik, Sungsun; Pang, Ilsun; Kim, Jaemin; Kim, Kwanghun

    2016-07-01

    The installation amount of solar power plants increases every year. Multi-crystalline Si solar cells comprise a large share of the market of solar power plants. Multi-crystalline and single-crystalline Si solar cells are competing against one another in the market. Many single-crystalline companies are trying to develop and produce n-type solar cells with higher cell efficiency than that of p-type. In n-type wafers with high cell efficiency, wafer quality has become increasingly important. In order to make ingots with higher MCLT, the effects of both poly types related to metal impurities and pull speeds related to vacancy concentration on minority carrier life time were studied. In the final part of ingots, poly types related to the metal impurities are a dominant factor on MCLT. In the initial part of ingots, pull speeds related to vacancy concentration are a dominant factor on MCLT. [Figure not available: see fulltext.

  19. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  20. Performance Evaluation of III-V Hetero/Homojunction Esaki Tunnel Diodes on Si and Lattice Matched Substrates

    NASA Astrophysics Data System (ADS)

    Thomas, Paul M.

    Understanding of quantum tunneling phenomenon in semiconductor systems is increasingly important as CMOS replacement technologies are investigated. This work studies a variety of heterojunction materials and types to increase tunnel currents to CMOS competitive levels and to understand how integration onto Si substrates affects performance. Esaki tunnel diodes were grown by Molecular Beam Epitaxy (MBE) on Si substrates via a graded buffer and control Esaki tunnel diodes grown on lattice matched substrates for this work. Peak current density for each diode is extracted and benchmarked to build an empirical data set for predicting diode performance. Additionally, statistics are used as tool to show peak to valley ratio for the III-V on Si sample and the control perform similarly below a threshold area. This work has applications beyond logic, as multijunction solar cell, heterojunction bipolar transistor, and light emitting diode designs all benefit from better tunnel contact design.

  1. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  2. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560 013; Bhat, Thirumaleshwara N.

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics ofmore » a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.« less

  3. MOCVD Growth of III-V Photodetectors and Light Emitters for Integration of Optoelectronic Devices on Si substrates

    NASA Astrophysics Data System (ADS)

    Geng, Yu

    With the increase of clock speed and wiring density in integrated circuits, inter-chip and intra-chip interconnects through conventional electrical wires encounter increasing difficulties because of the large power loss and bandwidth limitation. Optical interconnects have been proposed as an alternative to copper-based interconnects and are under intense study due to their large data capacity, high data quality and low power consumption. III-V compound semiconductors offer high intrinsic electron mobility, small effective electron mass and direct bandgap, which make this material system advantageous for high-speed optoelectronic devices. The integration of III-V optoelectronic devices on Si substrates will provide the combined advantage of a high level of integration and large volume production of Si-based electronic circuitry with the superior electrical and optical performance of III-V components, paving the way to a new generation of hybrid integrated circuits. In this thesis, the direct heteroepitaxy of photodetectors (PDs) and light emitters using metal-organic chemical vapor deposition for the integration of photonic devices on Si substrates were studied. First we studied the selective-area growth of InP/GaAs on patterned Si substrates for PDs. To overcome the loading effect, a multi-temperature composite growth technique for GaAs was developed. By decreasing various defects such as dislocations and anti-phase domains, the GaAs and InP buffer layers are with good crystalline quality and the PDs show high speed and low dark current performance both at the edge and center of the large growth well. Then the growth and fabrication of GaAs/AlGaAs QW lasers were studied. Ellipsometry was used to calibrate the Al composition of AlGaAs. Thick p and n type AlGaAs with a mirrorlike surface were grown by high V/III ratio and high temperature. The GaAs/AlGaAs broad area QW laser was successfully grown and fabricated on GaAs substrate and showed a pulsed lasing result

  4. Defect reduction in Si-doped Al{sub 0.45}Ga{sub 0.55}N films by SiN{sub x} interlayer method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Yang; Chen, Shengchang; Kong, Man

    2014-01-28

    The dislocation density in AlGaN epitaxial layers with Al content as high as 45% grown on sapphire substrates has been effectively reduced by introducing an in-situ deposited SiN{sub x} nanomask layer in this study. By closely monitoring the evolution of numerous material properties, such as surface morphology, dislocation density, photoluminescence, strain states, and electron mobility of the Si-Al{sub 0.45}Ga{sub 0.55}N layers as the functions of SiN{sub x} interlayer growth time, the surface coverage fraction of SiN{sub x} is found to be a crucial factor determining the strain states and dislocation density. The dependence of the strain states and the dislocationmore » density on the surface coverage fraction of SiN{sub x} nanomask supports the very different growth models of Al-rich AlGaN on SiN{sub x} interlayer due to the reduced nucleation selectivity compared with the GaN counterpart. Compared with GaN, which can only nucleate at open pores of SiN{sub x} nanomask, Al-rich AlGaN can simultaneously nucleate at both open pores and SiN{sub x} covered areas. Dislocations will annihilate at the openings due to the 3D growth initiated on the opening area, while 2D growth mode is preserved on SiN{sub x} and the threading dislocations are also preserved. During the following growth process, lateral overgrowth will proceed from the Al{sub 0.45}Ga{sub 0.55}N islands on the openings towards the regions covered by SiN{sub x}, relaxing the compressive strain and bending the dislocations at the same time.« less

  5. Deposition of InP on Si Substrates for Monolithic Integration of Advanced Electronics

    DTIC Science & Technology

    1988-05-01

    radiation resistance of InP has been demonstrated (in terms of solar cell experiments) to be quite superior to that of either GaAs or Si.( 1 , 2) In fact... photovoltaic p/n junction devices irradiated by I MeV electrons have been shown to almost totallv recover their electrical performance by annealing at...in the literature.(l5 2 2) The NTT group has succeeded in growing InP films directly on Si substrates and in fabricating solar cells (approximately 3

  6. Annealing Time Effect on Nanostructured n-ZnO/p-Si Heterojunction Photodetector Performance

    NASA Astrophysics Data System (ADS)

    Habubi, Nadir. F.; Ismail, Raid. A.; Hamoudi, Walid K.; Abid, Hassam. R.

    2015-02-01

    In this work, n-ZnO/p-Si heterojunction photodetectors were prepared by drop casting of ZnO nanoparticles (NPs) on single crystal p-type silicon substrates, followed by (15-60) min; step-annealing at 600∘C. Structural, electrical, and optical properties of the ZnO NPs films deposited on quartz substrates were studied as a function of annealing time. X-ray diffraction studies showed a polycrystalline, hexagonal wurtizte nanostructured ZnO with preferential orientation along the (100) plane. Atomic force microscopy measurements showed an average ZnO grain size within the range of 75.9 nm-99.9 nm with a corresponding root mean square (RMS) surface roughness between 0.51 nm-2.16 nm. Dark and under illumination current-voltage (I-V) characteristics of the n-ZnO/p-Si heterojunction photodetectors showed an improving rectification ratio and a decreasing saturation current at longer annealing time with an ideality factor of 3 obtained at 60 min annealing time. Capacitance-voltage (C-V) characteristics of heterojunctions were investigated in order to estimate the built-in-voltage and junction type. The photodetectors, fabricated at optimum annealing time, exhibited good linearity characteristics. Maximum sensitivity was obtained when ZnO/Si heterojunctions were annealed at 60 min. Two peaks of response, located at 650 nm and 850 nm, were observed with sensitivities of 0.12-0.19 A/W and 0.18-0.39 A/W, respectively. Detectivity of the photodetectors as function of annealing time was estimated.

  7. Direct current performance and current collapse in AlGaN/GaN insulated gate high-electron mobility transistors on Si (1 1 1) substrate with very thin SiO2 gate dielectric

    NASA Astrophysics Data System (ADS)

    Lachab, M.; Sultana, M.; Fatima, H.; Adivarahan, V.; Fareed, Q.; Khan, M. A.

    2012-12-01

    This work reports on the dc performance of AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) grown on Si (1 1 1) substrate and the study of current dispersion in these devices using various widely adopted methods. The MOSHEMTs were fabricated using a very thin (4.2 nm) SiO2 film as the gate insulator and were subsequently passivated with about 30 nm thick Si3N4 layer. For devices with 2.5 µm long gates and a 4 µm drain-to-source spacing, the maximum saturation drain current density was 822 mA mm-1 at + 4 V gate bias and the peak external transconductance was ˜100 mS mm-1. Furthermore, the oxide layer successfully suppressed the drain and gate leakage currents with the subthreshold current and the gate diode current levels exceeding by more than three orders of magnitude the levels found in their Schottky gate counterparts. Capacitance-voltage and dynamic current-voltage measurements were carried out to assess the oxide quality as well as the devices’ surface properties after passivation. The efficacy of each of these characterization techniques to probe the presence of interface traps and oxide charge in the nitride-based transistors is also discussed.

  8. Back scattering involving embedded silicon nitride (SiN) nanoparticles for c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Ghosh, Hemanta; Mitra, Suchismita; Siddiqui, M. S.; Saxena, A. K.; Chaudhuri, Partha; Saha, Hiranmay; Banerjee, Chandan

    2018-04-01

    A novel material, structure and method of synthesis for dielectric light trapping have been presented in this paper. First, the light scattering behaviour of silicon nitride nanoparticles have been theoretically studied in order to find the optimized size for dielectric back scattering by FDTD simulations from Lumerical Inc. The optical results have been used in electrical analysis and thereby, estimate the effect of nanoparticles on efficiency of the solar cells depending on substrate thickness. Experimentally, silicon nitride (SiN) nanoparticles have been formed using hydrogen plasma treatment on SiN layer deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD). The size and area coverage of the nanoparticles were controlled by varying the working pressure, power density and treatment duration. The nanoparticles were integrated with partial rear contact c-Si solar cells as dielectric back reflector structures for the light trapping in thin silicon solar cells. Experimental results revealed the increases of current density by 2.7% in presence of SiN nanoparticles.

  9. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    NASA Astrophysics Data System (ADS)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  10. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  11. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    NASA Astrophysics Data System (ADS)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  12. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    NASA Astrophysics Data System (ADS)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  13. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  14. Influence of external mechanical stress on electrical properties of single-crystal n-3C-SiC/p-Si heterojunction diode

    NASA Astrophysics Data System (ADS)

    Qamar, Afzaal; Veit Dao, Dzung; Tanner, Philip; Phan, Hoang-Phuong; Dinh, Toan; Dimitrijev, Sima

    2015-06-01

    This article reports for the first time the electrical properties of fabricated n-3C-SiC/p-Si heterojunction diodes under external mechanical stress in the [110] direction. An anisotype heterojunction diode of n-3C-SiC/p-Si was fabricated by depositing 3C-SiC onto the Si substrate by low-pressure chemical vapor deposition. The mechanical stress significantly affected the scaling current density of the heterojunction. The scaling current density increases with stress and is explained in terms of a band offset reduction at the SiC/Si interface under applied stress. A reduction in the barrier height across the junction owing to applied stress is also explained quantitatively.

  15. 30 nm T-gate enhancement-mode InAlN/AlN/GaN HEMT on SiC substrates for future high power RF applications

    NASA Astrophysics Data System (ADS)

    Murugapandiyan, P.; Ravimaran, S.; William, J.

    2017-08-01

    The DC and RF performance of 30 nm gate length enhancement mode (E-mode) InAlN/AlN/GaN high electron mobility transistor (HEMT) on SiC substrate with heavily doped source and drain region have been investigated using the Synopsys TCAD tool. The proposed device has the features of a recessed T-gate structure, InGaN back barrier and Al2O3 passivated device surface. The proposed HEMT exhibits a maximum drain current density of 2.1 A/mm, transconductance {g}{{m}} of 1050 mS/mm, current gain cut-off frequency {f}{{t}} of 350 GHz and power gain cut-off frequency {f}\\max of 340 GHz. At room temperature the measured carrier mobility (μ), sheet charge carrier density ({n}{{s}}) and breakdown voltage are 1580 cm2/(V \\cdot s), 1.9× {10}13 {{cm}}-2, and 10.7 V respectively. The superlatives of the proposed HEMTs are bewitching competitor or future sub-millimeter wave high power RF VLSI circuit applications.

  16. Nucleation Of Ge 3D-islands On Pit-patterned Si Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Novikov, P. L.; Smagina, J. V.; Vlasov, D. Yu.

    2011-12-23

    Joint experimental and theoretical study of Ge nanoislands growth on pit-patterned Si substrate is carried out. Si substrates that have been templated by means of electron beam lithography and reactive ion etching have been used to grow Ge by molecular-beam epitaxy. Atomic-force-microscopy studies show that at Si(100) substrate temperature 550 deg. C, Ge nanoislands are formed at the pits' edges, rather than between the pits. The effect is interpreted in terms of energy barrier, that is formed near the edge of a pit and prevents Ge transport inside the pit. By molecular dynamics calculations the value of the energy barriermore » 0.9 eV was obtained.« less

  17. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  18. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    NASA Astrophysics Data System (ADS)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  19. Increased size selectivity of Si quantum dots on SiC at low substrate temperatures: An ion-assisted self-organization approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seo, D. H.; Das Arulsamy, A.; Rider, A. E.

    A simple, effective, and innovative approach based on ion-assisted self-organization is proposed to synthesize size-selected Si quantum dots (QDs) on SiC substrates at low substrate temperatures. Using hybrid numerical simulations, the formation of Si QDs through a self-organization approach is investigated by taking into account two distinct cases of Si QD formation using the ionization energy approximation theory, which considers ionized in-fluxes containing Si{sup 3+} and Si{sup 1+} ions in the presence of a microscopic nonuniform electric field induced by a variable surface bias. The results show that the highest percentage of the surface coverage by 1 and 2 nmmore » size-selected QDs was achieved using a bias of -20 V and ions in the lowest charge state, namely, Si{sup 1+} ions in a low substrate temperature range (227-327 deg. C). As low substrate temperatures ({<=}500 deg. C) are desirable from a technological point of view, because (i) low-temperature deposition techniques are compatible with current thin-film Si-based solar cell fabrication and (ii) high processing temperatures can frequently cause damage to other components in electronic devices and destroy the tandem structure of Si QD-based third-generation solar cells, our results are highly relevant to the development of the third-generation all-Si tandem photovoltaic solar cells.« less

  20. Increased size selectivity of Si quantum dots on SiC at low substrate temperatures: An ion-assisted self-organization approach

    NASA Astrophysics Data System (ADS)

    Seo, D. H.; Rider, A. E.; Das Arulsamy, A.; Levchenko, I.; Ostrikov, K.

    2010-01-01

    A simple, effective, and innovative approach based on ion-assisted self-organization is proposed to synthesize size-selected Si quantum dots (QDs) on SiC substrates at low substrate temperatures. Using hybrid numerical simulations, the formation of Si QDs through a self-organization approach is investigated by taking into account two distinct cases of Si QD formation using the ionization energy approximation theory, which considers ionized in-fluxes containing Si3+ and Si1+ ions in the presence of a microscopic nonuniform electric field induced by a variable surface bias. The results show that the highest percentage of the surface coverage by 1 and 2 nm size-selected QDs was achieved using a bias of -20 V and ions in the lowest charge state, namely, Si1+ ions in a low substrate temperature range (227-327 °C). As low substrate temperatures (≤500 °C) are desirable from a technological point of view, because (i) low-temperature deposition techniques are compatible with current thin-film Si-based solar cell fabrication and (ii) high processing temperatures can frequently cause damage to other components in electronic devices and destroy the tandem structure of Si QD-based third-generation solar cells, our results are highly relevant to the development of the third-generation all-Si tandem photovoltaic solar cells.

  1. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    PubMed

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  2. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  3. Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.

    1990-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  4. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  5. Carbon-hydrogen defects with a neighboring oxygen atom in n-type Si

    NASA Astrophysics Data System (ADS)

    Gwozdz, K.; Stübner, R.; Kolkovsky, Vl.; Weber, J.

    2017-07-01

    We report on the electrical activation of neutral carbon-oxygen complexes in Si by wet-chemical etching at room temperature. Two deep levels, E65 and E75, are observed by deep level transient spectroscopy in n-type Czochralski Si. The activation enthalpies of E65 and E75 are obtained as EC-0.11 eV (E65) and EC-0.13 eV (E75). The electric field dependence of their emission rates relates both levels to single acceptor states. From the analysis of the depth profiles, we conclude that the levels belong to two different defects, which contain only one hydrogen atom. A configuration is proposed, where the CH1BC defect, with hydrogen in the bond-centered position between neighboring C and Si atoms, is disturbed by interstitial oxygen in the second nearest neighbor position to substitutional carbon. The significant reduction of the CH1BC concentration in samples with high oxygen concentrations limits the use of this defect for the determination of low concentrations of substitutional carbon in Si samples.

  6. High Mobility Transport Layer Structures for Rhombohedral Si/Ge/SiGe Devices

    NASA Technical Reports Server (NTRS)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Kim, Hyun-Jung (Inventor); Lee, Kunik (Inventor)

    2017-01-01

    An electronic device includes a trigonal crystal substrate defining a (0001) C-plane. The substrate may comprise Sapphire or other suitable material. A plurality of rhombohedrally aligned SiGe (111)-oriented crystals are disposed on the (0001) C-plane of the crystal substrate. A first region of material is disposed on the rhombohedrally aligned SiGe layer. The first region comprises an intrinsic or doped Si, Ge, or SiGe layer. The first region can be layered between two secondary regions comprising n+doped SiGe or n+doped Ge, whereby the first region collects electrons from the two secondary regions.

  7. Near zero reflection by nanostructured anti-reflection coating design for Si substrates

    NASA Astrophysics Data System (ADS)

    Al-Fandi, Mohamed; Makableh, Yahia F.; Khasawneh, Mohammad; Rabady, Rabi

    2018-05-01

    The nanostructure design of near zero reflection coating for Si substrates by using ZnO Nanoneedles (ZnONN) is performed and optimized for the visible spectral range. The design investigates the ZnONN tip to body ratio effect on the anti-reflection coating properties. Different tip to body ratios are used on Si substrates. Around zero reflection is achieved by the Nanoneedles structure design presented in this work, leading to minimal reflection losses from the Si surface. The current design evolves a solution to optical losses and surface contamination effects associated with Si solar cells.

  8. Piezo-Hall effect and fundamental piezo-Hall coefficients of single crystal n-type 3C-SiC(100) with low carrier concentration

    NASA Astrophysics Data System (ADS)

    Qamar, Afzaal; Dao, Dzung Viet; Dinh, Toan; Iacopi, Alan; Walker, Glenn; Phan, Hoang-Phuong; Hold, Leonie; Dimitrijev, Sima

    2017-04-01

    This article reports the results on the piezo-Hall effect in single crystal n-type 3C-SiC(100) having a low carrier concentration. The effect of the crystallographic orientation on the piezo-Hall effect has been investigated by applying stress to the Hall devices fabricated in different crystallographic directions. Single crystal n-type 3C-SiC(100) and 3C-SiC(111) were grown by low pressure chemical vapor deposition at 1250 °C. Fundamental piezo-Hall coefficients were obtained using the piezo-Hall effect measurements as P11 = (-29 ± 1.3) × 10-11 Pa-1, P12 = (11.06 ± 0.5)× 10-11 Pa-1, and P44 = (-3.4 ± 0.7) × 10-11 Pa-1. It has been observed that the piezo-Hall coefficients of n-type 3C-SiC(100) show a completely different behavior as compared to that of p-type 3C-SiC.

  9. Microstructure and Corrosion Behavior of CrN and CrSiCN Coatings

    NASA Astrophysics Data System (ADS)

    Cai, Feng; Yang, Qi; Huang, Xiao; Wei, Ronghua

    2010-07-01

    Three CrN-based coatings were deposited on 17-4PH stainless steel substrate using plasma enhanced magnetron sputtering (PEMS) technique. The microstructure and corrosion resistance were evaluated to examine the effect of Si and C in the coatings. The three coating compositions were CrN(Cr0.69N0.31), CrSiCN-1 (Cr0.55Si0.014C0.14N0.3), and CrSiCN-2 (Cr0.43Si0.037C0.24N0.3). The testing results indicated that with the increase of Si concentration, the coating microstructure transformed from B1 structure to B1 + Si3N4 structure. All the three coating systems were subjected to electrochemical tests in 3.5% NaCl solution at room temperature. Potentiodynamic polarization results revealed that the CrSiCN-2 coating had a higher anodic current density and a lower corrosion potential when compared to the CrN and CrSiCN-1 coatings. Extended exposure in 3.5% NaCl caused several localized corrosion to the CrSiCN-2 coating due to the porous coating structure. Electrochemical impedance spectroscopic measurements demonstrated that the CrSiCN-1 has better corrosion resistance than CrN and CrSiCN-2.

  10. Efficiency improvement of InGaN light emitting diodes with embedded self-assembled SiO2 nanosphere arrays

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Fan, Chao; Chen, Yu; Hu, Qiang; Li, Jinmin

    2014-05-01

    In this study, the periodic SiO2 nanosphere nanopatterned sapphire substrate (SiO2-NPSS) was made using self-assembled SiO2 nanosphere monolayer template and inductively coupled plasma (ICP) etching. And the self-assembled SiO2 nanosphere monolayer was directly embedded into the GaN/sapphire interface by nanoscale epitaxial lateral overgrowth (NELOG). For comparison, a common nanopatterned sapphire substrate (C-NPSS) was also made through dry etching with the SiO2 nanospheres used as the mask. Compared with LEDs grown on C-NPSS and flat sapphire substrate (FSS), the external quantum efficiency of LEDs with SiO2 nanopheres (SiO2-NPSS) was increased by 30.7% and 81.9% under a driving current 350 mA. The SiO2-NPSS not only improved the crystalline quality of GaN but also enhanced the light extraction efficiency (LEE) of LED. And the SiO2-NPSS LED also showed more light in vertical direction and more uniform light distribution. By finite-difference time-domain (FDTD) simulation, we confirmed that more light could be reflected from the GaN/SiO2 interface than the GaN/sapphire interface because the refractive index of SiO2 was lower than that of sapphire. Therefore, LED grown on the SiO2-NPSS showed superior light extraction efficiency compared to that on C-NPSS.

  11. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  12. Potentiostatic controlled nucleation and growth modes of electrodeposited cobalt thin films on n-Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Mechehoud, Fayçal; Khelil, Abdelbacet; Eddine Hakiki, Nour; Bubendorff, Jean-Luc

    2016-08-01

    The nucleation and growth of Co electrodeposits on n-Si(1 1 1) substrate have been investigated as a function of the applied potential in a large potential range using electrochemical techniques (voltammetry and chrono-amperometry) and surface imaging by atomic force microscopy (AFM). The surface preparation of the sample is crucial and we achieve a controlled n-Si(1 1 1) surface with mono-atomic steps and flat terraces. Using Scharifker-Hills models for fitting the current-time transients, we show that a transition from an instantaneous nucleation process to a progressive one occurs when the overpotential increases. A good agreement between the nucleation and growth parameters extracted from the models and the AFM data's is observed. The growth is of the Volmer-Weber type with a roughness and a spatial extension in the substrate plane of the deposited islands that increase with thickness.

  13. Mo-Si-B-Based Coatings for Ceramic Base Substrates

    NASA Technical Reports Server (NTRS)

    Perepezko, John Harry (Inventor); Sakidja, Ridwan (Inventor); Ritt, Patrick (Inventor)

    2015-01-01

    Alumina-containing coatings based on molybdenum (Mo), silicon (Si), and boron (B) ("MoSiB coatings") that form protective, oxidation-resistant scales on ceramic substrate at high temperatures are provided. The protective scales comprise an aluminoborosilicate glass, and may additionally contain molybdenum. Two-stage deposition methods for forming the coatings are also provided.

  14. Seed layer effect on different properties and UV detection capability of hydrothermally grown ZnO nanorods over SiO2/p-Si substrate

    NASA Astrophysics Data System (ADS)

    Sannakashappanavar, Basavaraj S.; Byrareddy, C. R.; Kumar, Pesala Sudheer; Yadav, Aniruddh Bahadur

    2018-05-01

    Hydrothermally grown one dimensional ZnO nanostructures are among the most widely used semiconductor materials to build high-efficiency electronic devices for various applications. Few researchers have addressed the growth mechanism and effect of ZnO seed layer on different properties of ZnO nanorods grown by hydrothermal method, instead, no one has synthesized ZnO nanorod over SiO2/p-Si substrate. The aim of this study is to study the effect of ZnO seed layer and the growth mechanism of ZnO nanorods over SiO2/p-Si substrate. To achieve the goal, we have synthesized ZnO nanorods over different thickness ZnO seed layers by using the hydrothermal method on SiO2/p-Si substrate. The effects of c-plane area ratio were identified for the growth rate of c-plane, reaction rate constant and stagnant layer thickness also calculated by using a modified rate growth equation. We have identified maximum seed layer thickness for the growth of vertical ZnO nanorod. A step dislocation in the ZnO nanorods grown on 150and 200 nm thick seed layers was observed, the magnitude of Burges vector was calculated for this disorder. The seed layer and ZnO nanorods were characterized by AFM, XPS, UV-visible, XRD (X-ray diffraction, and SEM(scanning electron microscope). To justify the application of the grown ZnO nanorods Ti/Au was deposited over ZnO nanorods grown over all seed layers for the fabrication of photoconductor type UV detector.

  15. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    NASA Astrophysics Data System (ADS)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  16. High quality and uniformity GaN grown on 150 mm Si substrate using in-situ NH3 pulse flow cleaning process

    NASA Astrophysics Data System (ADS)

    Ji, Panfeng; Yang, Xuelin; Feng, Yuxia; Cheng, Jianpeng; Zhang, Jie; Hu, Anqi; Song, Chunyan; Wu, Shan; Shen, Jianfei; Tang, Jun; Tao, Chun; Pan, Yaobo; Wang, Xinqiang; Shen, Bo

    2017-04-01

    By using in-situ NH3 pulse flow cleaning method, we have achieved the repeated growth of high quality and uniformity GaN and AlGaN/GaN high electron mobility transistors (HEMTs) on 150 mm Si substrate. The two dimensional electron gas (2DEG) mobility is 2200 cm2/Vs with an electron density of 7.3 × 1012 cm-2. The sheet resistance is 305 ± 4 Ω/□ with ±1.3% variation. The achievement is attributed to the fact that this method can significantly remove the Al, Ga, etc. metal droplets coating on the post growth flow flange and reactor wall which are difficult to clean by normal bake process under H2 ambient.

  17. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  18. Injected carrier concentration dependence of the expansion of single Shockley-type stacking faults in 4H-SiC PiN diodes

    NASA Astrophysics Data System (ADS)

    Tawara, T.; Matsunaga, S.; Fujimoto, T.; Ryo, M.; Miyazato, M.; Miyazawa, T.; Takenaka, K.; Miyajima, M.; Otsuki, A.; Yonezawa, Y.; Kato, T.; Okumura, H.; Kimoto, T.; Tsuchida, H.

    2018-01-01

    We investigated the relationship between the dislocation velocity and the injected carrier concentration on the expansion of single Shockley-type stacking faults by monitoring the electroluminescence from 4H-SiC PiN diodes with various anode Al concentrations. The injected carrier concentration was calculated using a device simulation that took into account the measured accumulated charge in the drift layer during diode turn-off. The dislocation velocity was strongly dependent on the injected hole concentration, which represents the excess carrier concentration. The activation energy of the dislocation velocity was quite small (below 0.001 eV between 310 and 386 K) over a fixed range of hole concentrations. The average threshold hole concentration required for the expansion of bar-shaped single Shockley-type stacking faults at the interface between the buffer layer and the substrate was determined to be 1.6-2.5 × 1016 cm-3 for diodes with a p-type epitaxial anode with various Al concentrations.

  19. Fabrication of Multilayer-Type Mn-Si Thermoelectric Device

    NASA Astrophysics Data System (ADS)

    Kajitani, T.; Ueno, T.; Miyazaki, Y.; Hayashi, K.; Fujiwara, T.; Ihara, R.; Nakamura, T.; Takakura, M.

    2014-06-01

    This research aims to develop a direct-contact manganese silicon p/ n multilayer-type thermoelectric power generation block. p-type MnSi1.74 and n-type Mn0.7Fe0.3Si1.68 ball-milled powders with diameter of about 10 μm or less were mixed with polyvinyl butyl alcohol diluted with methylbenzene at pigment volume concentration of approximately 70%. The doctor-blade method produced 45- μm-thick p- and n-type pigment plates. The insulator, i.e., powdered glass, was mixed with cellulose to form insulator slurry. Lamination of manganese silicide pigment layers and screen-printed insulator layers was carried out to fabricate multilayer direct-contact thermoelectric devices. Hot pressing and spark plasma sintering were carried out at 450°C and 900°C, respectively. Four to 30 thermoelectric (TE) p/ n pairs were fabricated in a 10 mm × 10 mm × 10 mm sintered TE block. The maximum output was 11.7 mW/cm2 at a temperature difference between 20°C and 700°C, which was about 1/85 of the ideal power generation estimated from the thermoelectric data of the bulk MnSi1.74 and Mn0.7Fe0.3Si1.68 materials. A power generation test using an engine test bench was also carried out.

  20. XPS study of graphene oxide reduction induced by (100) and (111)-oriented Si substrates

    NASA Astrophysics Data System (ADS)

    Priante, F.; Salim, M.; Ottaviano, L.; Perrozzi, F.

    2018-02-01

    The reduction of graphene oxide (GO) has been extensively studied in literature in order to let GO partially recover the properties of graphene. Most of the techniques proposed to reduce GO are based on high temperature annealing or chemical reduction. A new procedure, based on the direct reduction of GO by etched Si substrate, was recently proposed in literature. In the present work, we accurately investigated the Si-GO interaction with x-ray photoelectron spectroscopy. In order to avoid external substrate oxidation factors we used EtOH as the GO solvent instead of water, and thermal annealing was carried out in UHV. We investigated the effect of Si(100), Si(111) and Au substrates on GO, to probe the role played by both the substrate composition and substrate orientation during the reduction process. A similar degree of GO reduction was observed for all samples but only after thermal annealing, ruling out the direct reduction effect of the substrate.

  1. Growth of strained Si/relaxed SiGe heterostructures on Si(110) substrates using solid-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Arimoto, Keisuke; Nakazawa, Hiroki; Mitsui, Shohei; Utsuyama, Naoto; Yamanaka, Junji; Hara, Kosuke O.; Usami, Noritaka; Nakagawa, Kiyokazu

    2017-11-01

    A strained Si/relaxed SiGe heterostructure grown on Si(110) substrate is attractive as a platform for high-hole-mobility Si-based electronic devices. To improve the electrical property, a smoother surface is desirable. In this study, we investigated surface morphology and microstructural aspects of strained Si/relaxed SiGe/Si(110) heterostructures grown by solid-source (SS) molecular beam epitaxy (MBE). It was revealed that SSMBE provides a way to grow strained Si/relaxed SiGe heterostructures with smooth surfaces. In addition, it was found that the strain in the SiGe layer of the SSMBE-grown sample is highly anisotropic whereas that of the GSMBE-grown sample is almost biaxially relaxed. Along with the surface morphology, the symmetry in degree of strain relaxation has implications for the electrical property. Results of a calculation shows that anisotropic strain is preferable for device application since it confines holes solely in the strained Si layer where hole mobility is enhanced.

  2. Spectroscopic Ellipsometry Studies of Thin Film a-Si:H Solar Cell Fabrication by Multichamber Deposition in the n-i-p Substrate Configuration

    NASA Astrophysics Data System (ADS)

    Dahal, Lila Raj

    Real time spectroscopic ellipsometry (RTSE), and ex-situ mapping spectroscopic ellipsometry (SE) are powerful characterization techniques capable of performance optimization and scale-up evaluation of thin film solar cells used in various photovoltaics technologies. These non-invasive optical probes employ multichannel spectral detection for high speed and provide high precision parameters that describe (i) thin film structure, such as layer thicknesses, and (ii) thin film optical properties, such as oscillator variables in analytical expressions for the complex dielectric function. These parameters are critical for evaluating the electronic performance of materials in thin film solar cells and also can be used as inputs for simulating their multilayer optical performance. In this Thesis, the component layers of thin film hydrogenated silicon (Si:H) solar cells in the n-i-p or substrate configuration on rigid and flexible substrate materials have been studied by RTSE and ex-situ mapping SE. Depositions were performed by magnetron sputtering for the metal and transparent conducting oxide contacts and by plasma enhanced chemical vapor deposition (PECVD) for the semiconductor doped contacts and intrinsic absorber layers. The motivations are first to optimize the thin film Si:H solar cell in n-i-p substrate configuration for single-junction small-area dot cells and ultimately to scale-up the optimized process to larger areas with minimum loss in device performance. Deposition phase diagrams for both i- and p -layers on 2" x 2" rigid borosilicate glass substrate were developed as functions of the hydrogen-to-silane flow ratio in PECVD. These phase diagrams were correlated with the performance parameters of the corresponding solar cells, fabricated in the Cr/Ag/ZnO/n/i/ p/ITO structure. In both cases, optimization was achieved when the layers were deposited in the protocrystalline phase. Identical solar cell structures were fabricated on 6" x 6" borosilicate glass with

  3. Photochemical grafting of methyl groups on a Si(111) surface using a Grignard reagent.

    PubMed

    Herrera, Marvin Ustaris; Ichii, Takashi; Murase, Kuniaki; Sugimura, Hiroyuki

    2013-12-01

    The photochemical grafting of methyl groups onto an n-type Si(111) substrate was successfully achieved using a Grignard reagent. The preparation involved illuminating a hydrogen-terminated Si(111) that was immersed in a CH3MgBr-THF solution. The success was attributed to the ability of the n-type hydrogenated substrate to produce holes on its surface when illuminated. The rate of grafting methyl groups onto the silicon surface was higher when a larger illumination intensity or when a substrate with lower dopant concentration was used. In addition, the methylated layer has an atomically flat structure, has a hydrophobic surface, and has electron affinity that was lower than the bulk Si. Copyright © 2013 Elsevier Inc. All rights reserved.

  4. Proposal of a neutron transmutation doping facility for n-type spherical silicon solar cell at high-temperature engineering test reactor.

    PubMed

    Ho, Hai Quan; Honda, Yuki; Motoyama, Mizuki; Hamamoto, Shimpei; Ishii, Toshiaki; Ishitsuka, Etsuo

    2018-05-01

    The p-type spherical silicon solar cell is a candidate for future solar energy with low fabrication cost, however, its conversion efficiency is only about 10%. The conversion efficiency of a silicon solar cell can be increased by using n-type silicon semiconductor as a substrate. This study proposed a new method of neutron transmutation doping silicon (NTD-Si) for producing the n-type spherical solar cell, in which the Si-particles are irradiated directly instead of the cylinder Si-ingot as in the conventional NTD-Si. By using a 'screw', an identical resistivity could be achieved for the Si-particles without a complicated procedure as in the NTD with Si-ingot. Also, the reactivity and neutron flux swing could be kept to a minimum because of the continuous irradiation of the Si-particles. A high temperature engineering test reactor (HTTR), which is located in Japan, was used as a reference reactor in this study. Neutronic calculations showed that the HTTR has a capability to produce about 40t/EFPY of 10Ωcm resistivity Si-particles for fabrication of the n-type spherical solar cell. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. Boric acid solution concentration influencing p-type emitter formation in n-type crystalline Si solar cells

    NASA Astrophysics Data System (ADS)

    Singha, Bandana; Singh Solanki, Chetan

    2016-09-01

    Boric acid (BA) is a spin on dopant (BSoD) source which is used to form p+ emitters in n-type c-Si solar cells. High purity boric acid powder (99.99% pure) when mixed with deionized (DI) water can result in high quality p-type emitter with less amount of surface defects. In this work, we have used different concentrations of boric acid solution concentrations to fabricate p-type emitters with sheet resistance values < 90 Ω/□. The corresponding junction depths for the same are less than 500 nm as measured by SIMS analysis. Boron rich layer (BRL), which is considered as detrimental in emitter performance is found to be minimal for BA solution concentration less than 2% and hence useful for p-type emitter formation.

  6. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    NASA Astrophysics Data System (ADS)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  7. Physical mechanisms of SiN{sub x} layer structuring with ultrafast lasers by direct and confined laser ablation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rapp, S., E-mail: rapp@hm.edu; Erlangen Graduate School in Advanced Optical Technologies; Heinrich, G.

    2015-03-14

    In the production process of silicon microelectronic devices and high efficiency silicon solar cells, local contact openings in thin dielectric layers are required. Instead of photolithography, these openings can be selectively structured with ultra-short laser pulses by confined laser ablation in a fast and efficient lift off production step. Thereby, the ultrafast laser pulse is transmitted by the dielectric layer and absorbed at the substrate surface leading to a selective layer removal in the nanosecond time domain. Thermal damage in the substrate due to absorption is an unwanted side effect. The aim of this work is to obtain a deepermore » understanding of the physical laser-material interaction with the goal of finding a damage-free ablation mechanism. For this, thin silicon nitride (SiN{sub x}) layers on planar silicon (Si) wafers are processed with infrared fs-laser pulses. Two ablation types can be distinguished: The known confined ablation at fluences below 300 mJ/cm{sup 2} and a combined partial confined and partial direct ablation at higher fluences. The partial direct ablation process is caused by nonlinear absorption in the SiN{sub x} layer in the center of the applied Gaussian shaped laser pulses. Pump-probe investigations of the central area show ultra-fast reflectivity changes typical for direct laser ablation. Transmission electron microscopy results demonstrate that the Si surface under the remaining SiN{sub x} island is not damaged by the laser ablation process. At optimized process parameters, the method of direct laser ablation could be a good candidate for damage-free selective structuring of dielectric layers on absorbing substrates.« less

  8. Strong Eu2+ light emission in Eu silicate through Eu3+ reduction in Eu2O3/Si multilayer deposited on Si substrates

    PubMed Central

    2013-01-01

    Eu2O3/Si multilayer nanostructured films are deposited on Si substrates by magnetron sputtering. Transmission electron microscopy and X-ray diffraction measurements demonstrate that multicrystalline Eu silicate is homogeneously distributed in the film after high-temperature treatment in N2. The Eu2+ silicate is formed by the reaction of Eu2O3 and Si layers, showing an intense and broad room-temperature photoluminescence peak centered at 610 nm. It is found that the Si layer thickness in nanostructures has great influence on Eu ion optical behavior by forming different Eu silicate crystalline phases. These findings open a promising way to prepare efficient Eu2+ materials for photonic application. PMID:23618344

  9. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  10. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  11. Structural and rectifying junction properties of self-assembled ZnO nanoparticles in polystyrene diblock copolymers on (1 0 0)Si substrates

    NASA Astrophysics Data System (ADS)

    Ali, H. A.; Iliadis, A. A.; Martinez-Miranda, L. J.; Lee, U.

    2006-06-01

    The structural and electronic transport properties of self-assembled ZnO nanoparticles in polystyrene-acrylic acid, [PS] m/[PAA] n, diblock copolymer on p-type (1 0 0)Si substrates are reported for the first time. Four different block repeat unit ratios ( m/ n) of 159/63, 139/17,106/17, and 106/4, were examined in order to correlate the physical parameters (size, density) of the nanoparticles with the copolymer block lengths m and n. We established that the self-assembled ZnO nanoparticle average size increased linearly with minority block length n, while the average density decreased exponentially with majority block length m. Average size varied from 20 nm to 250 nm and average density from 3.5 × 10 7 cm -2 to 1 × 10 10 cm -2, depending on copolymer parameters. X-ray diffraction studies showed the particles to have a wurtzite crystal structure with the (1 0 0) being the dominant orientation. Room temperature current-voltage characteristics measured for an Al/ZnO-nanocomposite/Si structure exhibited rectifying junction properties and indicated the formation of Al/ZnO-nanocomposite Schottky type junction with a barrier height of 0.7 V.

  12. Opto-electronic properties of P-doped nc-Si-QD/a-SiC:H thin films as foundation layer for all-Si solar cells in superstrate configuration

    NASA Astrophysics Data System (ADS)

    Kar, Debjit; Das, Debajyoti

    2016-07-01

    With the advent of nc-Si solar cells having improved stability, the efficient growth of nc-Si i-layer of the top cell of an efficient all-Si solar cell in the superstrate configuration prefers nc-Si n-layer as its substrate. Accordingly, a wide band gap and high conducting nc-Si alloy material is a basic requirement at the n-layer. Present investigation deals with the development of phosphorous doped n-type nanocrystalline silicon quantum dots embedded in hydrogenated amorphous silicon carbide (nc-Si-QD/a-SiC:H) hetero-structure films, wherein the optical band gap can be widened by the presence of Si-C bonds in the amorphous matrix and the embedded high density tiny nc-Si-QDs could provide high electrical conductivity, particularly in P-doped condition. The nc-Si-QDs simultaneously facilitate further widening of the optical band gap by virtue of the associated quantum confinement effect. A complete investigation has been made on the electrical transport phenomena involving charge transfer by tunneling and thermionic emission prevailing in n-type nc-Si-QD/a-SiC:H thin films. Their correlation with different phases of the specific heterostructure has been carried out for detailed understanding of the material, in order to improve its device applicability. The n-type nc-Si-QD/a-SiC:H films exhibit a thermally activated electrical transport above room temperature and multi-phonon hopping (MPH) below room temperature, involving defects in the amorphous phase and the grain-boundary region. The n-type nc-Si-QD/a-SiC:H films grown at ˜300 °C, demonstrating wide optical gap ˜1.86-1.96 eV and corresponding high electrical conductivity ˜4.5 × 10-1-1.4 × 10-2 S cm-1, deserve to be an effective foundation layer for the top nc-Si sub-cell of all-Si solar cells in n-i-p structure with superstrate configuration.

  13. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  14. Photovoltaic devices based on high density boron-doped single-walled carbon nanotube/n-Si heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saini, Viney; Li, Zhongrui; Bourdo, Shawn

    2011-01-13

    A simple and easily processible photovoltaic device has been developed based on borondoped single-walled carbon nanotubes (B-SWNTs) and n-type silicon (n-Si) heterojunctions. The single-walled carbon nanotubes (SWNTs) were substitutionally doped with boron atoms by thermal annealing, in the presence of B 2O 3. The samples used for these studies were characterized by Raman spectroscopy, thermal gravimetric analysis (TGA), transmission electron microscopy (TEM), and x-ray photoelectron spectroscopy (XPS). The fully functional solar cell devices were fabricated by airbrush deposition that generated uniform B-SWNT films on top of the n-Si substrates. The carbon nanotube films acted as exciton-generation sites, charge collection andmore » transportation, while the heterojunctions formed between B-SWNTs and n-Si acted as charge dissociation centers. The current-voltage characteristics in the absence of light and under illumination, as well as optical transmittance spectrum are reported here. It should be noted that the device fabrication process can be made amenable to scalability by depositing direct and uniform films using airbrushing, inkjet printing, or spin-coating techniques.« less

  15. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  16. Enhancement of p-type conductivity by modifying the internal electric field in Mg- and Si-δ-codoped AlxGa1-xN/AlyGa1-yN superlattices

    NASA Astrophysics Data System (ADS)

    Li, Jinchai; Yang, Weihuang; Li, Shuping; Chen, Hangyang; Liu, Dayi; Kang, Junyong

    2009-10-01

    The internal electric field is modified by using Mg- and Si-δ-codoped AlxGa1-xN/AlyGa1-yN superlattices (SLs). The first-principles simulation results show that the internal electric field in SL has been significantly intensified due to the charge transferring from Si-doped interface to Mg-doped interface. Accordingly, the Mg- and Si-δ-codoped p-type Al0.2Ga0.8N/GaN SLs are grown by metalorganic vapor phase epitaxy and higher hole concentration as much as twice of that in modulation-doped SL has been achieved, as determined by Hall effect measurements. Furthermore, by applying Mg- and Si-δ-codoped AlxGa1-xN/AlyGa1-yN SLs with high Al content as the p-type layers, we have fabricated deep ultraviolet light emitting diodes with superior current-voltage characteristics by lowering Mg-acceptor activation energy.

  17. Chemical-free n-type and p-type multilayer-graphene transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dissanayake, D. M. N. M., E-mail: nandithad@voxtel-inc.com; Eisaman, M. D.; Department of Electrical and Computer Engineering, Stony Brook University, Stony Brook, New York 11794

    A single-step doping method to fabricate n- and p-type multilayer graphene (MG) top-gate field effect transistors (GFETs) is demonstrated. The transistors are fabricated on soda-lime glass substrates, with the n-type doping of MG caused by the sodium in the substrate without the addition of external chemicals. Placing a hydrogen silsesquioxane (HSQ) barrier layer between the MG and the substrate blocks the n-doping, resulting in p-type doping of the MG above regions patterned with HSQ. The HSQ is deposited in a single fabrication step using electron beam lithography, allowing the patterning of arbitrary sub-micron spatial patterns of n- and p-type doping.more » When a MG channel is deposited partially on the barrier and partially on the glass substrate, a p-type and n-type doping profile is created, which is used for fabricating complementary transistors pairs. Unlike chemically doped GFETs in which the external dopants are typically introduced from the top, these substrate doped GFETs allow for a top gate which gives a stronger electrostatic coupling to the channel, reducing the operating gate bias. Overall, this method enables scalable fabrication of n- and p-type complementary top-gated GFETs with high spatial resolution for graphene microelectronic applications.« less

  18. Strain Relaxation in Si{sub 1-x}Ge{sub x} Thin Films on Si(100) Substrates: Modeling and Comparisons with Experiments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolluri, K; Zepeda-Ruiz, L A; Murthy, C S

    2005-03-22

    Strained semiconductor thin films grown epitaxially on semiconductor substrates of different composition, such as Si{sub 1-x}Ge{sub x}/Si, are becoming increasingly important in modern microelectronic technologies. In this paper, we report a hierarchical computational approach for analysis of dislocation formation, glide motion, multiplication, and annihilation in Si{sub 1-x}Ge{sub x} epitaxial thin films on Si substrates. Specifically, a condition is developed for determining the critical film thickness with respect to misfit dislocation generation as a function of overall film composition, film compositional grading, and (compliant) substrate thickness. In addition, the kinetics of strain relaxation in the epitaxial film during growth or thermalmore » annealing (including post-implantation annealing) is analyzed using a properly parameterized dislocation mean-field theoretical model, which describes plastic deformation dynamics due to threading dislocation propagation. The theoretical results for Si{sub 1-x}Ge{sub x} epitaxial thin films grown on Si (100) substrates are compared with experimental measurements and are used to discuss film growth and thermal processing protocols toward optimizing the mechanical response of the epitaxial film.« less

  19. Electrical transport characterization of PEDOT:PSS/n-Si Schottky diodes and their applications in solar cells.

    PubMed

    Khurelbaatar, Zagarzusem; Hyung, Jung-Hwan; Kim, Gil-Sung; Park, No-Won; Shim, Kyu-Hwan; Lee, Sang-Kwon

    2014-06-01

    We demonstrate locally contacted PEDOT:PSS Schottky diodes with excellent rectifying behavior, fabricated on n-type Si substrates using a spin-coating process and a reactive-ion etching process. Electrical transport characterizations of these Schottky diodes were investigated by both current-voltage (I-V) and capacitance-voltage (C-V) measurements. We found that these devices exhibit excellent modulation in the current with an on/off ratio of - 10(6). Schottky junction solar cells composed of PEDOT:PSS and n-Si structures were also examined. From the current density-voltage (J-V) measurement of a solar cell under illumination, the short circuit current (I(sc)), open circuit voltage (V(oc)), and conversion efficiency (eta) were - 19.7 mA/cm2, - 578.5 mV, and - 6.5%, respectively. The simple and low-cost fabrication process of the PEDOT:PSS/n-Si Schottky junctions makes them a promising candidate for further high performance solar cell applications.

  20. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    PubMed

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  1. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  2. Possibilities for LWIR detectors using MBE-grown Si(/Si(1-x)Ge(x) structures

    NASA Technical Reports Server (NTRS)

    Hauenstein, Robert J.; Miles, Richard H.; Young, Mary H.

    1990-01-01

    Traditionally, long wavelength infrared (LWIR) detection in Si-based structures has involved either extrinsic Si or Si/metal Schottky barrier devices. Molecular beam epitaxially (MBE) grown Si and Si/Si(1-x)Ge(x) heterostructures offer new possibilities for LWIR detection, including sensors based on intersubband transitions as well as improved conventional devices. The improvement in doping profile control of MBE in comparison with conventional chemical vapor deposited (CVD) Si films has resulted in the successful growth of extrinsic Si:Ga, blocked impurity-band conduction detectors. These structures exhibit a highly abrupt step change in dopant profile between detecting and blocking layers which is extremely difficult or impossible to achieve through conventional epitaxial growth techniques. Through alloying Si with Ge, Schottky barrier infrared detectors are possible, with barrier height values between those involving pure Si or Ge semiconducting materials alone. For both n-type and p-type structures, strain effects can split the band edges, thereby splitting the Schottky threshold and altering the spectral response. Measurements of photoresponse of n-type Au/Si(1-x)Ge(x) Schottky barriers demonstrate this effect. For intersubband multiquntum well (MQW) LWIR detection, Si(1-x)Ge(x)/Si detectors grown on Si substrates promise comparable absorption coefficients to that of the Ga(Al)As system while in addition offering the fundamental advantage of response to normally incident light as well as the practical advantage of Si-compatibility. Researchers grew Si(1-x)Ge(x)/Si MQW structures aimed at sensitivity to IR in the 8 to 12 micron region and longer, guided by recent theoretical work. Preliminary measurements of n- and p-type Si(1-x)Ge(x)/Si MQW structures are given.

  3. Phase separation within NiSiN coatings during reactive HiPIMS discharges: A new pathway to grow NixSi nanocrystals composites at low temperature

    NASA Astrophysics Data System (ADS)

    Keraudy, J.; Boyd, R. D.; Shimizu, T.; Helmersson, U.; Jouan, P.-Y.

    2018-10-01

    The precise control of the growth nanostructured thin films at low temperature is critical for the continued development of microelectronic enabled devices. In this study, nanocomposite Ni-Si-N thin films were deposited at low temperature by reactive high-power impulse magnetron sputtering. A composite Ni-Si target (15 at.% Si) in combination with a Ar/N2 plasma were used to deposit films onto Si(0 0 1) substrates, without any additional substrate heating or any post-annealing. The films microstructure changes from a polycrystalline to nanocomposite structure when the nitrogen content exceeds 16 at.%. X-ray diffraction and (scanning) transmission electron microscopy analyses reveal that the microstructure consists of nanocrystals, NixSi (x > 1) 7-8 nm in size, embedded in an amorphous SiNx matrix. It is proposed that this nanostructure is formed at low temperatures due to the repeated-nucleation of NixSi nanocrystals, the growth of which is restricted by the formation of the SiNx phase. X-ray photoelectron spectroscopy revealed the trace presence of a ternary solid solution mainly induced by the diffusion of Ni into the SiNx matrix. Four-probe electrical measurements reveal all the deposited films are electrically conducting.

  4. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  5. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    NASA Astrophysics Data System (ADS)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  6. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    PubMed

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  7. Formation of crystalline heteroepitaxial SiC films on Si by carbonization of polyimide Langmuir-Blodgett films

    NASA Astrophysics Data System (ADS)

    Luchinin, Viktor V.; Goloudina, Svetlana I.; Pasyuta, Vyacheslav M.; Panov, Mikhail F.; Smirnov, Alexander N.; Kirilenko, Demid A.; Semenova, Tatyana F.; Sklizkova, Valentina P.; Gofman, Iosif V.; Svetlichnyi, Valentin M.; Kudryavtsev, Vladislav V.

    2017-06-01

    High-quality crystalline nano-thin SiC films on Si substrates were prepared by carbonization of polyimide (PI) Langmuir-Blodgett (LB) films. The obtained films were characterized by Fourier transform-infrared (FTIR) spectroscopy, X-ray diffraction (XRD) analysis, Raman spectroscopy, transmission electon microscopy (TEM), transmission electron diffraction (TED), and scanning electron microscopy (SEM). We demonstrated that the carbonization of a PI film on a Si substrate at 1000 °C leads to the formation of a carbon film and SiC nanocrystals on the Si substrate. It was found that five planes in the 3C-SiC(111) film are aligned with four Si(111) planes. As a result of repeated annealing of PI films containing 121 layers at 1200 °C crystalline SiC films were formed on the Si substrate. It was shown that the SiC films (35 nm) grown on Si(111) at 1200 °C have a mainly cubic 3C-SiC structure with small amount of hexagonal polytypes. Only 3C-SiC films (30 nm) were formed on the Si(100) substrate at the same temperature. It was shown that the SiC films (30-35 nm) can cover the voids with size up to 10 µm in the Si substrate. The current-voltage (I-V) characteristics of the n-Si/n-SiC heterostructure were obtained by conductive atomic force microscopy.

  8. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mirkarimi, Paul B.; Bajt, Sasa; Wall, Mark A.

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decreasemore » more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar. (c) 2000 Optical Society of America.« less

  9. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography.

    PubMed

    Mirkarimi, P B; Bajt, S; Wall, M A

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.

  10. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    NASA Astrophysics Data System (ADS)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  11. CVD of SiC and AlN using cyclic organometallic precursors

    NASA Technical Reports Server (NTRS)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  12. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  13. Propagation of misfit dislocations from buffer/Si interface into Si

    DOEpatents

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  14. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  15. Fabrication and electrical properties of p-CuAlO2/(n-, p-)Si heterojunctions

    NASA Astrophysics Data System (ADS)

    Suzhen, Wu; Zanhong, Deng; Weiwei, Dong; Jingzhen, Shao; Xiaodong, Fang

    2014-04-01

    CuAlO2 thin films have been prepared by the chemical solution deposition method on both n-Si and p-Si substrates. X-ray diffraction analysis indicates that the obtained CuAlO2 films have a single delafossite structure. The current transport properties of the resultant p-CuAlO2/n-Si and p-CuAlO2/p-Si heterojunctions are investigated by current-voltage measurements. The p-CuAlO2/n-Si has a rectifying ratio of ~35 within the applied voltages of -3.0 to +3.0 V, while the p-CuAlO2/p-Si shows Schottky diode-like characteristics, dominated in forward bias by the flow of space-charge-limited current.

  16. Electronic properties and morphology of copper oxide/n-type silicon heterostructures

    NASA Astrophysics Data System (ADS)

    Lindberg, P. F.; Gorantla, S. M.; Gunnæs, A. E.; Svensson, B. G.; Monakhov, E. V.

    2017-08-01

    Silicon-based tandem heterojunction solar cells utilizing cuprous oxide (Cu2O) as the top absorber layer show promise for high-efficiency conversion and low production cost. In the present study, single phase Cu2O films have been realized on n-type Si substrates by reactive magnetron sputtering at 400 °C. The obtained Cu2O/Si heterostructures have subsequently been heat treated at temperatures in the 400-700 °C range in Ar flow and extensively characterized by x-ray diffraction (XRD) measurements, transmission electron microscopy (TEM) imaging and electrical techniques. The Cu2O/Si heterojunction exhibits a current rectification of ~5 orders of magnitude between forward and reverse bias voltages. High resolution cross-sectional TEM-images show the presence of a ~2 nm thick interfacial SiO2 layer between Cu2O and the Si substrate. Heat treatments below 550 °C result in gradual improvement of crystallinity, indicated by XRD. At and above 550 °C, partial phase transition to cupric oxide (CuO) occurs followed by a complete transition at 700 °C. No increase or decrease of the SiO2 layer is observed after the heat treatment at 550 °C. Finally, a thin Cu-silicide layer (Cu3Si) emerges below the SiO2 layer upon annealing at 550 °C. This silicide layer influences the lateral current and voltage distributions, as evidenced by an increasing effective area of the heterojunction diodes.

  17. Careful stoichiometry monitoring and doping control during the tunneling interface growth of an n + InAs(Si)/p + GaSb(Si) Esaki diode

    NASA Astrophysics Data System (ADS)

    El Kazzi, S.; Alian, A.; Hsu, B.; Verhulst, A. S.; Walke, A.; Favia, P.; Douhard, B.; Lu, W.; del Alamo, J. A.; Collaert, N.; Merckling, C.

    2018-02-01

    In this work, we report on the growth of pseudomorphic and highly doped InAs(Si)/GaSb(Si) heterostructures on p-type (0 0 1)-oriented GaSb substrate and the fabrication and characterization of n+/p+ Esaki tunneling diodes. We particularly study the influence of the Molecular Beam Epitaxy shutter sequences on the structural and electrical characteristics of InAs(Si)/GaSb(Si) Esaki diodes structures. We use real time Reflection High Electron Diffraction analysis to monitor different interface stoichiometry at the tunneling interface. With Atomic Force Microscopy, X-ray diffraction and Transmission Electron Microscopy analyses, we demonstrate that an "InSb-like" interface leads to a sharp and defect-free interface exhibiting high quality InAs(Si) crystal growth contrary to the "GaAs-like" one. We then prove by means of Secondary Ion Mass Spectroscopy profiles that Si-diffusion at the interface allows the growth of highly Si-doped InAs/GaSb diodes without any III-V material deterioration. Finally, simulations are conducted to explain our electrical results where a high Band to Band Tunneling (BTBT) peak current density of Jp = 8 mA/μm2 is achieved.

  18. Characterization of Thermal Oxides on 4H-SiC Epitaxial Substrates Using Fourier-Transform Infrared Spectroscopy.

    PubMed

    Seki, Hirofumi; Yoshikawa, Masanobu; Kobayashi, Takuma; Kimoto, Tsunenobu; Ozaki, Yukihiro

    2017-05-01

    Fourier transform infrared (FT-IR) spectra were measured for thermal oxides with different electrical properties grown on 4H-SiC substrates. The peak frequency of the transverse optical (TO) phonon mode was blue-shifted by 5 cm -1 as the oxide-layer thickness decreased to 3 nm. The blue shift of the TO mode indicates interfacial compressive stress in the oxide. Comparison of data for the oxide on a SiC substrate with that for similar oxides on a Si substrate implies that the peak shift of the TO mode at the SiO 2 /SiC interface is larger than that of SiO 2 /Si, which suggests that the interfacial stress for the oxide on the SiC substrate is larger than that on the Si substrate. For the SiO 2 /SiC interfacial region (<3 nm oxide thickness), despite the fact that the blue shift of the TO modes becomes larger while approaching the oxide/SiC interface, the peak frequency of the TO modes red-shifts at the oxide/SiC interface. The peak-frequency shift of the TO mode for the sample without post-oxidation annealing was larger than that for the samples post-annealed in a nitric oxide atmosphere. The channel mobilities are correlated with the degree of shift of the TO mode when the oxide thickness is <3 nm. It appears that the compressive stress at the SiO 2 /SiC interface generates silicon suboxide components and weakens the Si-O bonds. As the result, the TO mode was red-shifted and the oxygen deficiency increased to relax the compressive stress in the oxide with <3 nm thickness. Fourier transform infrared spectroscopy measurements provide unique and useful information about stress and inhomogeneity at the oxide/SiC interface.

  19. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  20. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  1. Investigation of buffer traps in AlGaN/GaN-on-Si devices by thermally stimulated current spectroscopy and back-gating measurement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shu; Zhou, Chunhua; Jiang, Qimeng

    2014-01-06

    Thermally stimulated current (TSC) spectroscopy and high-voltage back-gating measurement are utilized to study GaN buffer traps specific to AlGaN/GaN lateral heterojunction structures grown on a low-resistivity Si substrate. Three dominating deep-level traps in GaN buffer with activation energies of ΔE{sub T1} ∼ 0.54 eV, ΔE{sub T2} ∼ 0.65 eV, and ΔE{sub T3} ∼ 0.75 eV are extracted from TSC spectroscopy in a vertical GaN-on-Si structure. High back-gate bias applied to the Si substrate could influence the drain current in an AlGaN/GaN-on-Si high-electron-mobility transistor in a way that cannot be explained with a simple field-effect model. By correlating the trap states identified in TSC with the back-gating measurement results, itmore » is proposed that the ionization/deionization of both donor and acceptor traps are responsible for the generation of buffer space charges, which impose additional modulation to the 2DEG channel.« less

  2. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  3. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  4. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  5. Interface thermal resistance of nanostructured FeCoCu film and Si substrate

    NASA Astrophysics Data System (ADS)

    Nikolaenko, Yuri M.; Medvedev, Yuri V.; Genenko, Yuri A.; Ghafari, Mohammad; Hahn, Horst

    2006-05-01

    Results of measurement of thermal resistance (RFS ) of film substrate interface of 10 nm (Fe1-x Cox )1-y Cuy film on Si substrate with 50 nm SiO2 sublayer are presented. The estimated magnitude is two orders greater then RFS of epitaxial manganite films on StTiO3 substrate with and without sublayer. The significant increase of RFS is explained by granular structure of film with average size of grain about 10 nm. In this case the additional thermal barier in the film-substrate interface is appeared. It provides the change of regime of phonons propagation from ballistic to diffusion one. The principle possibility of variation of RFS in wide range as a task of nanotechnology is discussed.

  6. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  7. A conduction model for contacts to Si-doped AlGaN grown on sapphire and single-crystalline AlN

    NASA Astrophysics Data System (ADS)

    Haidet, Brian B.; Bryan, Isaac; Reddy, Pramod; Bryan, Zachary; Collazo, Ramón; Sitar, Zlatko

    2015-06-01

    Ohmic contacts to AlGaN grown on sapphire substrates have been previously demonstrated for various compositions of AlGaN, but contacts to AlGaN grown on native AlN substrates are more difficult to obtain. In this paper, a model is developed that describes current flow through contacts to Si-doped AlGaN. This model treats the current through reverse-biased Schottky barriers as a consequence of two different tunneling-dependent conduction mechanisms in parallel, i.e., Fowler-Nordheim emission and defect-assisted Frenkel-Poole emission. At low bias, the defect-assisted tunneling dominates, but as the potential across the depletion region increases, tunneling begins to occur without the assistance of defects, and the Fowler-Nordheim emission becomes the dominant conduction mechanism. Transfer length method measurements and temperature-dependent current-voltage (I-V) measurements of Ti/Al-based contacts to Si-doped AlGaN grown on sapphire and AlN substrates support this model. Defect-assisted tunneling plays a much larger role in the contacts to AlGaN on sapphire, resulting in nearly linear I-V characteristics. In contrast, contacts to AlGaN on AlN show limited defect-assisted tunneling appear to be only semi-Ohmic.

  8. Membrane distributed-reflector laser integrated with SiOx-based spot-size converter on Si substrate.

    PubMed

    Nishi, Hidetaka; Fujii, Takuro; Takeda, Koji; Hasebe, Koichi; Kakitsuka, Takaaki; Tsuchizawa, Tai; Yamamoto, Tsuyoshi; Yamada, Koji; Matsuo, Shinji

    2016-08-08

    We demonstrate monolithic integration of a 50-μm-long-cavity membrane distributed-reflector laser with a spot-size converter, consisting of a tapered InP wire waveguide and an SiOx waveguide, on SiO2/Si substrate. The device exhibits 9.4-GHz/mA0.5 modulation efficiency with a 2.2-dB fiber coupling loss. We demonstrate 25.8-Gbit/s direct modulation with a bias current of 2.5 mA, resulting in a low energy cost of 132 fJ/bit.

  9. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Han, E-mail: Dabombyh@aliyun.com; Yu, Zhongyuan

    2014-11-15

    Patterning pit on Si(001) substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in (105) pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP) in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facetsmore » for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.« less

  10. Synthesis and characterization of InN nanocrystals on glass substrate by plasma assisted reactive evaporation

    NASA Astrophysics Data System (ADS)

    Ganesh, V.; Alizadeh, M.; Shuhaimi, A.; Sundaram, S.; Hakim, K. M.; Goh, B. T.; Rahman, S. A.

    2017-07-01

    InN nanocrystals were grown on glass substrate by plasma assisted reactive evaporation technique and the quality was compared with InN on Si (111) substrate. Single phase InN was confirmed by X-ray diffraction and micro Raman analysis on both the substrates. Agglomerated and Hexagonal faceting nanocrystals observed by field emission scanning electron microscopy. Energy dispersive X-ray analysis shows InN nanocrystals are nearly stochiometric. Photoluminescence reveals a broad emission near bandedge at 2 .04 eV and defect band at 1.07 eV. The Hall measurement on both the substrates reveals high electron carrier concentration. These encouraging results obtained suggested that high quality single crystalline InN can be obtained on glass substrate further optimizing the growth parameters. This novel growth of InN nanocrystals on glass substrate is an important step towards the development of monolithic, high efficiency low-cost InGaN-based renewable energy sources.

  11. Fabrication of Si heterojunction solar cells using P-doped Si nanocrystals embedded in SiNx films as emitters

    PubMed Central

    2013-01-01

    Si heterojunction solar cells were fabricated on p-type single-crystal Si (sc-Si) substrates using phosphorus-doped Si nanocrystals (Si-NCs) embedded in SiNx (Si-NCs/SiNx) films as emitters. The Si-NCs were formed by post-annealing of silicon-rich silicon nitride films deposited by electron cyclotron resonance chemical vapor deposition. We investigate the influence of the N/Si ratio in the Si-NCs/SiNx films on their electrical and optical properties, as well as the photovoltaic properties of the fabricated heterojunction devices. Increasing the nitrogen content enhances the optical gap E04 while deteriorating the electrical conductivity of the Si-NCs/SiNx film, leading to an increased short-circuit current density and a decreased fill factor of the heterojunction device. These trends could be interpreted by a bi-phase model which describes the Si-NCs/SiNx film as a mixture of a high-transparency SiNx phase and a low-resistivity Si-NC phase. A preliminary efficiency of 8.6% is achieved for the Si-NCs/sc-Si heterojunction solar cell. PMID:24188725

  12. Spectroscopic Ellipsometry Studies of Thin Film a-Si:H/nc-Si:H Micromorph Solar Cell Fabrication in the p-i-n Superstrate Configuration

    NASA Astrophysics Data System (ADS)

    Huang, Zhiquan

    Spectroscopic ellipsometry (SE) is a non-invasive optical probe that is capable of accurately and precisely measuring the structure of thin films, such as their thicknesses and void volume fractions, and in addition their optical properties, typically defined by the index of refraction and extinction coefficient spectra. Because multichannel detection systems integrated into SE instrumentation have been available for some time now, the data acquisition time possible for complete SE spectra has been reduced significantly. As a result, real time spectroscopic ellipsometry (RTSE) has become feasible for monitoring thin film nucleation and growth during the deposition of thin films as well as during their removal in processes of thin film etching. Also because of the reduced acquisition time, mapping SE is possible by mounting an SE instrument with a multichannel detector onto a mechanical translation stage. Such an SE system is capable of mapping the thin film structure and its optical properties over the substrate area, and thereby evaluating the spatial uniformity of the component layers. In thin film photovoltaics, such structural and optical property measurements mapped over the substrate area can be applied to guide device optimization by correlating small area device performance with the associated local properties. In this thesis, a detailed ex-situ SE study of hydrogenated amorphous silicon (a-Si:H) thin films and solar cells prepared by plasma enhanced chemical vapor deposition (PECVD) has been presented. An SE analysis procedure with step-by-step error minimization has been applied to obtain accurate measures of the structural and optical properties of the component layers of the solar cells. Growth evolution diagrams were developed as functions of the deposition parameters in PECVD for both p-type and n-type layers to characterize the regimes of accumulated thickness over which a-Si:H, hydrogenated nanocrystalline silicon (nc-Si:H) and mixed phase (a+nc)-Si

  13. Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-12-01

    Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.

  14. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  15. Misfit dislocation gettering by substrate pit-patterning in SiGe films on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grydlik, Martyna; Groiss, Heiko; Brehm, Moritz

    2012-07-02

    We show that suitable pit-patterning of a Si(001) substrate can strongly influence the nucleation and the propagation of dislocations during epitaxial deposition of Si-rich Si{sub 1-x}Ge{sub x} alloys, preferentially gettering misfit segments along pit rows. In particular, for a 250 nm layer deposited by molecular beam epitaxy at x{sub Ge} = 15%, extended film regions appear free of dislocations, by atomic force microscopy, as confirmed by transmission electron microscopy sampling. This result is quite general, as explained by dislocation dynamics simulations, which reveal the key role of the inhomogeneous distribution in stress produced by the pit-patterning.

  16. Investigation of antenna-coupled Nb5N6 microbolometer THz detector with substrate resonant cavity.

    PubMed

    Tu, Xuecou; Jiang, Chengtao; Xiao, Peng; Kang, Lin; Zhai, Shimin; Jiang, Zhou; Feng Su, Run; Jia, Xiaoqing; Zhang, Labao; Chen, Jian; Wu, Peiheng

    2018-04-02

    Fabricating resonant cavities with conventional methods to improve the coupling efficiency of a detector in the terahertz (THz) region is difficult for the wavelength is too long. Here, we propose a solution by using the substrate cavity effect given that the substrate wavelength and thickness of the preparation device are in the same order. The planar dipole antenna-coupled Nb 5 N 6 microbolometers with different substrate thicknesses were fabricated. The interference effect of the substrate cavity on the optical voltage response of the detector is analyzed experimentally and theoretically. The experimental results show that the optical response of the detector is determined by the length of the substrate cavity. Thus, the THz devices with different detection frequencies can be designed by changing the substrate cavity length. Furthermore, on the basis of this substrate cavity effect, an asymmetric coupled Fabry-Pérot (FP) cavity is constituted by simply placing a movable metallic planar mirror at the backside of the Si substrate. The incident THz radiation on the Nb 5 N 6 microbolometer can be effectively manipulated by changing the substrate-mirror distance to modulate the phase relation between the reflect wave and the incident wave. The distinct radiation control can be observed, and the experiments can be well explained by numerically analyzing the responsivity dynamics that highlights the role of the FP cavity effect during radiation. All of the results discussed here can be extended to a broad range of frequency and other type of THz detectors.

  17. Highly Corrosion Resistant and Sandwich-like Si3N4/Cr-CrNx/Si3N4 Coatings Used for Solar Selective Absorbing Applications.

    PubMed

    Zhang, Ke; Du, Miao; Haoa, Lei; Meng, Jianping; Wang, Jining; Mi, Jing; Liu, Xiaopeng

    2016-12-14

    Highly corrosion resistant, layer-by-layer nanostructured Si 3 N 4 /Cr-CrN x /Si 3 N 4 coatings were deposited on aluminum substrate by DC/RF magnetron sputtering. Corrosion resistance experiments were performed in 0.5, 1.0, 3.0, and 5.0 wt % NaCl salt spray at 35 °C for 168 h. Properties of the coatings were comprehensively investigated in terms of optical property, surface morphology, microstructure, elemental valence state, element distribution, and potentiodynamic polarization. UV-vis-near-IR spectrophotometer and FTIR measurements show that the change process in optical properties of Si 3 N 4 /Cr-CrN x /Si 3 N 4 /Al coatings can be divided into three stages: a rapid active degradation stage, a steady passivation stage, and a transpassivation degradation stage. With the increase in the concentration of NaCl salt spray, solar absorptance and thermal emittance experienced a slight degradation. SEM images reveal that there is an increase in surface defects, such as microcracks and holes and -cracks. XRD and TEM measurements indicate that the phase structure changed partially and the content of CrO x and Al 2 O 3 has increased. Auger electron spectroscopy shows that the elements of Cr, N, and O have undergone a minor diffusion. Electrochemical polarization curves show that the as-deposited Si 3 N 4 /Cr-CrN x /Si 3 N 4 /Al coatings have excellent corrosion resistance of 3633.858 kΩ, while after corroding in 5.0 wt % NaCl salt spray for 168 h the corrosion resistance dropped to 13.759 kΩ. However, these coatings still have an outstanding performance of high solar absorptance of 0.924 and low thermal emittance of 0.090 after corroding in 3.0 wt % NaCl salt spray for 120 h. Thus, the Si 3 N 4 /Cr-CrN x /Si 3 N 4 /Al coating is a good choice for solar absorber coatings applied in the high-saline environment.

  18. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  19. Structural and electrical properties of Ge-on-Si(0 0 1) layers with ultra heavy n-type doping grown by MBE

    NASA Astrophysics Data System (ADS)

    Yurasov, D. V.; Antonov, A. V.; Drozdov, M. N.; Yunin, P. A.; Andreev, B. A.; Bushuykin, P. A.; Baydakova, N. A.; Novikov, A. V.

    2018-06-01

    In this paper we report about the formation of ultra heavy doped n-Ge layers on Si(0 0 1) substrates by molecular beam epitaxy and their characterization by different independent techniques. Combined study of structural and electrical properties of fabricated layers using secondary ion mass spectroscopy, X-ray diffraction, Hall effect and reflection measurements was carried out and it has revealed the achievable charge carrier densities exceeding 1020 cm-3 without deterioration of crystalline quality of such doped layers. It was also shown that X-ray analysis can be used as a fast, reliable and non-destructive method for evaluation of the electrically active Sb concentration in heavy doped Ge layers. The appropriate set of doping density allowed to adjust the plasmonic resonance position in Ge:Sb layers in a rather wide range reaching the wavelength of 3.6 μm for the highest doping concentration. Room temperature photoluminescence confirmed the high crystalline quality of such doped layers. Our results indicated the attainability of high electron concentration in Ge:Sb layers grown on Si substrates without crystalline quality deterioration which may find potential applications in the fields of Si-based photonics and mid-IR plasmonics.

  20. Structural evolution and electronic properties of n-type doped hydrogenated amorphous silicon thin films

    NASA Astrophysics Data System (ADS)

    He, Jian; Li, Wei; Xu, Rui; Qi, Kang-Cheng; Jiang, Ya-Dong

    2011-12-01

    The relationship between structure and electronic properties of n-type doped hydrogenated amorphous silicon (a-Si:H) thin films was investigated. Samples with different features were prepared by plasma enhanced chemical vapor deposition (PECVD) at various substrate temperatures. Raman spectroscopy and Fourier transform infrared (FTIR) spectroscopy were used to evaluate the structural evolution, meanwhile, electronic-spin resonance (ESR) and optical measurement were applied to explore the electronic properties of P-doped a-Si:H thin films. Results reveal that the changes in materials structure affect directly the electronic properties and the doping efficiency of dopant.

  1. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  2. Interface properties of SiOxNy layer on Si prepared by atmospheric-pressure plasma oxidation-nitridation

    PubMed Central

    2013-01-01

    SiOxNy films with a low nitrogen concentration (< 4%) have been prepared on Si substrates at 400°C by atmospheric-pressure plasma oxidation-nitridation process using O2 and N2 as gaseous precursors diluted in He. Interface properties of SiOxNy films have been investigated by analyzing high-frequency and quasistatic capacitance-voltage characteristics of metal-oxide-semiconductor capacitors. It is found that addition of N into the oxide increases both interface state density (Dit) and positive fixed charge density (Qf). After forming gas anneal, Dit decreases largely with decreasing N2/O2 flow ratio from 1 to 0.01 while the change of Qf is insignificant. These results suggest that low N2/O2 flow ratio is a key parameter to achieve a low Dit and relatively high Qf, which is effective for field effect passivation of n-type Si surfaces. PMID:23634872

  3. Effect of substrate porosity on photoluminescence properties of ZnS films prepared on porous Si substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Feng; Li, Qing-Shan; Zhang, Li-Chun; Lv, Lei; Qi, Hong-Xia

    2007-05-01

    ZnS films were deposited on porous Si (PS) substrates with different porosities by pulsed laser deposition. The photoluminescence spectra of the samples were measured to study the effect of substrate porosity on luminescence properties of ZnS/porous Si composites. After deposition of ZnS films, the red photoluminescence peak of porous Si shows a slight blueshift compared with as-prepared porous Si samples. With an increase of the porosity, a green emission at about 550 nm was observed which may be ascribed to the defect-center luminescence of ZnS films, and the photoluminescence of ZnS/porous Si composites is very close to white light. Good crystal structures of the samples were observed by x-ray diffraction, showing that ZnS films were grown in preferred orientation. Due to the roughness of porous Si surface, some cracks appear in ZnS films, which could be seen from scanning electron microscope images.

  4. Measurement of N-Type 6H SiC Minority-Carrier Diffusion Lengths by Electron Bombardment of Schottky Barriers

    NASA Technical Reports Server (NTRS)

    Hubbard, S. M.; Tabib-Azar, M.; Balley, S.; Rybickid, G.; Neudeck, P.; Raffaelle, R.

    2004-01-01

    Minority-Carrier diffusion lengths of n-type 6H-SiC were measured using the electron-beam induced current (EBIC) technique. Experimental values of primary beam current, EBIC, and beam voltage were obtained for a variety of SIC samples. This data was used to calculate experimental diode efficiency vs. beam voltage curves. These curves were fit to theoretically calculated efficiency curves, and the diffusion length and metal layer thickness were extracted. The hole diffusion length in n-6H SiC ranged from 0.93 +/- 0.15 microns.

  5. Growth (AlCrNbSiTiV)N thin films on the interrupted turning and properties using DCMS and HIPIMS system

    NASA Astrophysics Data System (ADS)

    Chang, Kai-Sheng; Chen, Kuan-Ta; Hsu, Chun-Yao; Hong, Po-Da

    2018-05-01

    This paper determines the optimal settings in the deposition parameters for (AlCrNbSiTiV)N high-entropy alloy (HEAs) nitride films that are deposited on CBN cutting tools and glass substrates. We use direct current magnetron sputtering (DCMS) and high power impulse magnetron sputtering (HIPIMS), with Ar plasma and N2 reactive gases. Experiments with the grey-Taguchi method are conducted to determine the effect of deposition parameters (deposition time, substrate DC bias, DC power and substrate temperature) on interrupted turning 50CrMo4 steel machining and the films' structural properties. Experimental result shows that the multiple performance characteristics for these (AlCrNbSiTiV)N HEAs film coatings can be improved using the grey-Taguchi method. As can be seen, the coated film is homogeneous, very compact and exhibits perfect adherence to the substrate. The distribution of elements is homogeneous through the depth of the (AlCrNbSiTiV)N film, as measured by an auger electron nanoscope. After interrupted turning with an (AlCrNbSiTiV)N film coated tool, we obtain much longer tool life than when using uncoated tools. The correlation of these results with microstructure analysis and tool life indicates that HIPIMS discharge induced a higher (AlCrNbSiTiV)N film density, a smoother surface structure and a higher hardness surface.

  6. Effect of Ga and P dopants on the thermoelectric properties of n-type SiGe

    NASA Technical Reports Server (NTRS)

    Draper, S. L.; Vandersande, J. W.; Wood, C.; Masters, R.; Raag, V.

    1989-01-01

    The purpose of this study was to hot-press improved n-type Si80Ge20/GaP samples directly (without any heat treatment) and to confirm that a Ga/P ratio less than one increases the solubility of P and, hence, improves the power factor and Z. One of the three samples (Ga/P = 0.43) had an improvement in Z of about 20 percent between 400 and 1000 C over that for standard SiGe. This demonstrates that improved samples can be pressed directly and that a Ga/P ratio less than one is necessary. The other two samples (Ga/P = 0.33 and 0.50) had Z's equal to or less than that of standard SiGe but had a lower hot-pressing temperature than the improved sample.

  7. Influence of basal-plane dislocation structures on expansion of single Shockley-type stacking faults in forward-current degradation of 4H-SiC p-i-n diodes

    NASA Astrophysics Data System (ADS)

    Hayashi, Shohei; Yamashita, Tamotsu; Senzaki, Junji; Miyazato, Masaki; Ryo, Mina; Miyajima, Masaaki; Kato, Tomohisa; Yonezawa, Yoshiyuki; Kojima, Kazutoshi; Okumura, Hajime

    2018-04-01

    The origin of expanded single Shockley-type stacking faults in forward-current degradation of 4H-SiC p-i-n diodes was investigated by the stress-current test. At a stress-current density lower than 25 A cm-2, triangular stacking faults were formed from basal-plane dislocations in the epitaxial layer. At a stress-current density higher than 350 A cm-2, both triangular and long-zone-shaped stacking faults were formed from basal-plane dislocations that converted into threading edge dislocations near the interface between the epitaxial layer and the substrate. In addition, the conversion depth of basal-plane dislocations that expanded into the stacking fault was inside the substrate deeper than the interface. These results indicate that the conversion depth of basal-plane dislocations strongly affects the threshold stress-current density at which the expansion of stacking faults occurs.

  8. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  9. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  10. Intermixing and thermal oxidation of ZrO2 thin films grown on a-Si, SiN, and SiO2 by metallic and oxidic mode magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Coloma Ribera, R.; van de Kruijs, R. W. E.; Sturm, J. M.; Yakshin, A. E.; Bijkerk, F.

    2017-03-01

    The initial growth of DC sputtered ZrO2 on top of a-Si, SiN, and SiO2 layers has been studied by in vacuo high-sensitivity low energy ion scattering for two gas deposition conditions with different oxygen contents (high-O and low-O conditions). This unique surface sensitive technique allowed the determination of surface composition and thicknesses required to close the ZrO2 layer on all three substrates for both conditions. The ZrO2 layer closes similarly on all substrates due to more favorable enthalpies of formation for ZrO2 and ZrSiO4, resulting in passivation of the Si from the substrate. However, this layer closes at about half of the thickness (˜1.7 nm) for low-O conditions due to less oxidative conditions and less energetic particles arriving at the sample, which leads to less intermixing via silicate formation. In contrast, for high-O conditions, there is more ZrSiO4 and/or SiOx formation, giving more intermixing (˜3.4 nm). In vacuo X-ray photoelectron spectroscopy (XPS) measurements revealed similar stoichiometric ZrO2 layers deposited by both conditions and a higher interaction of the ZrO2 layer with the underlying a-Si for high-O conditions. In addition, oxygen diffusion through low-O ZrO2 films on a-Si has been investigated by ex situ angular-resolved XPS of samples annealed in atmospheric oxygen. For temperatures below 400 °C, no additional oxidation of the underlying a-Si was observed. This, together with the amorphous nature and smoothness of these samples, makes ZrO2 a good candidate as an oxidation protective layer on top of a-Si.

  11. J-type Carbon Stars: A Dominant Source of 14 N-rich Presolar SiC Grains of Type AB

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nan; Stephan, Thomas; Boehnke, Patrick

    We report Mo isotopic data of 27 new presolar SiC grains, including 12 N-14-rich AB (N-14/N-15 > 440, AB2) and 15 mainstream (MS) grains, and their correlated Sr and Ba isotope ratios when available. Direct comparison of the data for the MS grains, which came from low-mass asymptotic giant branch (AGB) stars with large s-process isotope enhancements, with the AB2 grain data demonstrates that AB2 grains show near-solar isotopic compositions and lack s-process enhancements. The near-normal Sr, Mo, and Ba isotopic compositions of AB2 grains clearly exclude born-again AGB stars, where the intermediate neutron-capture process (i-process) takes place, as theirmore » stellar source. On the other hand, low-mass CO novae and early R-and J-type carbon stars show C-13 and N-14 excesses but no s-process enhancements and are thus potential stellar sources of AB2 grains. Because both early R-type carbon stars and CO novae are rare objects, the abundant J-type carbon stars (10%-15% of all carbon stars) are thus likely to be a dominant source of AB2 grains.« less

  12. Development of X-ray spectroscopic polarimetry with bent Si crystals and CFRP substrate

    NASA Astrophysics Data System (ADS)

    Iizuka, Ryo; Izumiya, Takanori; Tsuboi, Yohko

    2016-07-01

    The light from celestial objects includes four important quantities; images, time variation, energy spectrum, and polarization. In the field of X-ray astronomy, the capabilities of the former three have remarkably developed. On the other hand, the progress for the polarimetry is considerably delayed because of technical difficulties. In order to make a breakthrough in the field of X-ray polarimetry, we have developed a new type of optics for X-ray polarimetry. The system is collecting Bragg crystal with large area and very high sensitivity for the polarization dedicated to Fe-K lines. We adopt the 400 re ection of Si(100) crystals with high sensitivity for the polarization around Fe-K lines (6 7 keV), and bent the crystals with the wide X-ray band and high S/N ratio. Furthermore, to install small area of CCD to non-focal plane, it also has the spectroscopic capability with the better resolution than that of general X-ray CCD. Our previous development was to bent Si crystals to the cylindrical shape of circle and parabola with the DLC deposition. However, for the better optics for the X-ray polarimetry, the shape should be the paraboloid of revolution to collect X-rays with high S/N ratio. We searched for the method to bent the Si crystals to the shape of the paraboloid of revolution. We devised the method to mold the crystal and the CFRP substrate simultaneously pushed to the sophisticated foundation with the paraboloid of revolution. We developed the prototype of about 8 inch in radius of one-quater size. The crystals was also bent in the circumferential direction. Therefore, the image capability examined with optical parallel beam is 0.6 degree. In this thesis, we discussed the new design for X-ray spectroscopic polarimetry, the evaluation of image capability.

  13. Mid-infrared intersubband absorption from p-Ge quantum wells grown on Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gallacher, K.; Millar, R. W.; Paul, D. J., E-mail: Douglas.Paul@glasgow.ac.uk

    2016-02-29

    Mid-infrared intersubband absorption from p-Ge quantum wells with Si{sub 0.5}Ge{sub 0.5} barriers grown on a Si substrate is demonstrated from 6 to 9 μm wavelength at room temperature and can be tuned by adjusting the quantum well thickness. Fourier transform infra-red transmission and photoluminescence measurements demonstrate clear absorption peaks corresponding to intersubband transitions among confined hole states. The work indicates an approach that will allow quantum well intersubband photodetectors to be realized on Si substrates in the important atmospheric transmission window of 8–13 μm.

  14. Current Status of the Quality of 4H-SiC Substrates and Epilayers for Power Device Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dudley, M.; Wang, H.; Guo, Jianqiu

    ABSTRACT Interfacial dislocations (IDs) and half-loop arrays (HLAs) present in the epilayers of 4H-SiC crystal are known to have a deleterious effect on device performance. Synchrotron X-ray Topography studies carried out on n-type 4H-SiC offcut wafers before and after epitaxial growth show that in many cases BPD segments in the substrate are responsible for creating IDs and HLAs during CVD growth. This paper reviews the behaviors of BPDs in the substrate during the epitaxial growth in different cases: (1) screw-oriented BPD segments intersecting the surface replicate directly through the interface during the epitaxial growth and take part in stress relaxationmore » process by creating IDs and HLAs (Matthews-Blakeslee model [1] ); (2) non-screw oriented BPD half loop intersecting the surface glides towards and replicates through the interface, while the intersection points convert to threading edge dislocations (TEDs) and pin the half loop, leaving straight screw segments in the epilayer and then create IDs and HLAs; (3) edge oriented short BPD segments well below the surface get dragged towards the interface during epitaxial growth, leaving two long screw segments in their wake, some of which replicate through the interface and create IDs and HLAs. The driving force for the BPDs to glide toward the interface is thermal stress and driving force for the relaxation process to occur is the lattice parameter difference at growth temperature which results from the doping concentration difference between the substrate and epilayer.« less

  15. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  16. Tailoring topological states in silicene using different halogen-passivated Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Derakhshan, Vahid; Moghaddam, Ali G.; Ceresoli, Davide

    2018-03-01

    We investigate the band structure and topological phases of silicene embedded on halogenated Si(111) surface using density functional theory calculations. Our results show that the Dirac character of low-energy excitations in silicene is almost preserved in the presence of a silicon substrate passivated by various halogens. Nevertheless, the combined effects of symmetry breaking due to both direct and van der Waals interactions between silicene and the substrate, charge transfer from suspended silicene into the substrate, and, finally, the hybridization which leads to the charge redistribution result in a gap in the spectrum of the embedded silicene. We further take the spin-orbit interaction into account and obtain the resulting modification in the gap. The energy gaps with and without spin-orbit coupling vary significantly when different halogen atoms are used for the passivation of the Si surface, and for the case of iodine, they become on the order of 100 meV. To examine the topological properties, we calculate the projected band structure of silicene from which the Berry curvature and Z2 invariant based on the evolution of Wannier charge centers are obtained. As a key finding, it is shown that silicene on halogenated Si substrates has a topological insulating state which can survive even at room temperature for the substrates with iodine and bromine at the surface. Therefore, these results suggest that we can have a reliable, stable, and robust silicene-based two-dimensional topological insulator using the considered substrates.

  17. Characterization of Carrier Concentration and Mobility in n-type SiC Wafers Using Infrared Reflectance Spectroscopy

    NASA Astrophysics Data System (ADS)

    Narita, Katsutoshi; Hijikata, Yasuto; Yaguchi, Hiroyuki; Yoshida, Sadafumi; Nakashima, Shinichi

    2004-08-01

    We have estimated the free-carrier concentration and drift mobility in n-type 6H-SiC wafers in the carrier concentration range of 1017-1019 cm-3 from far- and mid-infrared (30-2000 cm-1) reflectance spectra obtained at room temperature. A modified classical dielectric function model was employed for the analysis. We found good agreement between the electrical properties derived from infrared reflectance spectroscopy and those derived from Hall effect measurements. We have demonstrated the spatial mapping of carrier concentration and mobility for commercially produced 2 inch SiC wafers.

  18. Fabrication and characterization of AlN metal-insulator-semiconductor grown Si substrate

    NASA Astrophysics Data System (ADS)

    Mahyuddin, A.; Azrina, A.; Mohd Yusoff, M. Z.; Hassan, Z.

    2017-11-01

    An experimental investigation was conducted to explore the effect of inserting a single AlGaN interlayer between AlN epilayer and GaN/AlN heterostructures on Si (111) grown by molecular beam epitaxy (MBE). It is confirmed from the scanning electron microscopy (SEM) that the AlGaN interlayer has a remarkable effect on reducing the tensile stress and dislocation density in AlN top layer. Capacitance-voltage (C-V) measurements were conducted to study the electrical properties of AlN/GaN heterostructures. While deriving the findings through the calculation it is suggested that the AlGaN interlayer can significantly reduce the value of effective oxide charge density and total effective number of charges per unit area which are 1.37 × 10-6C/cm2 and 8.55 × 1012cm-2, respectively.

  19. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  20. Deposition of defected graphene on (001) Si substrates by thermal decomposition of acetone

    NASA Astrophysics Data System (ADS)

    Milenov, T. I.; Avramova, I.; Valcheva, E.; Avdeev, G. V.; Rusev, S.; Kolev, S.; Balchev, I.; Petrov, I.; Pishinkov, D.; Popov, V. N.

    2017-11-01

    We present results on the deposition and characterization of defected graphene by the chemical vapor deposition (CVD) method. The source of carbon/carbon-containing radicals is thermally decomposed acetone (C2H6CO) in Ar main gas flow. The deposition takes place on (001) Si substrates at about 1150-1160 °C. We established by Raman spectroscopy the presence of single- to few- layered defected graphene deposited on two types of interlayers that possess different surface morphology and consisted of mixed sp2 and sp3 hybridized carbon. The study of interlayers by XPS, XRD, GIXRD and SEM identifies different phase composition: i) a diamond-like carbon dominated film consisting some residual SiC, SiO2 etc.; ii) a sp2- dominated film consisting small quantities of C60/C70 fullerenes and residual Si-O-, Cdbnd O etc. species. The polarized Raman studies confirm the presence of many single-layered defected graphene areas that are larger than few microns in size on the predominantly amorphous carbon interlayers.

  1. In-situ wafer bowing measurements of GaN grown on Si (111) substrate by reflectivity mapping in metal organic chemical vapor deposition system

    NASA Astrophysics Data System (ADS)

    Yang, Yi-Bin; Liu, Ming-Gang; Chen, Wei-Jie; Han, Xiao-Biao; Chen, Jie; Lin, Xiu-Qi; Lin, Jia-Li; Luo, Hui; Liao, Qiang; Zang, Wen-Jie; Chen, Yin-Song; Qiu, Yun-Ling; Wu, Zhi-Sheng; Liu, Yang; Zhang, Bai-Jun

    2015-09-01

    In this work, the wafer bowing during growth can be in-situ measured by a reflectivity mapping method in the 3×2″ Thomas Swan close coupled showerhead metal organic chemical vapor deposition (MOCVD) system. The reflectivity mapping method is usually used to measure the film thickness and growth rate. The wafer bowing caused by stresses (tensile and compressive) during the epitaxial growth leads to a temperature variation at different positions on the wafer, and the lower growth temperature leads to a faster growth rate and vice versa. Therefore, the wafer bowing can be measured by analyzing the discrepancy of growth rates at different positions on the wafer. Furthermore, the wafer bowings were confirmed by the ex-situ wafer bowing measurement. High-resistivity and low-resistivity Si substrates were used for epitaxial growth. In comparison with low-resistivity Si substrate, GaN grown on high-resistivity substrate shows a larger wafer bowing caused by the highly compressive stress introduced by compositionally graded AlGaN buffer layer. This transition of wafer bowing can be clearly in-situ measured by using the reflectivity mapping method. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274039 and 51177175), the National Basic Research Program of China (Grant No. 2011CB301903), the Ph.D. Programs Foundation of Ministry of Education of China (Grant No. 20110171110021), the International Science and Technology Collaboration Program of China (Grant No. 2012DFG52260), the International Science and Technology Collaboration Program of Guangdong Province, China (Grant No. 2013B051000041), the Science and Technology Plan of Guangdong Province, China (Grant No. 2013B010401013), the National High Technology Research and Development Program of China (Grant No. 2014AA032606), and the Opened Fund of the State Key Laboratory on Integrated Optoelectronics, China (Grant No. IOSKL2014KF17).

  2. Site-controlled crystalline InN growth from the V-pits of a GaN substrate

    NASA Astrophysics Data System (ADS)

    Kuo, Chien-Ting; Hsu, Lung-Hsing; Lai, Yung-Yu; Cheng, Shan-Yun; Kuo, Hao-Chung; Lin, Chien-Chung; Cheng, Yuh-Jen

    2017-05-01

    A site-controlled crystalline InN growth from the V-pits of a GaN substrate was investigated. The V- pits were fabricated by epitaxial lateral growth of GaN over SiO2 disks patterned on a sapphire substrate. InN crystals were found to preferably grow on the inclined {10-11} crystal planes of the V-pits. A V-pit size of 1 μm or less can provide precise site-controlled InN nucleation at the V-pit bottom, while no InN was grown on the rest of the exposed GaN surfaces. The site-controlled nucleation is attributed to the low surface energy point created by the converging six {10-11} crystal facets at the V-pit bottom. When In source supply is below a certain value, this V-pit bottom is the only location able to aggregate enough active sources to start nucleation, thereby providing site-controlled crystal growth.

  3. Copper diffusion in Ti Si N layers formed by inductively coupled plasma implantation

    NASA Astrophysics Data System (ADS)

    Ee, Y. C.; Chen, Z.; Law, S. B.; Xu, S.; Yakovlev, N. L.; Lai, M. Y.

    2006-11-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into TixSiy substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 °C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers.

  4. Positronium formation in SiO2 films grown on Si substrates studied by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Kawano, T.; Ohji, Y.

    1994-04-01

    The annihilation characteristics of positrons in SiO2 films grown on Si substrates were studied by using monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured as a function of incident positron energy for SiO2 (166 nm)/Si specimens fabricated by thermal oxidation. From the measurements, it was found that about 90% of positrons implanted into the SiO2 film annihilate from positronium (Ps) states. This fact was due to the trapping of positrons by open-space defects and a resultant enhanced formation of Ps in such regions. For the SiO2 film grown at 650 °C, the lifetime of ortho-Ps was found to be shorter than that in the film grown at 1000 °C. This result suggests that the volume of open-space defects in the SiO2 film decreased with decreasing the growth rate of the SiO2 film.

  5. Greatly improved 3C-SiC p-n junction diodes grown by chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.; Larkin, David J.; Starr, Jonathan E.; Powell, J. A.; Salupo, Carl S.; Matus, Lawrence G.

    1993-01-01

    This paper reports the fabrication and initial electrical characterization of greatly improved 3C-SiC (beta-SiC) p-n junction diodes. These diodes, which were grown on commercially available 6H-SiC substrates by chemical vapor deposition, demonstrate rectification to -200 V at room temperature, representing a fourfold improvement in reported 3C-SiC diode blocking voltage. The reverse leakage currents and saturation current densities measured on these diodes also show significant improvement compared to previously reported 3C-SiC p-n junction diodes. When placed under sufficient forward bias, the diodes emit significantly bright green-yellow light. These results should lead to substantial advancements in 3C-SiC transistor performance.

  6. Low CTE glass, SiC & Beryllium for lightweight mirror substrates

    NASA Astrophysics Data System (ADS)

    Geyl, Roland; Cayrel, Marc

    2005-10-01

    This paper is intended to analyze the relative merits of low CTE glass, SiC and Beryllium as candidates for lightweight mirror substrates in connection with real practical experience and example or three major projects using these three materials and running presently at SAGEM-REOSC. Beryllium and SiC have nice thermal and mechanical properties but machined glass ceramic can still well compete technically or economically in some cases.

  7. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  8. Shaping Si, NiCo, and glass substrates via stresses in the coatings

    NASA Astrophysics Data System (ADS)

    Wang, Xiaoli; Yao, Youwei; Ye, Shi; Liu, Tianchen; Assoufid, Lahsen; Cao, Jian; Ulmer, M. P.

    2016-09-01

    Here we report progress toward the fabrication of adaptive or active Si X-ray mirrors via a two step process. The first step is to curve a Si flat and then coat it with Terfenol-D that will allow the shape control via the application of a magnetic field. The goal is to create a mirror whose local (a few mm-length scale) slope can be changed and left for several hours or more. The current work described here was done in on Si to demonstrate the ability to produce the initial curvature, and in parallel, work to on magnetically hard NiCo 5 cm x 5 cm square plus on a glass sample. The glass sample was used a proto-type to model making changes in two different locations on a mirror. The NiCo sample was used to show that a magnetic field can be retained in a magnetically hard substrate such that the magnetically induced stress in the Terfenol-D was able to maintain a deformation for as long as time permitted to make the measurement which was 71 hours.

  9. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  10. Near-field polarization distribution of Si nanoparticles near substrate

    NASA Astrophysics Data System (ADS)

    Reshetov, S. A.; Vladimirova, Yu. V.; Gevorkian, L. P.; Zadkov, V. N.

    2017-01-01

    Structure of the near-field intensity and polarization distributions, the latter is described with the generalized 3D Stokes parameters, of a spherical Si subwavelength nanoparticle in a non-magnetic and non-absorbing media near a dielectric substrate has been studied in detail with the help of the Mie theory and an extension of the Weyl's method for the calculation of the reflection of dipole radiation by a flat surface. It is shown that for the nanoparticle near the substrate the interference effects due to the scattering by the nanoparticle and interaction with the substrate play an essential role. We also demonstrate how these effects depend on the dielectric properties of the nanoparticle, its size, distance to the substrate as well as on the polarization, wavelength and incident angle of the external light field.

  11. Fabrication of multilayered Ge nanocrystals embedded in SiO xGeN y films

    NASA Astrophysics Data System (ADS)

    Gao, Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang, Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-09-01

    Multilayered Ge nanocrystals embedded in SiO xGeN y films have been fabricated on Si substrate by a (Ge + SiO 2)/SiO xGeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 °C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1, which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction.

  12. Periodic cracks and temperature-dependent stress in Mo/Si multilayers on Si substrates

    NASA Astrophysics Data System (ADS)

    Kravchenko, Grygoriy; Tran, Hai T.; Volinsky, Alex A.

    2018-07-01

    This work examines formation of the peculiar periodic crack patterns observed in the thermally loaded Mo/Si multilayers. Using the substrate curvature measurements, the macroscopic film stress evolution during thermal cycling was investigated. Then high-speed microscopic observation of crack propagation in the annealed Mo/Si multilayers was presented providing experimental evidence of the mechanism underlying formation of the periodic crack patterns. The origin of the peculiar periodic crack patterns was determined. They were observed to form by the slow crack propagation under quasi-static conditions as a result of the interaction between the channelling crack propagation and the advance of the delamination front.

  13. Formation of spherical-shaped GaN and InN quantum dots on curved SiN/Si surface.

    PubMed

    Choi, Ilgyu; Lee, Hyunjoong; Lee, Cheul-Ro; Jeong, Kwang-Un; Kim, Jin Soo

    2018-08-03

    This paper reports the formation of GaN and InN quantum dots (QDs) with symmetric spherical shapes, grown on SiN/Si(111). Spherical QDs are grown by modulating initial growth behavior via gallium and indium droplets functioning as nucleation sites for QDs. Field-emission scanning electron microscope (FE-SEM) images show that GaN and InN QDs are formed on curved SiN/Si(111) instead of on a flat surface similar to balls on a latex mattress. This is considerably different from the structural properties of In(Ga)As QDs grown on GaAs or InP. In addition, considering the shape of the other III-V semiconductor QDs, the QDs in this study are very close to the ideal shape of zero-dimensional nanostructures. Transmission-electron microscope images show the formation of symmetric GaN and InN QDs with a round shape, agreeing well with the FE-SEM results. Compared to other III-V semiconductor QDs, the unique structural properties of Si-based GaN and InN QDs are strongly related to the modulation in the initial nucleation characteristics due to the presence of droplets, the degree of lattice mismatch between GaN or InN and SiN/Si(111), and the melt-back etching phenomenon.

  14. Highly sensitive surface enhanced Raman scattering substrates based on Ag decorated Si nanocone arrays and their application in trace dimethyl phthalate detection

    NASA Astrophysics Data System (ADS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Cheng, Ying; Wang, Junzhuan; Shi, Yi; Xu, Dongsheng; Xin, Yu

    2015-01-01

    Wafer-scale three-dimensional (3D) surface enhancement Raman scattering (SERS) substrates were prepared using the plasma etching and ion sputtering methods that are completely compatible with well-established silicon device technologies. The substrates are highly sensitive with excellent uniformity and reproducibility, exhibiting an enhancement factor up to 1012 with a very low relative standard deviation (RSD) around 5%. These are attributed mainly to the uniform-distributed, multiple-type high-density hot spots originating from the structural characteristics of Ag nanoparticles (NPs) decorated Si nanocone (NC) arrays. We demonstrate that the trace dimethyl phthalate (DMP) at a concentration of 10-7 M can be well detected using this SERS substrate, showing that the AgNPs-decorated SiNC arrays can serve as efficient SERS substrates for phthalate acid esters (PAEs) detection with high sensitivity.

  15. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  16. Adsorption properties of AlN on Si(111) surface: A density functional study

    NASA Astrophysics Data System (ADS)

    Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting

    2018-04-01

    In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.

  17. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  18. Dramatically enhanced self-assembly of GeSi quantum dots with superior photoluminescence induced by the substrate misorientation

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Zhong, Zhenyang

    2014-02-01

    A dramatically enhanced self-assembly of GeSi quantum dots (QDs) is disclosed on slightly miscut Si (001) substrates, leading to extremely dense QDs and even a growth mode transition. The inherent mechanism is addressed in combination of the thermodynamics and the growth kinetics both affected by steps on the vicinal surface. Moreover, temperature-dependent photoluminescence spectra from dense GeSi QDs on the miscut substrate demonstrate a rather strong peak persistent up to 300 K, which is attributed to the well confinement of excitons in the dense GeSi QDs due to the absence of the wetting layer on the miscut substrate.

  19. Enhancement of thermoelectric characteristics in AlGaN/GaN films deposited on inverted pyramidal Si surfaces

    NASA Astrophysics Data System (ADS)

    Yalamarthy, Ananth Saran; So, Hongyun; Senesky, Debbie G.

    2017-07-01

    In this letter, we demonstrate an engineering strategy to boost thermoelectric power factor via geometry-induced properties of the pyramid structure. Aluminum gallium nitride (AlGaN)/GaN heterostructured films grown on inverted pyramidal silicon (Si) demonstrate higher power factor as compared to those grown on conventional flat Si substrates. We found that the magnitude of the Seebeck coefficient at room temperature increased from approximately 297 μVK-1 for the flat film to approximately 849 μVK-1 for the film on inverted pyramidal Si. In addition, the "effective" electrical conductivity of the AlGaN/GaN on the inverted pyramidal structure increased compared to the flat structure, generating an enhancement of thermoelectric power factor. The results demonstrate how manipulation of geometry can be used to achieve better thermoelectric characteristics in a manner that could be scaled to a variety of different material platforms.

  20. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  1. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  2. J-type Carbon Stars: A Dominant Source of 14 N-rich Presolar SiC Grains of Type AB

    DOE PAGES

    Liu, Nan; Stephan, Thomas; Boehnke, Patrick; ...

    2017-07-21

    Here, we report Mo isotopic data of 27 new presolar SiC grains, including 12 14N-rich AB ( 14N/ 15N > 440, AB2) and 15 mainstream (MS) grains, and their correlated Sr and Ba isotope ratios when available. Direct comparison of the data for the MS grains, which came from low-mass asymptotic giant branch (AGB) stars with large s-process isotope enhancements, with the AB2 grain data demonstrates that AB2 grains show near-solar isotopic compositions and lack s-process enhancements. The near-normal Sr, Mo, and Ba isotopic compositions of AB2 grains clearly exclude born-again AGB stars, where the intermediate neutron-capture process (i-process) takesmore » place, as their stellar source. On the other hand, low-mass CO novae and early R- and J-type carbon stars show 13C and 14N excesses but no s-process enhancements and are thus potential stellar sources of AB2 grains. And because both early R-type carbon stars and CO novae are rare objects, the abundant J-type carbon stars (10%–15% of all carbon stars) are thus likely to be a dominant source of AB2 grains.« less

  3. J-type Carbon Stars: A Dominant Source of 14 N-rich Presolar SiC Grains of Type AB

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nan; Stephan, Thomas; Boehnke, Patrick

    Here, we report Mo isotopic data of 27 new presolar SiC grains, including 12 14N-rich AB ( 14N/ 15N > 440, AB2) and 15 mainstream (MS) grains, and their correlated Sr and Ba isotope ratios when available. Direct comparison of the data for the MS grains, which came from low-mass asymptotic giant branch (AGB) stars with large s-process isotope enhancements, with the AB2 grain data demonstrates that AB2 grains show near-solar isotopic compositions and lack s-process enhancements. The near-normal Sr, Mo, and Ba isotopic compositions of AB2 grains clearly exclude born-again AGB stars, where the intermediate neutron-capture process (i-process) takesmore » place, as their stellar source. On the other hand, low-mass CO novae and early R- and J-type carbon stars show 13C and 14N excesses but no s-process enhancements and are thus potential stellar sources of AB2 grains. And because both early R-type carbon stars and CO novae are rare objects, the abundant J-type carbon stars (10%–15% of all carbon stars) are thus likely to be a dominant source of AB2 grains.« less

  4. Highly active surface-enhanced Raman scattering (SERS) substrates based on gold nanoparticles infiltrated into SiO2 inverse opals

    NASA Astrophysics Data System (ADS)

    Ankudze, Bright; Philip, Anish; Pakkanen, Tuula T.; Matikainen, Antti; Vahimaa, Pasi

    2016-11-01

    SiO2 inverse opal (IO) films with embedded gold nanoparticles (AuNPs) for surface-enhanced Raman scattering (SERS) application are reported. SiO2 IO films were loaded with AuNPs by a simple infiltration in a single cycle to form Au-SiO2 IOs. The optical property and the morphology of the Au-SiO2 IO substrates were characterized; it was observed that they retained the Bragg diffraction of SiO2 IO and the localized surface plasmon resonance (LSPR) of AuNPs. The SERS property of the Au-SiO2 IO substrates were studied with methylene blue (MB) and 4-aminothiophenol (4-ATP). The SERS enhancement factors were 107 and 106 for 4-ATP and MB, respectively. A low detection limit of 10-10 M for 4-ATP was also obtained with the Au-SiO2 IO substrate. A relative standard deviation of 18.5% for the Raman signals intensity at 1077 cm-1 for 4-ATP shows that the Au-SiO2 IO substrates have good signal reproducibility. The results of this study indicate that the Au-SiO2 IO substrates can be used in sensing and SERS applications.

  5. Formation of High-Quality μm-Order-Thick Poly-Si Films on Glass-Substrates by Flash Lamp Annealing

    NASA Astrophysics Data System (ADS)

    Ohdaira, Keisuke

    Flash lamp annealing (FLA), millisecond-order discharge from Xe lamps, can form a few μm-thick polycrystalline Si (poly-Si) films by crystallizing precursor amorphous Si (a-Si) films prepared on low-cost substrates without serious thermal damage onto the whole glass substrates, thanks to its proper annealing duration. The FLA of a-Si films can induce lateral explosive crystallization (EC), self-catalytic crystallization driven by the release of latent heat. Periodic structures with a spacing of ˜1 μm are spontaneously left behind on and inside flash-lamp-crystallized (FLC) poly-Si films formed, when chemical-vapor-deposited (CVD) or sputtered a-Si films are used as precursor films. These microstructures result from the alternative emergence of two types of crystallization with different mechanisms during FLA: one is governed only by solid-phase nucleation (SPN) and the other includes SPN and partial liquid-phase epitaxy (LPE), resulting in the formation of grains with sizes of 10-500 nm. This rapid lateral crystallization leads to the complete preservation of abrupt dopant profiles, which is favorable for device fabrication. This particular crystallization also results in the suppression of hydrogen desorption during FLA, which realizes the formation of poly-Si films with hydrogen atoms on the order of 1021/cm3. Hydrogen atoms in poly-Si films probably act to reduce defect density, which can be on the order of 1016/cm3 after conventional furnace annealing in inert gas atmosphere. These features are suitable for the realization of high-efficiency thin-film poly-Si solar cells. Furthermore, a different type of EC can occur when using electron-beam-(EB-) evaporated a-Si films as precursor films. All the grains in the FLC poly-Si films formed stretch along lateral crystallization direction, and the length of grains is typically more than 10 μm. Based on the results of multi-pulse FLA technique, the velocity of EC is estimated to be ˜14 m/s, which corresponds to

  6. Freestanding ultrathin single-crystalline SiC substrate by MeV H ion-slicing

    NASA Astrophysics Data System (ADS)

    Jia, Qi; Huang, Kai; You, Tiangui; Yi, Ailun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bin; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2018-05-01

    SiC is a widely used wide-bandgap semiconductor, and the freestanding ultrathin single-crystalline SiC substrate provides the material platform for advanced devices. Here, we demonstrate the fabrication of a freestanding ultrathin single-crystalline SiC substrate with a thickness of 22 μm by ion slicing using 1.6 MeV H ion implantation. The ion-slicing process performed in the MeV energy range was compared to the conventional case using low-energy H ion implantation in the keV energy range. The blistering behavior of the implanted SiC surface layer depends on both the implantation temperature and the annealing temperature. Due to the different straggling parameter for two implant energies, the distribution of implantation-induced damage is significantly different. The impact of implantation temperature on the high-energy and low-energy slicing was opposite, and the ion-slicing SiC in the MeV range initiates at a much higher temperature.

  7. Mg2Sn heterostructures on Si(111) substrate

    NASA Astrophysics Data System (ADS)

    Dózsa, L.; Galkin, N. G.; Pécz, B.; Osváth, Z.; Zolnai, Zs.; Németh, A.; Galkin, K. N.; Chernev, I. M.; Dotsenko, S. A.

    2017-05-01

    Thin un-doped and Al doped polycrystalline Mg-stannide films consisting mainly of Mg2Sn semiconductor phase have been grown by deposition of Sn-Mg multilayers on Si(111) p-type wafers at room temperature and annealing at 150 °C. Rutherford backscattering measurement spectroscopy (RBS) were used to determine the amount of Mg and Sn in the structures. Raman spectroscopy has shown the layers contain Mg2Sn phase. Cross sectional transmission electron microscopy (XTEM) measurements have identified Mg2Sn nanocrystallites in hexagonal and cubic phases without epitaxial orientation with respect to the Si(111) substrate. Significant oxygen concentration was found in the layer both by RBS and TEM. The electrical measurements have shown laterally homogeneous conductivity in the grown layer. The undoped Mg2Sn layers show increasing resistivity with increasing temperature indicating the scattering process dominates the resistance of the layers, i.e. large concentration of point defects was generated in the layer during the growth process. The Al doped layer shows increase of the resistance at low temperature caused by freeze out of free carriers in the Al doped Mg2Sn layer. The measurements indicate the necessity of protective layer grown over the Mg2Sn layers, and a short time delay between sample preparation and cross sectionalTEM analysis, since the unprotected layer is degraded by the interaction with the ambient.

  8. Formation mechanisms of Si3N4 and Si2N2O in silicon powder nitridation

    NASA Astrophysics Data System (ADS)

    Yao, Guisheng; Li, Yong; Jiang, Peng; Jin, Xiuming; Long, Menglong; Qin, Haixia; Kumar, R. Vasant

    2017-04-01

    Commercial silicon powders are nitrided at constant temperatures (1453 K; 1513 K; 1633 K; 1693 K). The X-ray diffraction results show that small amounts of Si3N4 and Si2N2O are formed as the nitridation products in the samples. Fibroid and short columnar Si3N4 are detected in the samples. The formation mechanisms of Si3N4 and Si2N2O are analyzed. During the initial stage of silicon powder nitridation, Si on the outside of sample captures slight amount of O2 in N2 atmosphere, forming a thin film of SiO2 on the surface which seals the residual silicon inside. And the oxygen partial pressure between the SiO2 film and free silicon is decreasing gradually, so passive oxidation transforms to active oxidation and metastable SiO(g) is produced. When the SiO(g) partial pressure is high enough, the SiO2 film will crack, and N2 is infiltrated into the central section of the sample through cracks, generating Si2N2O and short columnar Si3N4 in situ. At the same time, metastable SiO(g) reacts with N2 and form fibroid Si3N4. In the regions where the oxygen partial pressure is high, Si3N4 is oxidized into Si2N2O.

  9. Predicted Growth of Two-Dimensional Topological Insulator Thin Films of III-V Compounds on Si(111) Substrate

    DOE PAGES

    Yao, Liang-Zi; Crisostomo, Christian P.; Yeh, Chun-Chen; ...

    2015-11-05

    We have carried out systematic first-principles electronic structure computations of growth of ultrathin films of compounds of group III (B, Al, In, Ga, and Tl) with group V (N, P, As, Sb, and Bi) elements on Si(111) substrate, including effects of hydrogenation. Two bilayers (BLs) of AlBi, InBi, GaBi, TlAs, and TlSb are found to support a topological phase over a wide range of strains, in addition to BBi, TlN, and TlBi which can be driven into the nontrivial phase via strain. A large band gap of 134 meV is identified in hydrogenated 2 BL film of InBi. One andmore » two BL films of GaBi and 2 BL films of InBi and TlAs on Si(111) surface possess nontrivial phases with a band gap as large as 121 meV in the case of 2 BL film of GaBi. Persistence of the nontrivial phase upon hydrogenations in the III-V thin films suggests that these films are suitable for growing on various substrates.« less

  10. Distinguishability of N Composition Profiles In SiON Films On Si By Angle-Resolved X-ray Photoelectron Spectroscopy

    NASA Astrophysics Data System (ADS)

    Powell, C. J.; Werner, W. S. M.; Smekal, W.

    2007-09-01

    We report on the use of the NIST Database for the Simulation of Electron Spectra for Surface Analysis (SESSA) to determine N 1s, O 1s, and Si 2p3/2 photoelectron intensities for a 25 Å SiON film on a Si substrate with different distributions of N in the film. These simulations were made to assess the distinguishability of angle-resolved x-ray photoelectron spectroscopy (ARXPS) signals for each N distribution. Our approach differs from conventional simulations of ARXPS data in that we do not neglect elastic scattering of the photoelectrons and the finite solid angle of the analyzer. Appreciable dispersion of the photoelectron intensities was found only for the N 1s intensities at an emission angle of 75° (with respect to the surface normal). Conventional analyses of ARXPS data that include such large emission angles are unlikely to be valid due to angle-dependent changes of the attenuation length. We demonstrate the magnitude of elastic-scattering and analyzer solid-angle effects on the calculated angular distributions.

  11. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    PubMed

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  12. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  13. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates

    PubMed Central

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-01-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III–V and II–VI materials. PMID:24046490

  14. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    PubMed

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  15. Microstructure study of ZnO thin films on Si substrate grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Huang, Jingyun; Ye, Zhizhen; Lu, Huanming; Wang, Lei; Zhao, Binghui; Li, Xianhang

    2007-08-01

    The microstructure of zinc oxide thin films on silicon substrates grown by metalorganic chemical vapour deposition (MOCVD) was characterized. The cross-sectional bright-field transmission electron microscopy (TEM) image showed that small ZnO columnar grains were embedded into large columnar grains, and the selected-area electron diffraction pattern showed that the ZnO/Si thin films were nearly c-axis oriented. The deviation angle along the ZnO (0 0 0 1) direction with respect to the growth direction of Si (1 0 0) was no more than 5°. The [0 0 0 1]-tilt grain boundaries in ZnO/Si thin films were investigated symmetrically by plan-view high resolution TEM. The boundaries can be classified into three types: low-angle boundaries described as an irregular array of edge dislocations, boundaries of near 30° angle with (1\\,0\\,\\bar{1}\\,0) facet structures and large-angle boundaries with symmetric structure which could be explained by a low Σ coincident site lattice structure mode. The research was useful to us for finding optimized growth conditions to improve ZnO/Si thin film quality.

  16. On compensation in Si-doped AlN

    NASA Astrophysics Data System (ADS)

    Harris, Joshua S.; Baker, Jonathon N.; Gaddy, Benjamin E.; Bryan, Isaac; Bryan, Zachary; Mirrielees, Kelsey J.; Reddy, Pramod; Collazo, Ramón; Sitar, Zlatko; Irving, Douglas L.

    2018-04-01

    Controllable n-type doping over wide ranges of carrier concentrations in AlN, or Al-rich AlGaN, is critical to realizing next-generation applications in high-power electronics and deep UV light sources. Silicon is not a hydrogenic donor in AlN as it is in GaN; despite this, the carrier concentration should be controllable, albeit less efficiently, by increasing the donor concentration during growth. At low doping levels, an increase in the Si content leads to a commensurate increase in free electrons. Problematically, this trend does not persist to higher doping levels. In fact, a further increase in the Si concentration leads to a decrease in free electron concentration; this is commonly referred to as the compensation knee. While the nature of this decrease has been attributed to a variety of compensating defects, the mechanism and identity of the predominant defects associated with the knee have not been conclusively determined. Density functional theory calculations using hybrid exchange-correlation functionals have identified VAl+n SiAl complexes as central to mechanistically understanding compensation in the high Si limit in AlN, while secondary impurities and vacancies tend to dominate compensation in the low Si limit. The formation energies and optical signatures of these defects in AlN are calculated and utilized in a grand canonical charge balance solver to identify carrier concentrations as a function of Si content. The results were found to qualitatively reproduce the experimentally observed compensation knee. Furthermore, these calculations predict a shift in the optical emissions present in the high and low doping limits, which is confirmed with detailed photoluminescence measurements.

  17. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  18. Analysis of aging time dependent electrical characteristics of AuCu/n-Si/Ti Schottky type diode

    NASA Astrophysics Data System (ADS)

    Taser, Ahmet; Şenarslan, Elvan; Güzeldir, Betül; Saǧlam, Mustafa

    2017-04-01

    The purpose of this study is to fabricate AuCu/n-Si/Ti Schottky type diode and determine the effects of aging time on the diode parameters such as ideality factor, barrier height, series resistance, interface state density and rectification ratio. Gold and copper ratios in the gold-copper alloy used in making the Schottky contact were taken as equal. Schottky barrier contact using AuCu alloy and ohmic contact using Ti metal were made on n-Si by thermal evaporation. The electrical characterization of the AuCu/n-Si/Ti diode was made immediately based on the aging time at room temperature in dark conditions. The I-V measurements were also repeated 1, 7, 15, 30 and 90 days after fabrication of the diode in order to observe the effect of the aging time. The determined values of the ideality factor are in the range of 1,21 (for immediately)-1,075 (for 90 days). In the same way, values of the barrier height are also in the range of 0,566 eV (for immediately)-0,584 eV (for 90 days). From the I-V characteristics, it is seen that the diode appears to have a good rectification character.

  19. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  20. Structural and electrical investigations of a-Si:H(i) and a-Si:H(n+) stacked layers for improving the interface and passivation qualities

    NASA Astrophysics Data System (ADS)

    Hsieh, Yu-Lin; Lee, Chien-Chieh; Lu, Chia-Cheng; Fuh, Yiin-Kuen; Chang, Jenq-Yang; Lee, Ju-Yi; Li, Tomi T.

    2017-07-01

    A symmetrically stacked structure [(a-Si:H(n+)/a-Si:H(i)/CZ wafer (n)/a-Si:H(i)/a-Si:H(n+)] was used to optimize the growth process conditions of the n-type hydrogenated amorphous silicon [a-Si:H(n+)] thin films. Here a-Si:H(n+) film was used as back surface field (BSF) layer for the silicon heterojunction solar cell and all stacked films were prepared by conventional radio-frequency plasma-enhanced chemical vapor deposition. The characterizations of the effective carrier lifetime (τeff), electrical and structural properties, as well as correlation with the hydrogen dilution ratio (R=H2/SiH4) were systematically discussed with the emphasis on the effectiveness of the passivation layer using the lifetime tester, spectroscopic ellipsometry, and hall measurement. High quality of a stacked BSF layer (intrinsic/n-type a-Si:H layer) with effective carrier lifetime of 1.8 ms can be consistently obtained. This improved passivation layer can be primarily attributed to the synergy of chemical and field effect to significantly reduce the surface recombination.

  1. NbN superconducting nanowire single-photon detector fabricated on MgF2 substrate

    NASA Astrophysics Data System (ADS)

    Wu, J. J.; You, L. X.; Zhang, L.; Zhang, W. J.; Li, H.; Liu, X. Y.; Zhou, H.; Wang, Z.; Xie, X. M.; Xu, Y. X.; Fang, W.; Tong, L. M.

    2016-06-01

    The performance of superconducting nanowire single-photon detectors (SNSPDs) relies on substrate materials. Magnesium fluoride (MgF2) exhibits outstanding optical properties, such as large optical transmission range and low refractive index (n = 1.38), making it an attractive substrate. We present the fabrication and the performance of SNSPDs made of a 4.5 nm thick NbN thin film deposited on MgF2 substrate for the wavelength of 1550 nm. The front-side illuminated SNSPDs without an optical cavity showed a maximal detection efficiency of 12.8% at a system dark count rate (DCR) of 100 Hz, while the backside illuminated SNSPDs with a SiO2/Au optical cavity atop displayed a maximal detection efficiency of 33% at a DCR of 100 Hz.

  2. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    NASA Astrophysics Data System (ADS)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  3. GaN transistors on Si for switching and high-frequency applications

    NASA Astrophysics Data System (ADS)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  4. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  5. Phonon conduction in GaN-diamond composite substrates

    NASA Astrophysics Data System (ADS)

    Cho, Jungwan; Francis, Daniel; Altman, David H.; Asheghi, Mehdi; Goodson, Kenneth E.

    2017-02-01

    The integration of strongly contrasting materials can enable performance benefits for semiconductor devices. One example is composite substrates of gallium nitride (GaN) and diamond, which promise dramatically improved conduction cooling of high-power GaN transistors. Here, we examine phonon conduction in GaN-diamond composite substrates fabricated using a GaN epilayer transfer process through transmission electron microscopy, measurements using time-domain thermoreflectance, and semiclassical transport theory for phonons interacting with interfaces and defects. Thermoreflectance amplitude and ratio signals are analyzed at multiple modulation frequencies to simultaneously extract the thermal conductivity of GaN layers and the thermal boundary resistance across GaN-diamond interfaces at room temperature. Uncertainties in the measurement of these two properties are estimated considering those of parameters, including the thickness of a topmost metal transducer layer, given as an input to a multilayer thermal model, as well as those associated with simultaneously fitting the two properties. The volume resistance of an intermediate, disordered SiN layer between the GaN and diamond, as well as a presence of near-interfacial defects in the GaN and diamond, dominates the measured GaN-diamond thermal boundary resistances as low as 17 m2 K GW-1. The GaN thermal conductivity data are consistent with the semiclassical phonon thermal conductivity integral model that accounts for the size effect as well as phonon scattering on point defects at concentrations near 3 × 1018 cm-3.

  6. Preparation of CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films on Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamamoto, Yukio; Yamaguchi, Toshiyuki; Suzuki, Masayoshi

    For fabricating efficient tandem solar cells, CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films have been prepared on Si(100), Si(110) and Si(111) substrates in the temperature range (R.T.{approximately}400 C) by rf sputtering. From EPMA analysis, these sputtered thin films are found to be nearly stoichiometric over the whole substrate temperature range, irrespective of the azimuth plane of the Si substrate. XPS studies showed that the compositional depth profile in these thin films is uniform. X-ray diffraction analysis indicated that all the thin films had a chalcopyrite structure. CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films were strongly oriented along the (112) plane with increasingmore » the substrate temperature, independent of the azimuth plane of the Si substrate, suggesting the larger grain growth.« less

  7. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    NASA Astrophysics Data System (ADS)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  8. A DFT investigation on geometry and chemical bonding of isoelectronic Si8N6V-, Si8N6Cr, and Si8N6Mn+ clusters

    NASA Astrophysics Data System (ADS)

    Tam, Nguyen Minh; Pham, Hung Tan; Cuong, Ngo Tuan; Tung, Nguyen Thanh

    2017-10-01

    The geometric feature and chemical bonding of isoelectronic systems Si8N6Mq (M = V, Cr, Mn and q = -1, 0, 1, respectively) are investigated by means of density-functional-theory calculations. The encapsulated form is found for all ground-state structures, where the metal atom locates at the central site of the hollow Si8N6 cage. The Si8N6 cage is established by adding two Si atoms to a distorted Si6N6 prism, which is a combination of Si4N2 and Si2N4 strings. Chemical bonding of Si8N6Mq systems is explored by using the electron localization indicator and theory of atom in molecule, revealing the vital role of metal center in stabilizing the clusters.

  9. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates

    NASA Astrophysics Data System (ADS)

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-01

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  10. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates.

    PubMed

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-06

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  11. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  12. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  13. Internal structure of copper(II)-phthalocyanine thin films on SiO2/Si substrates investigated by grazing incidence x-ray reflectometry

    NASA Astrophysics Data System (ADS)

    Brieva, A. C.; Jenkins, T. E.; Jones, D. G.; Strössner, F.; Evans, D. A.; Clark, G. F.

    2006-04-01

    The internal structure of copper(II)-phthalocyanine (CuPc) thin films grown on SiO2/Si by organic molecular beam deposition has been studied by grazing incidence x-ray reflectometry (GIXR) and atomic force microscopy. The electronic density profile is consistent with a structure formed by successive monolayers of molecules in the α form with the b axis lying in the substrate surface plane. The authors present an electronic density profile model of CuPc films grown on SiO2/Si. The excellent agreement between the model and experimental data allows postdeposition monitoring of the internal structure of the CuPc films with the nondestructive GIXR technique, providing a tool for accurate control of CuPc growth on silicon-based substrates. In addition, since the experiments have been carried out ex situ, they show that these structures can endure ambient conditions.

  14. Propagation Characteristics of Finite Ground Coplanar Waveguide on Si Substrates With Porous Si and Polyimide Interface Layers

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.; Itotia, Isaac K.; Drayton, Rhonda Franklin

    2003-01-01

    Measured and modeled propagation characteristics of Finite Ground Coplanar (FGC) waveguide fabricated on a 15 ohm-cm Si substrate with a 23 micron thick, 68% porous Si layer and a 20 micron thick polyimide interface layer are presented for the first time. Attenuation and effective permittivity as function of the FGC geometry and the bias between the center conductor and the ground planes are presented. It is shown that the porous Si reduces the attenuation by 1 dB/cm compared to FGC lines with only polyimide interface layers, and the polyimide on porous silicon demonstrates negligible bias dependence.

  15. Composition dependences of crystal structure and electrical properties of epitaxial Pb(Zr,Ti)O3 films grown on Si and SrTiO3 substrates

    NASA Astrophysics Data System (ADS)

    Okamoto, Shoji; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2016-10-01

    {100}-oriented Pb(Zr x ,Ti1- x )O3 (PZT) thin films of approximately 2 µm thickness and Zr/(Zr + Ti) ratios of 0.39-0.65 were epitaxially grown on (100)cSrRuO3//(100)SrTiO3 (STO) and (100)cSrRuO3//(100)cLaNiO3//(100)CeO2//(100)YSZ//(100)Si (Si) substrates having different thermal expansion coefficients by pulsed metal-organic chemical vapor deposition (MOCVD). The effects of Zr/(Zr + Ti) ratio and type of substrate on the crystal structure and dielectric, ferroelectric and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that both films changed from having a tetragonal symmetry to rhombohedral symmetry through the coexisting region with increasing Zr/(Zr + Ti) ratio. This region showed the Zr/(Zr + Ti) ratios of 0.45-0.59 for the films on the STO substrates that were wider than the films on the Si substrates. Saturation polarization values were minimum at approximately Zr/(Zr + Ti) = 0.50 for the films on the STO substrates, and no obvious Zr/(Zr + Ti) ratio dependence was detected in the films on the Si substrates. On the other hand, the maximum field-induced strain values measured by scanning force microscopy at approximately Zr/(Zr + Ti) = 0.50 at 100 kV/cm were about 0.5 and 0.1% in the films on the Si and STO, respectively.

  16. Piezoresistive effect in p-type 3C-SiC at high temperatures characterized using Joule heating

    PubMed Central

    Phan, Hoang-Phuong; Dinh, Toan; Kozeki, Takahiro; Qamar, Afzaal; Namazu, Takahiro; Dimitrijev, Sima; Nguyen, Nam-Trung; Dao, Dzung Viet

    2016-01-01

    Cubic silicon carbide is a promising material for Micro Electro Mechanical Systems (MEMS) applications in harsh environ-ments and bioapplications thanks to its large band gap, chemical inertness, excellent corrosion tolerance and capability of growth on a Si substrate. This paper reports the piezoresistive effect of p-type single crystalline 3C-SiC characterized at high temperatures, using an in situ measurement method. The experimental results show that the highly doped p-type 3C-SiC possesses a relatively stable gauge factor of approximately 25 to 28 at temperatures varying from 300 K to 573 K. The in situ method proposed in this study also demonstrated that, the combination of the piezoresistive and thermoresistive effects can increase the gauge factor of p-type 3C-SiC to approximately 20% at 573 K. The increase in gauge factor based on the combination of these phenomena could enhance the sensitivity of SiC based MEMS mechanical sensors. PMID:27349378

  17. Piezoresistive effect in p-type 3C-SiC at high temperatures characterized using Joule heating

    NASA Astrophysics Data System (ADS)

    Phan, Hoang-Phuong; Dinh, Toan; Kozeki, Takahiro; Qamar, Afzaal; Namazu, Takahiro; Dimitrijev, Sima; Nguyen, Nam-Trung; Dao, Dzung Viet

    2016-06-01

    Cubic silicon carbide is a promising material for Micro Electro Mechanical Systems (MEMS) applications in harsh environ-ments and bioapplications thanks to its large band gap, chemical inertness, excellent corrosion tolerance and capability of growth on a Si substrate. This paper reports the piezoresistive effect of p-type single crystalline 3C-SiC characterized at high temperatures, using an in situ measurement method. The experimental results show that the highly doped p-type 3C-SiC possesses a relatively stable gauge factor of approximately 25 to 28 at temperatures varying from 300 K to 573 K. The in situ method proposed in this study also demonstrated that, the combination of the piezoresistive and thermoresistive effects can increase the gauge factor of p-type 3C-SiC to approximately 20% at 573 K. The increase in gauge factor based on the combination of these phenomena could enhance the sensitivity of SiC based MEMS mechanical sensors.

  18. An XPS study on the chemical bond structure at the interface between SiO{sub x}N{sub y} and N doped polyethylene terephthalate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding Wanyu; Key Laboratory of Materials Modification by Laser, Ion and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024; Li Li

    2013-03-14

    The super-thin silicon oxynitride (SiO{sub x}N{sub y}) films were deposited onto the N doped polyethylene terephthalate (PET) surface. Varying the N doping parameters, the different chemical bond structures were obtained at the interface between the SiO{sub x}N{sub y} film and the PET surface. X-ray photoelectron spectra results showed that at the initial stage of SiO{sub x}N{sub y} film growth, the C=N bonds could be broken and C-N-Si crosslink bonds could be formed at the interface of SiO{sub x}N{sub y}/PET, which C=N bonds could be formed onto the PET surface during the N doping process. At these positions, the SiO{sub x}N{submore » y} film could be crosslinked well onto the PET surface. Meanwhile, the doped N could crosslink the [SiO{sub 4}] and [SiN{sub 4}] tetrahedrons, which could easily form the dense layer structure at the initial stage of SiO{sub x}N{sub y} film growth, instead of the ring and/or chain structures of [SiO{sub 4}] tetrahedrons crosslinked by O. Finally, from the point of applying SiO{sub x}N{sub y}/PET complex as the substrate, the present work reveals a simple way to crosslink them, as well as the crosslink model and physicochemical mechanism happened at the interface of complex.« less

  19. J-type Carbon Stars: A Dominant Source of {sup 14}N-rich Presolar SiC Grains of Type AB

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nan; Nittler, Larry R.; Alexander, Conel M. O’D.

    We report Mo isotopic data of 27 new presolar SiC grains, including 12 {sup 14}N-rich AB ({sup 14}N/{sup 15}N > 440, AB2) and 15 mainstream (MS) grains, and their correlated Sr and Ba isotope ratios when available. Direct comparison of the data for the MS grains, which came from low-mass asymptotic giant branch (AGB) stars with large s -process isotope enhancements, with the AB2 grain data demonstrates that AB2 grains show near-solar isotopic compositions and lack s -process enhancements. The near-normal Sr, Mo, and Ba isotopic compositions of AB2 grains clearly exclude born-again AGB stars, where the intermediate neutron-capture processmore » ( i -process) takes place, as their stellar source. On the other hand, low-mass CO novae and early R- and J-type carbon stars show {sup 13}C and {sup 14}N excesses but no s -process enhancements and are thus potential stellar sources of AB2 grains. Because both early R-type carbon stars and CO novae are rare objects, the abundant J-type carbon stars (10%–15% of all carbon stars) are thus likely to be a dominant source of AB2 grains.« less

  20. Hydrogenated amorphous carbon films on steel balls and Si substrates: Nanostructural evolutions and their trigging tribological behaviors

    NASA Astrophysics Data System (ADS)

    Wang, Yongfu; Wang, Yan; Zhang, Xingkai; Shi, Jing; Gao, Kaixiong; Zhang, Bin; Zhang, Junyan

    2017-10-01

    In this study, we prepared hydrogenated amorphous carbon films on steel balls and Si substrates (steel ball- and Si substrate-films) with different deposition time, and discussed their carbon nanostructural evolutions and tribological behaviors. The steel ball-film structure started to be graphite-like structure and then gradually transformed into fullerene-like (FL) structure. The Si substrate-film structure began in FL structure and kept it through the thickness. The difference may be result from the competition between high starting substrate temperature after additional nitriding applied on the steel balls (its supply power is higher than that in the film deposition), and relaxation of compressive stress from energized ion bombardment in film deposition process. The FL structural film friction couples could achieve ultra-low friction in open air. In particular, the Si substrate-film with 3 h, against the steel ball-film with 2 h and 3 h, exhibited super-low friction (∼0.009) and superlong wear life (∼5.5 × 105 cycles). Our result could widen the superlubricity scope from previously high load and velocity, to middle load and velocity.

  1. Development of n-ZnO/p-Si single heterojunction solar cell with and without interfacial layer

    NASA Astrophysics Data System (ADS)

    Hussain, Babar

    The conversion efficiency of conventional silicon (Si) photovoltaic cells has not been improved significantly during last two decades but their cost decreased dramatically during this time. However, the higher price-per-watt of solar cells is still the main bottleneck in their widespread use for power generation. Therefore, new materials need to be explored for the fabrication of solar cells potentially with lower cost and higher efficiency. The n-type zinc oxide (n-ZnO) and p-type Si (p-Si) based single heterojunction solar cell (SHJSC) is one of the several attempts to replace conventional Si single homojunction solar cell technology. There are three inadequacies in the literature related to n-ZnO/p-Si SHJSC: (1) a detailed theoretical analysis to evaluate potential of the solar cell structure, (2) inconsistencies in the reported value of open circuit voltage (VOC) of the solar cell, and (3) lower value of experimentally achieved VOC as compared to theoretical prediction based on band-bending between n-ZnO and p-Si. Furthermore, the scientific community lacks consensus on the optimum growth parameters of ZnO. In this dissertation, I present simulation and experimental results related to n-ZnO/p-Si SHJSC to fill the gaps mentioned above. Modeling and simulation of the solar cell structure are performed using PC1D and AFORS-HET software taking practical constraints into account to explore the potential of the structure. Also, unnoticed benefits of ZnO in solar cells such as an additional antireflection (AR) effect and low temperature deposition are highlighted. The growth parameters of ZnO using metal organic chemical vapor deposition and sputtering are optimized. The structural, optical, and electrical characterization of ZnO thin films grown on sapphire and Si substrates is performed. Several n-ZnO/p-Si SHJSC devices are fabricated to confirm the repeatability of the VOC. Moreover, the AR effect of ZnO while working as an n-type layer is experimentally verified

  2. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    NASA Astrophysics Data System (ADS)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  3. The thermoelectric properties of bulk crystalline n- and p-type Mg2Si prepared by the vertical Bridgman method

    NASA Astrophysics Data System (ADS)

    Akasaka, Masayasu; Iida, Tsutomu; Matsumoto, Atsunobu; Yamanaka, Kohei; Takanashi, Yoshifumi; Imai, Tomohiro; Hamada, Noriaki

    2008-07-01

    Bulk Mg2Si crystals were grown using the vertical Bridgman melt growth method. The n-type and p-type dopants, bismuth (Bi) and silver (Ag), respectively, were incorporated during the growth. X-ray powder diffraction analysis revealed clear peaks of Mg2Si with no peaks associated with the metallic Mg and Si phases. Residual impurities and process induced contaminants were investigated by using glow discharge mass spectrometry (GDMS). A comparison between the results of GDMS and Hall effect measurements indicated that electrical activation of the Bi doping in the Mg2Si was sufficient, while activation of the Ag doping was relatively smaller. It was shown that an undoped n-type specimen contained a certain amount of aluminum (Al), which was due either to residual impurities in the Mg source or the incorporation of process-induced impurities. Thermoelectric properties such as the Seebeck coefficient and the electrical and thermal conductivities were measured as a function of temperature up to 850 K. The dimensionless figures of merit for Bi-doped and Ag-doped samples were 0.65 at 840 K and 0.1 at 566 K, respectively. Temperature dependence of the observed Seebeck coefficient was fitted well by the two-carrier model. The first-principles calculations were carried out by using the all-electron band-structure calculation package (ABCAP) in which the full-potential linearized augmented-plane-wave method was employed. The ABCAP calculation adequately presents characteristics of the Seebeck coefficients for the undoped and heavily Bi-doped samples over the whole measured temperature range from room temperature to 850 K. The agreement between the theory and the experiment is poorer for the Ag-doped p-type samples.

  4. Laser-assisted atom probe tomography of Ti/TiN films deposited on Si.

    PubMed

    Sanford, N A; Blanchard, P T; White, R; Vissers, M R; Diercks, D R; Davydov, A V; Pappas, D P

    2017-03-01

    Laser-assisted atom probe tomography (L-APT) was used to examine superconducting TiN/Ti/TiN trilayer films with nominal respective thicknesses of 5/5/5 (nm). Such materials are of interest for applications that require large arrays of microwave kinetic inductance detectors. The trilayers were deposited on Si substrates by reactive sputtering. Electron energy loss microscopy performed in a scanning transmission electron microscope (STEM/EELS) was used to corroborate the L-APT results and establish the overall thicknesses of the trilayers. Three separate batches were studied where the first (bottom) TiN layer was deposited at 500°C (for all batches) and the subsequent TiN/Ti bilayer was deposited at ambient temperature, 250°C, and 500°C, respectively. L-APT rendered an approximately planar TiN/Si interface by making use of plausible mass-spectral assignments to N 3 1+ , SiN 1+ , and SiO 1+ . This was necessary since ambiguities associated with the likely simultaneous occurrence of Si 1+ and N 2 1+ prevented their use in rendering the TiN/Si interface upon reconstruction. The non-superconducting Ti 2 N phase was also revealed by L-APT. Neither L-APT nor STEM/EELS rendered sharp Ti/TiN interfaces and the contrast between these layers diminished with increased film deposition temperature. L-APT also revealed that hydrogen was present in varying degrees in all samples including control samples that were composed of single layers of Ti or TiN. Published by Elsevier Ltd.

  5. Recrystallization in Si upon ion irradiation at room temperature in Co/Si(111) thin film systems

    NASA Astrophysics Data System (ADS)

    Banu, Nasrin; Satpati, B.; Dev, B. N.

    2018-04-01

    After several decades of research it was concluded that for a constant flux recrystallization in Si upon ion irradiation is possible only at high temperature. At low temperature or at room temperature only amorphization can take place. However we have observed recrystallization in Si upon ion irradiation at room temperature in a Co/Si thin film system. The Co/Si sample was prepared by deposition of 25 nm Co on clean Si(111) substrate. An oxide layer (˜ 2nm) of cobalt at the top of the film due to air exposure. The ion irradiation was done at room temperature under high vacuum with 1MeV Si+ ion with low beam current < 400 nA. Earlier we have shown similar ion induced recrystallization in Si(100) substrate which had a sandwich Si/Ni/Si structure. This system had an epitaxial buffer Si layer on Si substrate. This study also shows that the phenomenon is independent of substrate orientation and buffer layer. We have used transmission electron microscopy (TEM) to study the recrystallization behavior.

  6. The Role of the Substrate on Photophysical Properties of Highly Ordered 15R-SiC Thin Films

    NASA Astrophysics Data System (ADS)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-06-01

    We report on the structural optimization and photophysical properties of in situ RF-sputtered single crystalline 15R-SiC thin films deposited on various substrates (ZrO2, MgO, SiC, and Si). The role of the substrates on the structural, electronic, and photodynamic behavior of the grown films have been demonstrated using x-ray diffraction, photoluminescence (PL) and time-resolved photoluminescence spectroscopy. The appropriate bonding order and the presence of native oxide on the surface of the grown samples are confirmed by x-ray photoelectron spectroscopy measurement. A deep-blue PL emission has been observed corresponding to the Si-centered defects occurring in the native oxide. Deconvolution of the PL spectra manifested two decay mechanisms corresponding to the radiative recombination. The PL intensity and carrier lifetime were found to be substrate- dependent which may be ascribed to the variation in the trap-density of the films grown on different substrates.

  7. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  8. Fast growth of n-type 4H-SiC bulk crystal by gas-source method

    NASA Astrophysics Data System (ADS)

    Hoshino, Norihiro; Kamata, Isaho; Tokuda, Yuichiro; Makino, Emi; Kanda, Takahiro; Sugiyama, Naohiro; Kuno, Hironari; Kojima, Jun; Tsuchida, Hidekazu

    2017-11-01

    Fast growth of n-type 4H-SiC crystals was attempted using a high-temperature gas-source method. High growth rates exceeding 9 mm/h were archived at a seed temperature of 2550 °C, although the formation of macro-step bunching caused doping fluctuation and voids in the grown crystal. We investigated a trade-off between growth-rate enhancement and macro-step formation and how to improve the trade-off. By controlling the growth conditions, the growth of highly nitrogen-doped 4H-SiC crystals without the doping fluctuation and void formation were accomplished under a high growth rate exceeding 3 mm/h, maintaining the density of threading screw dislocations in the same level with the seed crystal. The influence of growth parameters on nitrogen incorporations into grown crystals was also surveyed.

  9. Integration of InGaAs MOSFETs and GaAs/ AlGaAs lasers on Si Substrate for advanced opto-electronic integrated circuits (OEICs).

    PubMed

    Kumar, Annie; Lee, Shuh-Ying; Yadav, Sachin; Tan, Kian Hua; Loke, Wan Khai; Dong, Yuan; Lee, Kwang Hong; Wicaksono, Satrio; Liang, Gengchiau; Yoon, Soon-Fatt; Antoniadis, Dimitri; Yeo, Yee-Chia; Gong, Xiao

    2017-12-11

    Lasers monolithically integrated with high speed MOSFETs on the silicon (Si) substrate could be a key to realize low cost, low power, and high speed opto-electronic integrated circuits (OEICs). In this paper, we report the monolithic integration of InGaAs channel transistors with electrically pumped GaAs/AlGaAs lasers on the Si substrate for future advanced OEICs. The laser and transistor layers were grown on the Si substrate by molecular beam epitaxy (MBE) using direct epitaxial growth. InGaAs n-FETs with an I ON /I OFF ratio of more than 10 6 with very low off-state leakage and a low subthreshold swing with a minimum of 82 mV/decade were realized. Electrically pumped GaAs/AlGaAs quantum well (QW) lasers with a lasing wavelength of 795 nm at room temperature were demonstrated. The overall fabrication process has a low thermal budget of no more than 400 °C.

  10. Surface roughness analysis of SiO2 for PECVD, PVD and IBD on different substrates

    NASA Astrophysics Data System (ADS)

    Amirzada, Muhammad Rizwan; Tatzel, Andreas; Viereck, Volker; Hillmer, Hartmut

    2016-02-01

    This study compares surface roughness of SiO2 thin layers which are deposited by three different processes (plasma-enhanced chemical vapor deposition, physical vapor deposition and ion beam deposition) on three different substrates (glass, Si and polyethylene naphthalate). Plasma-enhanced chemical vapor deposition (PECVD) processes using a wide range of deposition temperatures from 80 to 300 °C have been applied and compared. It was observed that the nature of the substrate does not influence the surface roughness of the grown layers very much. It is also perceived that the value of the surface roughness keeps on increasing as the deposition temperature of the PECVD process increases. This is due to the increase in the surface diffusion length with the rise in substrate temperature. The layers which have been deposited on Si wafer by ion beam deposition (IBD) process are found to be smoother as compared to the other two techniques. The layers which have been deposited on the glass substrates using PECVD reveal the highest surface roughness values in comparison with the other substrate materials and techniques. Different existing models describing the dynamics of clusters on surfaces are compared and discussed.

  11. Radiation Hardened Silicon-on-Insulator Structures with N+ Ion Modified Buried SiO2 Layer

    NASA Astrophysics Data System (ADS)

    Tyschenko, I. E.; Popov, V. P.

    2009-12-01

    Radiation-resistant silicon-on-insulator structures were produced by N+ ion implantation into thermally grown SiO2 film and subsequent hydrogen transfer of the Si layer to the nitrogen-implanted substrate under conditions of vacuum wafer bonding. Accumulation of the carriers in the buried SiO2 was investigated as a function of fluence of nitrogen ions in the range (1-6)×1015 cm2 and as a function of total radiation dose ranging from 104 to 107 rad (Si). It was found that the charge generated near the nitrided bonding interface was reduced by a factor of four compared to the thermal SiO2/Si interface.

  12. Synthesis and characterization study of n-Bi2O3/p-Si heterojunction dependence on thickness

    NASA Astrophysics Data System (ADS)

    Al-Maiyaly, Bushra K. H.; Hussein, Bushra H.; Salih, Ayad A.; Shaban, Auday H.; Mahdi, Shatha H.; Khudayer, Iman H.

    2018-05-01

    In this work, Bi2O3 was deposited as a thin film of different thickness (400, 500, and 600 ±20 nm) by using thermal oxidation at 573 K with ambient oxygen of evaporated bismuth (Bi) thin films in a vacuum on glass substrate and on Si wafer to produce n-Bi2O3/p-Si heterojunction. The effect of thickness on the structural, electrical, surface and optical properties of Bi2O3 thin films was studied. XRD analysis reveals that all the as deposited Bi2O3 films show polycrystalline tetragonal structure, with preferential orientation in the (201) direction, without any change in structure due to increase of film thickness. AFM and SEM images are used to investigate the influences of film thickness on surface properties. The optical measurement were taken for the wave length range (400-1100) nm showed that the nature of the optical transition has been direct allowed with average band gap energies varies in the range of (2.9-2.25) eV with change thickness parameter. The extent and nature of transmittance, absorbance, reflectance and optimized band gap of the material assure to utilize it for photovoltaic applications. Hall measurements showed that all the films are n-type. The electrical properties of n-Bi2O3/p-Si heterojunction (HJ) were obtained by I-V (dark and illuminated) and C-V measurement at frequency (10 MHz) at different thickness. The ideality factor saturation current density, depletion width, built-in potential and carrier concentration are characterized under different thickness. The results show these HJ were of abrupt type. The photovoltaic measurements short-circuit current density, open-circuit voltage, fill factor and efficiencies are determined for all samples. Finally thermal oxidation allowed fabrication n-Bi2O3/p-Si heterojunction with different thickness for solar cell application.

  13. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiN{sub x}/SiN{sub y} multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Xiaofan; Ma, Zhongyuan, E-mail: zyma@nju.edu.cn; Yang, Huafeng

    2014-09-28

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN{sub x}/SiN{sub y} multilayers with high on/off ratio of 10{sup 9}. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos,more » we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.« less

  14. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  15. Preparation of ITO/SiOx/n-Si solar cells with non-decline potential field and hole tunneling by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Du, H. W.; Yang, J.; Li, Y. H.; Xu, F.; Xu, J.; Ma, Z. Q.

    2015-03-01

    Complete photo-generated minority carrier's quantum tunneling device under AM1.5 illumination is fabricated by depositing tin-doped indium oxide (ITO) on n-type silicon to form a structure of ITO/SiOx/n-Si heterojunction. The work function difference between ITO and n-Si materials essentially acts as the origin of built-in-field. Basing on the measured value of internal potential (Vbi = 0.61 V) and high conversion efficiency (9.27%), we infer that this larger photo-generated holes tunneling occurs when a strong inversion layer at the c-Si surface appears. Also, the mixed electronic states in the ultra-thin intermediate region between ITO and n-Si play a defect-assisted tunneling.

  16. Effect of CoSi2 buffer layer on structure and magnetic properties of Co films grown on Si (001) substrate

    NASA Astrophysics Data System (ADS)

    Hu, Bo; He, Wei; Ye, Jun; Tang, Jin; Syed Sheraz, Ahmad; Zhang, Xiang-Qun; Cheng, Zhao-Hua

    2015-01-01

    Buffer layer provides an opportunity to enhance the quality of ultrathin magnetic films. In this paper, Co films with different thickness of CoSi2 buffer layers were grown on Si (001) substrates. In order to investigate morphology, structure, and magnetic properties of films, scanning tunneling microscope (STM), low energy electron diffraction (LEED), high resolution transmission electron microscopy (HRTEM), and surface magneto-optical Kerr effect (SMOKE) were used. The results show that the crystal quality and magnetic anisotropies of the Co films are strongly affected by the thickness of CoSi2 buffer layers. Few CoSi2 monolayers can prevent the interdiffusion of Si substrate and Co film and enhance the Co film quality. Furthermore, the in-plane magnetic anisotropy of Co film with optimal buffer layer shows four-fold symmetry and exhibits the two-jumps of magnetization reversal process, which is the typical phenomenon in cubic (001) films. Project supported by the National Basic Research Program of China (Grant Nos. 2011CB921801 and 2012CB933102), the National Natural Science Foundation of China (Grant Nos. 11374350, 11034004, 11274361, and 11274033), and the Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20131102130005).

  17. Effects of patterning induced stress relaxation in strained SOI/SiGe layers and substrate

    NASA Astrophysics Data System (ADS)

    Hermann, P.; Hecker, M.; Renn, F.; Rölke, M.; Kolanek, K.; Rinderknecht, J.; Eng, L. M.

    2011-06-01

    Local stress fields in strained silicon structures important for CMOS technology are essentially related to size effects and properties of involved materials. In the present investigation, Raman spectroscopy was utilized to analyze the stress distribution within strained silicon (sSi) and silicon-germanium (SiGe) island structures. As a result of the structuring of initially unpatterned strained films, a size-dependent relaxation of the intrinsic film stresses was obtained in agreement with model calculations. This changed stress state in the features also results in the appearance of opposing stresses in the substrate underneath the islands. Even for strained island structures on top of silicon-on-insulator (SOI) wafers, corresponding stresses in the silicon substrate underneath the oxide were detected. Within structures, the stress relaxation is more pronounced for islands on SOI substrates as compared to those on bulk silicon substrates.

  18. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  19. Ultra-high current density thin-film Si diode

    DOEpatents

    Wang; Qi

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  20. Selective PEGylation of Parylene-C/SiO2 Substrates for Improved Astrocyte Cell Patterning.

    PubMed

    Raos, B J; Doyle, C S; Simpson, M C; Graham, E S; Unsworth, C P

    2018-02-09

    Controlling the spatial distribution of glia and neurons in in vitro culture offers the opportunity to study how cellular interactions contribute to large scale network behaviour. A recently developed approach to cell-patterning uses differential adsorption of animal-serum protein on parylene-C and SiO 2 surfaces to enable patterning of neurons and glia. Serum, however, is typically poorly defined and generates reproducibility challenges. Alternative activation methods are highly desirable to enable patterning without relying on animal serum. We take advantage of the innate contrasting surface chemistries of parylene-C and SiO 2 to enable selective bonding of polyethylene glycol SiO 2 surfaces, i.e. PEGylation, rendering them almost completely repulsive to cell adhesion. As the reagents used in the PEGylation protocol are chemically defined, the reproducibility and batch-to-batch variability complications associated with the used of animal serum are avoided. We report that PEGylated parylene-C/SiO 2 substrates achieve a contrast in astrocyte density of 65:1 whereas the standard serum-immersion protocol results in a contrast of 5.6:1. Furthermore, single-cell isolation was significantly improved on PEGylated substrates when astrocytes were grown on close-proximity parylene-C nodes, whereas isolation was limited on serum-activated substrates due tolerance for cell adhesion on serum-adsorbed SiO 2 surfaces.

  1. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  2. The Interface Influence in TiN/SiN x Multilayer Nanocomposite Under Irradiation

    NASA Astrophysics Data System (ADS)

    Uglov, V. V.; Safronov, I. V.; Kvasov, N. T.; Remnev, G. E.; Shimanski, V. I.

    2018-01-01

    The paper focuses on studying the kinetics of radiation-induced point defects formed in TiN/SiN x multilayer nanocomposites with account of their generation, diffusion recombination, and the influence of sinks functioning as interfaces. In order to describe the kinetics in nanocrystalline TiN and amorphous SiN x phases, a finite-difference method is used to solve the system of balance kinetic equations for absolute defect concentrations depending on the spatiotemporal variables. A model of the disclination-dislocation interface structure is used to study the absorption of radiation-induced point defects on the boundaries in created stress fields. It is shown that the interface effectively absorbs point defects in these phases of TiN/SiN x multilayer nanocomposite, thereby reducing their amount within the space between phases. This behavior of point defects partially explains a mechanism of the radiation resistance in this type of nanocomposites.

  3. Effective Passivation and Tunneling Hybrid a-SiOx(In) Layer in ITO/n-Si Heterojunction Photovoltaic Device.

    PubMed

    Gao, Ming; Wan, Yazhou; Li, Yong; Han, Baichao; Song, Wenlei; Xu, Fei; Zhao, Lei; Ma, Zhongquan

    2017-05-24

    . In addition, the strong inversion layer in the surface of the n-Si substrate is tentatively correlated to the a-SiO x (In) interface layer as well.

  4. Determination of carrier concentration by Fano interference of Raman scattering in heavily doped n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Mitani, Takeshi; Nakashima, Shin-ichi; Kojima, Kazutoshi; Kato, Tomohisa; Okumura, Hajime

    2012-08-01

    For n-type 4H-SiC crystals with carrier concentrations between 2 × 1017 and 2.5 × 1020 cm-3, Fano interference of the folded transverse acoustic (FTA) doublet modes was observed. The Fano line-shape parameters were shown to vary with carrier concentration. It is proposed that the peak shifts in the FTA modes resulting from interference with an electronic continuum state can be used to measure carrier concentration for n-type 4H-SiC up to 1020 cm-3. In addition, the relative intensity of the FTA doublet modes varies markedly with carrier concentrations above 5 × 1018 cm-3. This suggests that mode coupling occurs between the FTA doublet components. The variation in the intensity ratio is attributed to the intensity transfer between the FTA doublet components. This mode coupling arises from a phonon-phonon interaction via electronic continuum state-phonon interactions.

  5. GaN-based light-emitting diodes on various substrates: a critical review.

    PubMed

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  6. Verification of Fowler-Nordheim electron tunneling mechanism in Ni/SiO2/n-4H SiC and n+ poly-Si/SiO2/n-4H SiC MOS devices by different models

    NASA Astrophysics Data System (ADS)

    Kodigala, Subba Ramaiah

    2016-11-01

    This article emphasizes verification of Fowler-Nordheim electron tunneling mechanism in the Ni/SiO2/n-4H SiC MOS devices by developing three different kinds of models. The standard semiconductor equations are categorically solved to obtain the change in Fermi energy level of semiconductor with effect of temperature and field that extend support to determine sustainable and accurate tunneling current through the oxide layer. The forward and reverse bias currents with variation of electric field are simulated with help of different models developed by us for MOS devices by applying adequate conditions. The latter is quite different from former in terms of tunneling mechanism in the MOS devices. The variation of barrier height with effect of quantum mechanical, temperature, and fields is considered as effective barrier height for the generation of current-field (J-F) curves under forward and reverse biases but quantum mechanical effect is void in the latter. In addition, the J-F curves are also simulated with variation of carrier concentration in the n-type 4H SiC semiconductor of MOS devices and the relation between them is established.

  7. Gallium-Doped Poly-Si:Ga/SiO 2 Passivated Emitters to n-Cz Wafers With iV oc >730 mV

    DOE PAGES

    Young, David L.; Lee, Benjamin G.; Fogel, Derek; ...

    2017-09-26

    Here, we form gallium-doped poly-Si:Ga/SiO 2 passivated contacts on n-type Czochralski (n-Cz) wafers using ion implantation of Ga and Ga-containing spin-on dopants. After annealing and passivation with Al 2O 3, the contacts exhibit i Voc values of >730 mV with corresponding Joe values of <5 fA/cm 2. These are among the best-reported values for p-type poly-Si/SiO 2 contacts. Secondary ion mass spectroscopic depth profile data show that, in contrast to B, Ga does not pileup at the SiO 2 interface in agreement with its known high diffusivity in SiO 2. This lack of Ga pileup may imply fewer dopant-related defectsmore » in the SiO 2, compared with B dopants, and account for the excellent passivation.« less

  8. Metastability of a-SiOx:H thin films for c-Si surface passivation

    NASA Astrophysics Data System (ADS)

    Serenelli, L.; Martini, L.; Imbimbo, L.; Asquini, R.; Menchini, F.; Izzi, M.; Tucci, M.

    2017-01-01

    The adoption of a-SiOx:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiNx on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiOx:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Sisbnd H and Sisbnd O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm2. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiOx:H/c-Si/a-SiOx:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiOx passivation properties, was furthermore considered. In particular we monitored the UV light soaking effect on c-Si wafers after a-SiOx:H coating by PECVD and after a thermal annealing treatment at 300 °C for 30 min, having selected these conditions on the basis of the study of the effect due to different temperatures and durations. We correlated the lifetime evolution and the metastability effect of thermal annealing to the a-SiOx:H/c-Si interface considering the evolution

  9. Simulation of temperature dependent dielectric breakdown in n{sup +}-polySi/SiO{sub 2}/n-6H-SiC structures during Poole-Frenkel stress at positive gate bias

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samanta, Piyas, E-mail: piyas@vcfw.org; Mandal, Krishna C., E-mail: mandalk@cec.sc.edu

    2016-08-14

    We present for the first time a thorough investigation of trapped-hole induced gate oxide deterioration and simulation results of time-dependent dielectric breakdown (TDDB) of thin (7–25 nm) silicon dioxide (SiO{sub 2}) films thermally grown on (0 0 0 1) silicon (Si) face of n-type 6H-silicon carbide (n-6H-SiC). Gate oxide reliability was studied during both constant voltage and current stress with positive bias on the degenerately doped n-type poly-crystalline silicon (n{sup +}-polySi) gate at a wide range of temperatures between 27 and 225 °C. The gate leakage current was identified as the Poole-Frenkel (PF) emission of electrons trapped at an energy 0.92 eV belowmore » the SiO{sub 2} conduction band. Holes were generated in the n{sup +}-polySi anode material as well as in the oxide bulk via band-to-band ionization depending on the film thickness t{sub ox} and the energy of the hot-electrons (emitted via PF mechanism) during their transport through oxide films at oxide electric fields E{sub ox} ranging from 5 to 10 MV/cm. Our simulated time-to-breakdown (t{sub BD}) results are in excellent agreement with those obtained from time consuming TDDB measurements. It is observed that irrespective of stress temperatures, the t{sub BD} values estimated in the field range between 5 and 9 MV/cm better fit to reciprocal field (1/E) model for the thickness range studied here. Furthermore, for a 10 year projected device lifetime, a good reliability margin of safe operating field from 8.5 to 7.5 MV/cm for 7 nm and 8.1 to 6.9 MV/cm for 25 nm thick SiO{sub 2} was observed between 27 and 225 °C.« less

  10. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  11. Synthesis of TiN/a-Si3N4 thin film by using a Mather type dense plasma focus system

    NASA Astrophysics Data System (ADS)

    Hussain, T.; R., Ahmad; Khalid, N.; A. Umar, Z.; Hussnain, A.

    2013-05-01

    A 2.3 kJ Mather type pulsed plasma focus device was used for the synthesis of a TiN/a-Si3N4 thin film at room temperature. The film was characterized using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The XRD pattern confirms the growth of polycrystalline TiN thin film. The XPS results indicate that the synthesized film is non-stoichiometric and contains titanium nitride, silicon nitride, and a phase of silicon oxy-nitride. The SEM and AFM results reveal that the surface of the synthesized film is quite smooth with 0.59 nm roughness (root-mean-square).

  12. Characterization of a n+3C/n−4H SiC heterojunction diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minamisawa, R. A.; Mihaila, A.; Farkas, I.

    We report on the fabrication of n + 3C/n-4H SiC heterojunction diodes (HJDs) potentially promising the ultimate thermal stability of the junction. The diodes were systematically analyzed by TEM, X-ray diffraction, AFM, and secondary ion mass spectroscopy, indicating the formation of epitaxial 3C-SiC crystal on top of 4H-SiC substrate with continuous interface, low surface roughness, and up to ∼7 × 10{sup 17 }cm{sup −3} dopant impurity concentration. The conduction band off-set is about 1 V as extracted from CV measurements, while the valence bands of both SiC polytypes are aligned. The HJDs feature opening voltage of 1.65 V, consistent with the barrier height of about 1.5 eV extractedmore » from CV measurement. We finally compare the electrical results of the n + 3C/n-4H SiC heterojunction diodes with those featuring Si and Ge doped anodes in order to evaluate current challenges involved in the fabrication of such devices.« less

  13. Optoelectronic Characterization of Infrared Photodetector Fabricated on Ge-on-Si Substrate.

    PubMed

    Khurelbaatar, Zagarzusem; Kil, Yeon-Ho; Kim, Taek Sung; Shim, Kyu-Hwan; Hong, Hyobong; Choi, Chel-Jong

    2015-10-01

    We report on the optoelectronic characterization of Ge p-i-n infrared photodetector fabricated on Ge-on-Si substrate using rapid thermal chemical vapor deposition (RTCVD). The phosphorous doping concentration and the root mean square (RMS) surface roughness of epitaxial layer was estimated to be 2 x 10(18) cm(-3) and 1.2 nm, respectively. The photodetector were characterized with respect to their dark, photocurrent and responsivities in the wavelength range of 1530-1630 nm. At 1550 nm wavelength, responsivity of 0.32 A/W was measured for a reverse bias of 1 V, corresponding to 25% external quantum efficiency, without an optimal antireflection coating. Responsivity drastically reduced from 1560 nm wavelength which could be attributed to decreased absorption of Ge at room temperature.

  14. Liquid-phase growth of few-layered graphene on sapphire substrates using SiC micropowder source

    NASA Astrophysics Data System (ADS)

    Maruyama, Takahiro; Yamashita, Yutaka; Saida, Takahiro; Tanaka, Shin-ichiro; Naritsuka, Shigeya

    2017-06-01

    We demonstrated direct synthesis of graphene films consisting of a few layers (few-layered graphene) on sapphire substrates by liquid-phase growth (LPG), using liquid Ga as the melt and SiC micropowder as the source material. When the dissolution temperature was above 700 °C, almost all Si atoms of SiC diffused into the Ga melt and only carbon atoms remained at the interface beneath the liquid Ga. Above 800 °C, X-ray photoelectron spectra showed that most of the remaining carbon was graphitized. When the dissolution temperature was 1000 °C, Raman spectra showed that few-layered graphene films grew on the sapphire substrates.

  15. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  16. Nanofabrication on monocrystalline silicon through friction-induced selective etching of Si3N4 mask

    PubMed Central

    2014-01-01

    A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication. PMID:24940174

  17. Mechanism of Carrier Transport in Hybrid GaN/AlN/Si Solar Cells

    NASA Astrophysics Data System (ADS)

    Ekinci, Huseyin; Kuryatkov, Vladimir V.; Gherasoiu, Iulian; Karpov, Sergey Y.; Nikishin, Sergey A.

    2017-10-01

    The particularities of the carrier transport in p- n-GaN/ n-AlN/ p- n-Si and n-GaN/ n-AlN /p- n-Si structures were investigated through temperature-dependent current density and forward voltage ( J- V) measurements, carrier distribution, and transport modeling. Despite the insulating properties of AlN, reasonably high current densities were achieved under forward bias. The experimental relationship between the current density and forward voltage was accurately approximated by an expression accounting for space-charge-limited current in the AlN layer and non-linear characteristics of the p- n junction formed in silicon. We suggest that extended defects throughout the AlN volume are responsible for the conduction, although the limited data available do not allow the accurate identification of the type of these defects.

  18. Highly conducting and wide band gap phosphorous doped nc-Si–QD/a-SiC films as n-type window layers for solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kar, Debjit; Das, Debajyoti, E-mail: erdd@iacs.res.in

    2016-05-23

    Nano-crystalline silicon quantum dots (Si-QDs) embedded in the phosphorous doped amorphous silicon carbide (a-SiC) matrix has been successfully prepared at a low temperature (300 °C) by inductively coupled plasma assisted chemical vapor deposition (ICP-CVD) system from (SiH{sub 4} + CH{sub 4})-plasma with PH{sub 3} as the doping gas. The effect of PH{sub 3} flow rate on structural, optical and electrical properties of the films has been studied. Phosphorous doped nc-Si–QD/a-SiC films with high optical band gap (>1.9 eV) and superior conductivity (~10{sup −2} S cm{sup −1}) are obtained, which could be appropriately used as n-type window layers for nc-Si solarmore » cells in n-i-p configuration.« less

  19. Buckling reversal of the Si(111) bilayer termination of 2-dimensional ErSi2 upon H dosing

    NASA Astrophysics Data System (ADS)

    Wetzel, P.; Pirri, C.; Gewinner, G.

    1997-05-01

    Hydrogen-induced reconstruction of 2-dimensional (2D) ErSi2 epitaxially grown on Si(111) is studied by Auger-electron diffraction (AED) and low-energy electron diffraction (LEED). The intensity of the Er MNN Auger line is measured vs. polar angle along the [1 - 2 1] and [- 1 2 - 1] azimuths for clean and H-saturated (1 × 1) ErSi2 silicides. The atomic structure of clean 2D silicide, previously established by AED as well as other techniques, consists of a hexagonal monolayer of Er located underneath a buckled Si layer comparable to the Si(111) substrate double layers. Moreover, for clean 2D ErSi2 only the B-type orientation is observed, i.e. the buckled Si top layer is always rotated by 180° around the surface normal relative to the relevant double layers of the substrate. After atomic H saturation, AED reveals drastic changes in the silicide structure involving a major most remarkable reconstruction of the Si bilayer termination. The latter is found to switch from B-type to A-type orientation upon H dosing, i.e. H-saturated 2D ErSi2 exhibits a buckled Si top layer oriented in the same way as the substrate double layers. A comparison with single scattering cluster simulations demonstrates that the latter phenomenon is accompanied by a large expansion of the Er-Si interlayer spacing close to 0.3 Å.

  20. Variations in interface compound nucleation for Ti-Al ultrathin films on Si substrates

    NASA Astrophysics Data System (ADS)

    Han, C. C.; Bené, R. W.

    1985-11-01

    We have determined the structures of compounds nucleated in a series of sequentially sputtered thin bilayer films of Al and Ti on Si substrates for a range of metal thicknesses and for both (100) and (111) substrates. The compound structures were determined by transmission electron microscopy and diffraction, augmented by Auger electron spectroscopy. An annealing temperature of about 380 °C for 30 min was required to produce compound nucleation. For the Ti/Al/Si(100) system it was found that the phases which were nucleated for samples with 30-min, 380 °C anneals varied from TiAl3 to Ti8Al24 to an unknown compound of tetragonal structure (a=b=5.782 Å, c=6.713 Å) as the Al intermediate layer thickness is changed from 200 to 60 to 40 Å. TiAl3 was the compound nucleated at 380 °C for all other cases. Finally, 410 °C annealing of the Al/Ti/Si(100) samples for 30 min resulted in formation of an apparently Al-altered form of TiSi2.

  1. Electrical and Structural Analysis on the Formation of n-type Junction in Germanium

    NASA Astrophysics Data System (ADS)

    Aziz, Umar Abdul; Nadhirah Mohamad Rashid, Nur; Rahmah Aid, Siti; Centeno, Anthony; Ikenoue, Hiroshi; Xie, Fang

    2017-05-01

    Germanium (Ge) has re-emerged as a potential candidate to replace silicon (Si) as a substrate, due to its higher carrier mobility properties that are the key point for the realization of devices high drive current. However, the fabrication process of Ge is confronted with many problems such as low dopant electrical activation and the utilization of heavy n-type dopant atoms during ion implantation. These problems result in more damage and defects that can affect dopant activation. This paper reports the electrical and structural analysis on the formation of n-type junction in Ge substrate by ion implantation, followed by excimer laser annealing (ELA) using KrF laser. ELA parameters such as laser fluences were varied from 100 - 2000 mJ/cm2 and shot number between 1 - 1000 to obtain the optimized parameter of ELA with a high degree of damage and defect removal. Low resistance with a high degree of crystallinity is obtained for the samples annealed with less than five shot number. Higher shot number with high laser fluence, shows a high degree of ablation damage.

  2. Mechanism of oxide thickness and temperature dependent current conduction in n+-polySi/SiO2/p-Si structures — a new analysis

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas

    2017-10-01

    The conduction mechanism of gate leakage current through thermally grown silicon dioxide (SiO2) films on (100) p-type silicon has been investigated in detail under negative bias on the degenerately doped n-type polysilicon (n+-polySi) gate. The analysis utilizes the measured gate current density J G at high oxide fields E ox in 5.4 to 12 nm thick SiO2 films between 25 and 300 °C. The leakage current measured up to 300 °C was due to Fowler-Nordheim (FN) tunneling of electrons from the accumulated n +-polySi gate in conjunction with Poole Frenkel (PF) emission of trapped-electrons from the electron traps located at energy levels ranging from 0.6 to 1.12 eV (depending on the oxide thickness) below the SiO2 conduction band (CB). It was observed that PF emission current I PF dominates FN electron tunneling current I FN at oxide electric fields E ox between 6 and 10 MV/cm and throughout the temperature range studied here. Understanding of the mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown (TDDB) of metaloxide-semiconductor (MOS) devices and to precisely predict the normal operating field or applied gate voltage for lifetime projection of the MOS integrated circuits.

  3. High temperature compounds for turbine vanes. [of SiC, Si3N4, and Si composites

    NASA Technical Reports Server (NTRS)

    Rhodes, W. H.; Cannon, R. M., Jr.

    1974-01-01

    Fabrication and microstructure control studies were conducted on SiC, Si3N and composites based on Si3N. Charpy mode impact testing to 2400 F established that Si3N4/Mo composites have excellent potential. Attempts to fabricate composites of Si3N4 with superalloys, both by hot pressing and infiltration were largely unsuccessful in comparison to using Mo, Re, and Ta which are less reactive. Modest improvements in impact strength were realized for monolithic Si3N4; however, SiC strengths increased by a factor of six and now equal values achieved for Si3N4. Correlations of impact strength with material properties are discussed. Reduced MgO densification aid additions to Si3N4 were found to decrease densification kinetics, increase final porosity, decrease room temperature bend strength, increase high temperature bend strength, and decrease bend stress rupture properties. The decrease in bend strength at high temperature for fine grain size SiC suggested that a slightly larger grain size material with a nearly constant strength-temperature relation may prove desirable in the creep and stress rupture mode.

  4. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    PubMed

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  5. Low temperature thermal ALD of a SiNx interfacial diffusion barrier and interface passivation layer on SixGe1- x(001) and SixGe1- x(110)

    NASA Astrophysics Data System (ADS)

    Edmonds, Mary; Sardashti, Kasra; Wolf, Steven; Chagarov, Evgueni; Clemons, Max; Kent, Tyler; Park, Jun Hong; Tang, Kechao; McIntyre, Paul C.; Yoshida, Naomi; Dong, Lin; Holmes, Russell; Alvarez, Daniel; Kummel, Andrew C.

    2017-02-01

    Atomic layer deposition of a silicon rich SiNx layer on Si0.7Ge0.3(001), Si0.5Ge0.5(001), and Si0.5Ge0.5(110) surfaces has been achieved by sequential pulsing of Si2Cl6 and N2H4 precursors at a substrate temperature of 285 °C. XPS spectra show a higher binding energy shoulder peak on Si 2p indicative of SiOxNyClz bonding while Ge 2p and Ge 3d peaks show only a small amount of higher binding energy components consistent with only interfacial bonds, indicating the growth of SiOxNy on the SiGe surface with negligible subsurface reactions. Scanning tunneling spectroscopy measurements confirm that the SiNx interfacial layer forms an electrically passive surface on p-type Si0.70Ge0.30(001), Si0.50Ge0.50(110), and Si0.50Ge0.50(001) substrates as the surface Fermi level is unpinned and the electronic structure is free of states in the band gap. DFT calculations show that a Si rich a-SiO0.4N0,4 interlayer can produce lower interfacial defect density than stoichiometric a-SiO0.8N0.8, substoichiometric a-Si3N2, or stoichiometric a-Si3N4 interlayers by minimizing strain and bond breaking in the SiGe by the interlayer. Metal-oxide-semiconductor capacitors devices were fabricated on p-type Si0.7Ge0.3(001) and Si0.5Ge0.5(001) substrates with and without the insertion of an ALD SiOxNy interfacial layer, and the SiOxNy layer resulted in a decrease in interface state density near midgap with a comparable Cmax value.

  6. Heat-Electric Power Conversion Without Temperature Difference Using Only n-Type Ba8Au x Si46-x Clathrate with Au Compositional Gradient

    NASA Astrophysics Data System (ADS)

    Osakabe, Yuki; Tatsumi, Shota; Kotsubo, Yuichi; Iwanaga, Junpei; Yamasoto, Keita; Munetoh, Shinji; Furukimi, Osamu; Nakashima, Kunihiko

    2018-02-01

    Thermoelectric power generation is typically based on the Seebeck effect under a temperature gradient. However, the heat flux generated by the temperature difference results in low conversion efficiency. Recently, we developed a heat-electric power conversion mechanism using a material consisting of a wide-bandgap n-type semiconductor, a narrow-bandgap intrinsic semiconductor, and a wide-bandgap p-type semiconductor. In this paper, we propose a heat-electric power conversion mechanism in the absence of a temperature difference using only n-type Ba8Au x Si46-x clathrate. Single-crystal Ba8Au x Si46-x clathrate with a Au compositional gradient was synthesized by Czochralski method. Based on the results of wavelength-dispersive x-ray spectroscopy and Seebeck coefficient measurements, the presence of a Au compositional gradient in the sample was confirmed. It also observed that the electrical properties changed gradually from wide-bandgap n-type to narrow-bandgap n-type. When the sample was heated in the absence of a temperature difference, the voltage generated was approximately 0.28 mV at 500°C. These results suggest that only an n-type semiconductor with a controlled bandgap can generate electric power in the absence of a temperature difference.

  7. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    NASA Technical Reports Server (NTRS)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  8. Quantitative investigation into the source of current slump in AlGaN/GaN HEMT on both Si (111) and sapphire: Self-heating and trapping

    NASA Astrophysics Data System (ADS)

    Bag, Ankush; Mukhopadhyay, Partha; Ghosh, Saptarsi; Das, Palash; Chakraborty, Apurba; Dinara, Syed M.; Kabi, Sanjib; Biswas, Dhurbes

    2015-05-01

    We have experimentally studied trapping and self-heating effect in terms of current slump in AlGaN/GaN HEMT grown and identically processed on Silicon (111) and Sapphire (0001) substrates. Different responses have been observed through DC characterization of different duty cycle (100%, 50%, 5% and 0.5%) of pulses at drain end. Effect of self-heating is more in case of HEMT on Sapphire due to its comparative poor thermal conductivity whereas trapped charges have strong contribution in current drop of HEMT on Si (111) due to larger lattice as well as thermal expansion coefficient mismatched epitaxy between GaN and Si (111). These results have been compared among substrates that lead us to find out optimal source of current slump quantitatively between traps and self-heating.

  9. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    NASA Astrophysics Data System (ADS)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  10. Fabrication and characterization of {110}-oriented Pb(Zr,Ti)O3 thin films on Pt/SiO2/Si substrates using PdO//Pd buffer layer

    NASA Astrophysics Data System (ADS)

    Oshima, Naoya; Uchiyama, Kiyoshi; Ehara, Yoshitaka; Oikawa, Takahiro; Ichinose, Daichi; Tanaka, Hiroki; Sato, Tomoya; Uchida, Hiroshi; Funakubo, Hiroshi

    2017-10-01

    A strongly {110}-oriented perovskite-type thin film of tetragonal Pb(Zr0.4Ti0.6)O3 (PZT) was successfully obtained on a (100)Si substrate using a {101}PdO//{111}Pd thin film as a buffer layer. The {101}PdO//{111}Pd thin film buffer layer was obtained by oxidizing {111}Pd after depositing {111}Pd on a {111}Pt/TiO x /SiO2/{100}Si substrate. Using this buffer layer, a {110} c -oriented SrRuO3 (SRO) thin film was deposited by sputtering as a bottom electrode of PZT thin films. Subsequently, the {110}-oriented PZT thin film can be deposited on a (110) c SRO thin film by metal-organic chemical deposition (MOCVD) and its properties can be compared with those of PZT thin films with other orientations of {100} and {111}. Among the {100}, {110}, {111}-oriented PZT films, the {100}-oriented one showed the largest remnant polarization, which is in good agreement with those of the PZTs epitaxially grown in the 〈100〉, 〈110〉, and 〈111〉 directions. The other properties, i.e., piezoelectricity and dielectric constants, also showed similar anisotropic tendencies, which is in good agreement with the data reported in the epitaxially grown PZTs.

  11. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  12. Engineering future light emitting diodes and photovoltaics with inexpensive materials: Integrating ZnO and Si into GaN-based devices

    NASA Astrophysics Data System (ADS)

    Bayram, C.; Shiu, K. T.; Zhu, Y.; Cheng, C. W.; Sadana, D. K.; Teherani, F. H.; Rogers, D. J.; Sandana, V. E.; Bove, P.; Zhang, Y.; Gautier, S.; Cho, C.-Y.; Cicek, E.; Vashaei, Z.; McClintock, R.; Razeghi, M.

    2013-03-01

    Indium Gallium Nitride (InGaN) based PV have the best fit to the solar spectrum of any alloy system and emerging LED lighting based on InGaN technology and has the potential to reduce energy consumption by nearly one half while enabling significant carbon emission reduction. However, getting the maximum benefit from GaN diode -based PV and LEDs will require wide-scale adoption. A key bottleneck for this is the device cost, which is currently dominated by the substrate (i.e. sapphire) and the epitaxy (i.e. GaN). This work investigates two schemes for reducing such costs. First, we investigated the integration of Zinc Oxide (ZnO) in InGaN-based diodes. (Successful growth of GaN on ZnO template layers (on sapphire) was illustrated. These templates can then be used as sacrificial release layers for chemical lift-off. Such an approach provides an alternative to laser lift-off for the transfer of GaN to substrates with a superior cost-performance profile, plus an added advantage of reclaiming the expensive single-crystal sapphire. It was also illustrated that substitution of low temperature n-type ZnO for n-GaN layers can combat indium leakage from InGaN quantum well active layers in inverted p-n junction structures. The ZnO overlayers can also double as transparent contacts with a nanostructured surface which enhances light in/out coupling. Thus ZnO was confirmed to be an effective GaN substitute which offers added flexibility in device design and can be used in order to simultaneously reduce the epitaxial cost and boost the device performance. Second, we investigated the use of GaN templates on patterned Silicon (100) substrates for reduced substrate cost LED applications. Controlled local metal organic chemical vapor deposition epitaxy of cubic phase GaN with on-axis Si(100) substrates was illustrated. Scanning electron microscopy and transmission electron microscopy techniques were used to investigate uniformity and examine the defect structure in the GaN. Our

  13. Characterization of Defects in N-type 4H-SiC After High-Energy N Ion Implantation by RBS-Channeling and Raman Spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kummari, Venkata C.; Reinert, Tilo; Jiang, Weilin

    Implantation with 1 MeV N ions was performed at room temperature in n-type 4H-SiC(0001) to four implantation fluences (or doses in dpa (displacements per atom) at the damage peak) of 1.5×1013(0.0034), 7.8×1013(0.018), 1.5×1014(0.034), and 7.8×1014(0.18) ions/cm2, respectively. The evolution of disorder was studied using Rutherford backscattering spectrometry in channeling mode (RBS-C) and Raman spectroscopy. The disorder in the Si sub-lattice was found to be less than 10% for the dpa of 0.0034 and 0.0178 and increased to 40% and 60% for the dpa of 0.034 and 0.178 respectively. Raman Spectroscopy was performed using a green laser of wavelength 532 nmmore » as excitation source. The normalized Raman Intensity, In shows disorder of 41%, 69%, 77% and 100% for the dpa of 0.0034, 0.017, 0.034 and 0.178 respectively. In this paper, the characterizations of the defects produced due to the Nitrogen implantation in 4H-SiC are presented and the results are discussed.« less

  14. Fabrication and characterization study of ZnTe/n-Si heterojunction solar cell application

    NASA Astrophysics Data System (ADS)

    AlMaiyaly, BushraK H.; Hussein, Bushra H.; Shaban, Auday H.

    2018-05-01

    Different thicknesses (150 250 and 350) ±20 nm has been deposited on the glass substrate and nSi wafer to fabricate ZnTe/n-Si heterojunction solar cell by vacuum evaporation technique Structural optical electrical and photovoltaic properties are investigated for the samples. The structural characteristics studied via X ray analyses indicated that the films are polycrystalline besides having a cubic (zinc blende) structure also average diameter and surface roughness calculated from AFM images The optical measurements of the deposited films were performed in different thicknesses to determine the transmission spectrum as a function of incident wavelength in the range of wavelength (4001000) nm and the optical energy gap calculated from the optical absorption spectra was found to reduse with thickness The IV characteristic at (dark and illuminated) and CV measurement for ZnTe/n-Si heterojunction shows the good rectifying behaviour under dark condition. The measurements of opencircuit voltage (VOC) short-circuit current density (JSC) fill factor (FF) and quantum fficiencies of the ZnTe/n-Si heterojunction are calculated for all samples The results of these studies are presented and discussed in this paper.

  15. SPICE Modeling of Body Bias Effect in 4H-SiC Integrated Circuit Resistors

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.

    2017-01-01

    The DC electrical behavior of n-type 4H-SiC resistors used for realizing 500C durable integrated circuits (ICs) is studied as a function of substrate bias and temperature. Improved fidelity electrical simulation is described using SPICE NMOS model to simulate resistor substrate body bias effect that is absent from the SPICE semiconductor resistor model.

  16. Comprehensive thermoelectric properties of n- and p-type 78a/o Si - 22a/o Ge alloy

    NASA Technical Reports Server (NTRS)

    Raag, V.

    1978-01-01

    The time and temperature dependence of the thermoelectric properties on n- and p-type 78 a/o Si - 22 a/o Ge alloy are presented in detail for the range of temperatures of zero to 1000 C and operating times up to twelve years. The mechanisms responsible for the time dependence of the properties are discussed and mathematical models used in the derivation of the property values from experimental data are presented. The thermoelectric properties for each polarity type of the alloy are presented as a function of temperature for various operating times.

  17. Effect of substrate morphology slope distributions on light scattering, nc-Si:H film growth, and solar cell performance.

    PubMed

    Kim, Do Yun; Santbergen, Rudi; Jäger, Klaus; Sever, Martin; Krč, Janez; Topič, Marko; Hänni, Simon; Zhang, Chao; Heidt, Anna; Meier, Matthias; van Swaaij, René A C M M; Zeman, Miro

    2014-12-24

    Thin-film silicon solar cells are often deposited on textured ZnO substrates. The solar-cell performance is strongly correlated to the substrate morphology, as this morphology determines light scattering, defective-region formation, and crystalline growth of hydrogenated nanocrystalline silicon (nc-Si:H). Our objective is to gain deeper insight in these correlations using the slope distribution, rms roughness (σ(rms)) and correlation length (lc) of textured substrates. A wide range of surface morphologies was obtained by Ar plasma treatment and wet etching of textured and flat-as-deposited ZnO substrates. The σ(rms), lc and slope distribution were deduced from AFM scans. Especially, the slope distribution of substrates was represented in an efficient way that light scattering and film growth direction can be more directly estimated at the same time. We observed that besides a high σ(rms), a high slope angle is beneficial to obtain high haze and scattering of light at larger angles, resulting in higher short-circuit current density of nc-Si:H solar cells. However, a high slope angle can also promote the creation of defective regions in nc-Si:H films grown on the substrate. It is also found that the crystalline fraction of nc-Si:H solar cells has a stronger correlation with the slope distributions than with σ(rms) of substrates. In this study, we successfully correlate all these observations with the solar-cell performance by using the slope distribution of substrates.

  18. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  19. NH3-free growth of GaN nanostructure on n-Si (1 1 1) substrate using a conventional thermal evaporation technique

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.; Farrukh, M. A.

    2012-06-01

    We have investigated the influence of carrier gas on grown gallium nitride (GaN) epitaxial layers deposited on n-Si (1 1 1) by a physical vapour deposition (PVD) via thermal evaporation of GaN powder at 1150 °C. The GaN nanostructures were grown at a temperature of 1050 °C for 60 min under various gases (N2, H2 mixed with N2, and Ar2) with absence of NH3. The morphology, structure, and optical properties (SEM) images showed that the morphology of GaN displayed various shapes of nanostructured depending on the type of carrier gas. X-ray diffraction (XRD) pattern showed that the GaN polycrystalline reveals a wurtzite-hexagonal structure with [0 0 1] crystal orientation. Raman spectra exhibited a red shift in peaks of E2 (high) as a result of tensile stress. Photoluminescence (PL) measurements showed two band emissions aside from the UV emission. The ultraviolet band gap of GaN nanostructure displayed a red shift as compared with the bulk GaN; this might be attributed to an increase in the defect and stress present in the GaN nanostructure. In addition, the observed blue and green-yellow emissions indicated defects due to the N vacancy and C impurity of the supplied gas. These results clearly indicated that the carrier gas, similar to the growth temperature, is one of the important parameters to control the quality of thermal evaporation (TE)-GaN epilayers.

  20. On the shift of the electroluminescence spectra of In{sub x}Ga{sub 1−x}N/GaN structures with various indium contents and various substrate materials caused by the stark effect and mechanical stresses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Veleschuk, V. P., E-mail: vvvit@ukr.nd; Vlasenko, A. I.; Kisselyuk, M. P.

    2015-08-15

    The shift between the maxima of the electroluminescence spectra of In{sub x}Ga{sub 1−x}N/GaN structures is measured at forward and reverse bias depending on the indium content x in the quantum well and on the substrate material (SiC, AuSn/Si, and Al{sub 2}O{sub 3}). It is established that this shift increases as the indium concentration in the In{sub x}Ga{sub 1−x}N layer and mechanical stresses from the substrate increase.

  1. Road to Grid Parity through Deployment of Low-Cost 21.5% N-Type Si Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velundur, Vijay

    This project seeks to develop and deploy differentiated 21.5% efficient n-type Si solar cells while reaching the SunShot module cost goal of ≤ $0.50/W. This objective hinges on development of enabling low cost technologies that simplify the manufacturing process and reduce overall processing costs. These comprise of (1) Boron emitter formation and passivation; (2) Simplified processing process for emitter and BSF layers; and (3) Advanced metallization for the front and back contacts.

  2. Si-rich W silicide films composed of W-atom-encapsulated Si clusters deposited using gas-phase reactions of WF6 with SiH4.

    PubMed

    Okada, Naoya; Uchida, Noriyuki; Kanayama, Toshihiko

    2016-02-28

    We formed Si-rich W silicide films composed of Sin clusters, each of which encapsulates a W atom (WSi(n) clusters with 8 < n ≤ ∼ 12), by using a gas-phase reaction between WF6 and SiH4 in a hot-wall reactor. The hydrogenated WSi(n)H(x) clusters with reduced F concentration were synthesized in a heated gas phase and subsequently deposited on a substrate heated to 350-420 °C, where they dehydrogenated and coalesced into the film. Under a gas pressure of SiH4 high enough for the WSi(n)H(x) reactant to collide a sufficient number of times with SiH4 molecules before reaching the substrate, the resulting film was composed of WSi(n) clusters with a uniform n, which was determined by the gas temperature. The formed films were amorphous semiconductors with an optical gap of ∼0.8-1.5 eV and an electrical mobility gap of ∼0.05-0.12 eV, both of which increased as n increased from 8 to 12. We attribute this dependence to the reduction of randomness in the Si network as n increased, which decreased the densities of band tail states and localized states.

  3. Alignment of Ge nanoislands on Si(111) by Ga-induced substrate self-patterning.

    PubMed

    Schmidt, Th; Flege, J I; Gangopadhyay, S; Clausen, T; Locatelli, A; Heun, S; Falta, J

    2007-02-09

    A novel mechanism is described which enables the selective formation of three-dimensional Ge islands. Submonolayer adsorption of Ga on Si(111) at high temperature leads to a self-organized two-dimensional pattern formation by separation of the 7 x 7 substrate and Ga/Si(111)-(square root[3] x square root[3])-R30 degrees domains. The latter evolve at step edges and domain boundaries of the initial substrate reconstruction. Subsequent Ge deposition results in the growth of 3D islands which are aligned at the boundaries between bare and Ga-covered domains. This result is explained in terms of preferential nucleation conditions due to a modulation of the surface chemical potential.

  4. Residual Stresses in Ta, Mo, Al and Pd Thin Films Deposited by E-Beam Evaporation Process on Si and Si/SiO2 Substrates

    NASA Astrophysics Data System (ADS)

    Guisbiers, G.; Strehle, S.; Van Overschelde, O.; Wautelet, M.

    2006-02-01

    Residual stresses are commonly generated during the deposition process of thin films and can influence the reliability of the deposited systems e.g. due to fatigue, aging effects or debonding. Therefore, an evaluation of such stresses in thin films is of crucial importance for metallization of microelectronic devices and MEMS. Residual stresses can be determined experimentally by substrate curvature or X-ray diffraction measurements. The modeling of residual stresses generally deals with the calculation of the thermal ones alone. In the present work, a model is proposed, where intrinsic stresses are calculated explicitly based on the Tsui-Clyne model. The aim of this model, called self-consistent model, is to predict residual stresses in thin films independent on measurements. The simulated values are compared with experimental results for the following systems: Ta/Si, Mo/Si, Al/SiO2/Si and Pd/SiO2/Si.

  5. Optoelectrical Properties of a Heterojunction with Amorphous InGaZnO Film on n-Silicon Substrate

    NASA Astrophysics Data System (ADS)

    Jiang, D. L.; Ma, X. Z.; Li, L.; Xu, Z. K.

    2017-10-01

    An a-IGZO/ n-Si heterojunction device has been fabricated at room temperature by depositing amorphous InGaZnO (a-IGZO) film on n-type silicon substrate by plasma-assisted pulsed laser deposition and its optoelectrical properties studied in detail. The heterojunction showed distinct rectifying characteristic with rectification ratio of 1.93 × 103 at ±2 V bias and reverse leakage current density of 1.6 × 10-6 A cm-2 at -2 V bias. More interestingly, the heterojunction not only showed the characteristic of unbiased photoresponse, but could also detect either ultraviolet or ultraviolet-visible light by simply changing the polarity of the bias applied to the heterojunction. The variable photoresponse phenomenon and the charge transport mechanisms in the heterojunction are explained based on the energy band diagram of the heterojunction.

  6. Opto-electronic properties of P-doped nc-Si–QD/a-SiC:H thin films as foundation layer for all-Si solar cells in superstrate configuration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kar, Debjit; Das, Debajyoti, E-mail: erdd@iacs.res.in

    2016-07-14

    With the advent of nc-Si solar cells having improved stability, the efficient growth of nc-Si i-layer of the top cell of an efficient all-Si solar cell in the superstrate configuration prefers nc-Si n-layer as its substrate. Accordingly, a wide band gap and high conducting nc-Si alloy material is a basic requirement at the n-layer. Present investigation deals with the development of phosphorous doped n-type nanocrystalline silicon quantum dots embedded in hydrogenated amorphous silicon carbide (nc-Si–QD/a-SiC:H) hetero-structure films, wherein the optical band gap can be widened by the presence of Si–C bonds in the amorphous matrix and the embedded high densitymore » tiny nc-Si–QDs could provide high electrical conductivity, particularly in P-doped condition. The nc-Si–QDs simultaneously facilitate further widening of the optical band gap by virtue of the associated quantum confinement effect. A complete investigation has been made on the electrical transport phenomena involving charge transfer by tunneling and thermionic emission prevailing in n-type nc-Si–QD/a-SiC:H thin films. Their correlation with different phases of the specific heterostructure has been carried out for detailed understanding of the material, in order to improve its device applicability. The n-type nc-Si–QD/a-SiC:H films exhibit a thermally activated electrical transport above room temperature and multi-phonon hopping (MPH) below room temperature, involving defects in the amorphous phase and the grain-boundary region. The n-type nc-Si–QD/a-SiC:H films grown at ∼300 °C, demonstrating wide optical gap ∼1.86–1.96 eV and corresponding high electrical conductivity ∼4.5 × 10{sup −1}–1.4 × 10{sup −2} S cm{sup −1}, deserve to be an effective foundation layer for the top nc-Si sub-cell of all-Si solar cells in n-i-p structure with superstrate configuration.« less

  7. An inert marker study for palladium silicide formation - Si moves in polycrystalline Pd2Si

    NASA Technical Reports Server (NTRS)

    Ho, K. T.; Lien, C.-D.; Shreter, U.; Nicolet, M.-A.

    1985-01-01

    A novel use of Ti marker is introduced to investigate the moving species during Pd2Si formation on 111 and 100 line-type Si substrates. Silicide formed from amorphous Si is also studied using a W marker. Although these markers are observed to alter the silicide formation in the initial stage, the moving species can be identified once a normal growth rate is resumed. It is found that Si is the dominant moving species for all three types of Si crystallinity. However, Pd will participate in mass transport when Si motion becomes obstructed.

  8. Pushing the Limits of Piezoresistive Effect by Optomechanical Coupling in 3C-SiC/Si Heterostructure.

    PubMed

    Md Foisal, Abu Riduan; Qamar, Afzaal; Phan, Hoang-Phuong; Dinh, Toan; Tuan, Khoa-Nguyen; Tanner, Philip; Streed, Erik W; Dao, Dzung Viet

    2017-11-22

    This letter reports a giant opto-piezoresistive effect in p-3C-SiC/p-Si heterostructure under visible-light illumination. The p-3C-SiC/p-Si heterostructure has been fabricated by growing a 390 nm p-type 3C-SiC on a p-type Si substrate using the low pressure chemical vapor deposition (LPCVD) technique. The gauge factor of the heterostructure was found to be 28 under a dark condition; however, it significantly increased to about -455 under illumination of 635 nm wavelength at 3.0 mW/cm 2 . This gauge factor is over 200 times higher than that of commercial metal strain gauge, 16 times higher than that of 3C-SiC thinfilm, and approximately 5 times larger than that of bulk Si. This enhancement of the gauge factor was attributed to the opto-mechanical coupling effect in p-3C-SiC/p-Si heterostructure. The opto-mechanical coupling effect is the amplified effect of the photoconductivity enhancement and strain-induced band structure modification in the p-type Si substrate. These findings enable extremely high sensitive and robust mechanical sensors, as well as optical sensors at low cost, as no complicated nanofabrication process is required.

  9. Molecular beam epitaxy grown long wavelength infrared HgCdTe on compliant Si substrates

    NASA Astrophysics Data System (ADS)

    Wijewarnasuriya, Priyalal S.; Chen, Yuanping; Brill, Gregory; Dhar, Nibir K.; Carmody, Michael; Bailey, Robert; Arias, Jose

    2006-05-01

    At the Army Research Laboratory (ARL), a new ternary semiconductor system CdSe xTe 1-x/Si(211) is being investigated as an alternative substrate to bulk-grown CdZnTe substrates for HgCdTe growth by molecular beam epitaxy. Under optimized conditions, best layers show surface defect density less than 400 cm -2 and full width at half maximum of X-ray double crystal rocking curve as low as 100 arc-sec with excellent uniformity over 3 inch area. LW-HgCdTe layers on these compliant substrates exhibit comparable electrical properties to those grown on bulk CZT substrates. Photovoltaic devices fabricated on these LWIR material shows diffusion limited performance at 78K indicating high quality material. Measured R °A at 78K on λ co = 10 μm material is on the order of 340 Ω-cm II. In addition to single devices, we have fabricated 256x256 2-D arrays with 40 μm pixel pitch on LW-HgCdTe grown on Si compliant substrates. Data shows excellent QE operability of 99% at 78K under a tactical background flux of 6.7x10 15 ph/cm2sec. Most probable dark current at the peak distribution is 5.5 x 10 9 e-/sec and is very much consistent with the measured R °A values from single devices. Initial results indicate NETD of 33 mK for a cut-off wavelength of 10 μm with 40 micron pixels size. This work demonstrates CdSe xTe 1-x/Si(211) substrates provides a potential road map to more affordable, robust 3 rd generation FPAs.

  10. Reduction of structural defects in thick 4H-SiC epitaxial layers grown on 4° off-axis substrates

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Ivanov, I. G.; Pedersen, H.; Kordina, O.; Janzén, E.

    2013-06-01

    By carefully controlling the surface chemistry of the chemical vapor deposition process for silicon carbide (SiC), 100 μm thick epitaxial layers with excellent morphology were grown on 4° off-axis SiC substrates at growth rates exceeding 100 μm/h. In order to reduce the formation of step bunching and structural defects, mainly triangular defects, the effect of varying parameters such as growth temperature, C/Si ratio, Cl/Si ratio, Si/H2 ratio, and in situ pre-growth surface etching time are studied. It was found that an in-situ pre growth etch at growth temperature and pressure using 0.6% HCl in hydrogen for 12 min reduced the structural defects by etching preferentially on surface damages of the substrate surface. By then applying a slightly lower growth temperature of 1575 °C, a C/Si ratio of 0.8, and a Cl/Si ratio of 5, 100 μm thick, step-bunch free epitaxial layer with a minimum triangular defect density and excellent morphology could be grown, thus enabling SiC power device structures to be grown on 4° off axis SiC substrates.

  11. Relationship Between Crystalline Structure and Hardness of Ti-Si-N-O Coatings Fabricated by dc Sputtering

    NASA Astrophysics Data System (ADS)

    García-González, Leandro; Hernández-Torres, Julián; Mendoza-Barrera, Claudia; Meléndez-Lira, Miguel; García-Ramírez, Pedro J.; Martínez-Castillo, Jaime; Sauceda, Ángel; Herrera-May, Agustin L.; Muñoz Saldaña, Juan; Espinoza-Beltrán, Francisco J.

    2008-08-01

    Ti-Si-N-O coatings were deposited on AISI D2 tool steel and silicon substrates by dc reactive magnetron co-sputtering using a target of Ti-Si with a constant area ratio of 0.2. The substrate temperature was 400 °C and reactive atmosphere of nitrogen and argon. For all samples, argon flow was maintained constant at 25 sccm, while the flow of the nitrogen was varied to analyze the structural changes related to chemical composition and resistivity. According to results obtained by x-ray diffraction and stoichiometry calculations by x-ray energy dispersive spectroscopy the Ti-Si-N-O coatings contain two solid solutions. The higher crystalline part corresponds to titanium oxynitrure. Hardness tests on the coatings were carried out using the indentation work model and the hardness value was determined. Finally, the values of hardness were corroborated by nanoindentation test, and values of Young’s modulus and elastic recovery were discussed. We concluded that F2TSN sample ( F Ar = 25 sccm, F N = 5 sccm, P = 200 W, and P W = 8.9 × 10-3 mbar) presented the greatest hardness and the lowest resistivity values, due to its preferential crystalline orientation.

  12. Development of Tough, Strong, and Pest-Resistant MoSi2-(Beta)Si3N4 Composites for High-Temperature Structural Applications

    NASA Technical Reports Server (NTRS)

    Hebsur, M. G.; Choi, S. R.; Whittenberger, J. D.; Salem, J. A.; Noebe, R. D.

    2001-01-01

    A new MoSi2-base composite was developed that contains in-situ reinforcement of whisker-type beta-Si3N4 grains in a MoSi2 matrix. The advantages of this in-situ reinforced MoSi2-Si3N4 are lower density, higher fracture toughness and better strength than typical MoSi2 alloys, combined with excellent environmental and pest resistance. The average fracture toughness of the in-situ reinforced material determined by one technique was 12.2 MPa.m(exp 1/2) compared to 4.9 to 5.5 MPa.m(exp 1/2) for similar materials with the exception that the beta-Si3N4 had a blocky morphology as opposed to the whisker-like morphology typical of the in-situ toughened material. This MoSi2-(beta)Si3N4 was also resistant to pesting at intermediate temperatures (400 to 600 C) even when precracked or under applied load; conditions that would quickly reduce typical MoSi2 alloys to oxidized powder.

  13. Predictable quantum efficient detector based on n-type silicon photodiodes

    NASA Astrophysics Data System (ADS)

    Dönsberg, Timo; Manoocheri, Farshid; Sildoja, Meelis; Juntunen, Mikko; Savin, Hele; Tuovinen, Esa; Ronkainen, Hannu; Prunnila, Mika; Merimaa, Mikko; Tang, Chi Kwong; Gran, Jarle; Müller, Ingmar; Werner, Lutz; Rougié, Bernard; Pons, Alicia; Smîd, Marek; Gál, Péter; Lolli, Lapo; Brida, Giorgio; Rastello, Maria Luisa; Ikonen, Erkki

    2017-12-01

    The predictable quantum efficient detector (PQED) consists of two custom-made induced junction photodiodes that are mounted in a wedged trap configuration for the reduction of reflectance losses. Until now, all manufactured PQED photodiodes have been based on a structure where a SiO2 layer is thermally grown on top of p-type silicon substrate. In this paper, we present the design, manufacturing, modelling and characterization of a new type of PQED, where the photodiodes have an Al2O3 layer on top of n-type silicon substrate. Atomic layer deposition is used to deposit the layer to the desired thickness. Two sets of photodiodes with varying oxide thicknesses and substrate doping concentrations were fabricated. In order to predict recombination losses of charge carriers, a 3D model of the photodiode was built into Cogenda Genius semiconductor simulation software. It is important to note that a novel experimental method was developed to obtain values for the 3D model parameters. This makes the prediction of the PQED responsivity a completely autonomous process. Detectors were characterized for temperature dependence of dark current, spatial uniformity of responsivity, reflectance, linearity and absolute responsivity at the wavelengths of 488 nm and 532 nm. For both sets of photodiodes, the modelled and measured responsivities were generally in agreement within the measurement and modelling uncertainties of around 100 parts per million (ppm). There is, however, an indication that the modelled internal quantum deficiency may be underestimated by a similar amount. Moreover, the responsivities of the detectors were spatially uniform within 30 ppm peak-to-peak variation. The results obtained in this research indicate that the n-type induced junction photodiode is a very promising alternative to the existing p-type detectors, and thus give additional credibility to the concept of modelled quantum detector serving as a primary standard. Furthermore, the manufacturing of

  14. Fabrication of the heterojunction diode from Y-doped ZnO thin films on p-Si substrates by sol-gel method

    NASA Astrophysics Data System (ADS)

    Sharma, Sanjeev K.; Singh, Satendra Pal; Kim, Deuk Young

    2018-02-01

    The heterojunction diode of yttrium-doped ZnO (YZO) thin films was fabricated on p-Si(100) substrates by sol-gel method. The post-annealing process was performed at 600 °C in vacuum for a short time (3 min) to prevent inter-diffusion of Zn, Y, and Si atoms. X-ray diffraction (XRD) pattern of as-grown and annealed (600 °C in vacuum) films showed the preferred orientation along the c-axis (002) regardless of dopant concentrations. The uniform surface microstructure and the absence of other metal/oxide peaks in XRD pattern confirmed the excellence of films. The increasing bandgap and carrier concentration of YZO thin films were interpreted by the BM shift, that is, the Fermi level moves towards the conduction band edge. The current-voltage characteristics of the heterojunction diode, In/n-ZnO/p-Si/Al, showed a rectification behavior. The turn-on voltage and ideality factor of n-ZnO/p-Si and n-YZO/p-Si were observed to be 3.47 V, 2.61 V, and 1.97, 1.89, respectively. Y-dopant in ZnO thin films provided more donor electrons caused the shifting of Fermi-energy level towards the conduction band and strengthen the interest for heterojunction diodes.

  15. Bioactive (Si, O, N)/(Ti, O, N)/Ti composite coating on NiTi shape memory alloy for enhanced wear and corrosion performance

    NASA Astrophysics Data System (ADS)

    Sun, Tao; Xue, Ning; Liu, Chao; Wang, Chao; He, Jin

    2015-11-01

    In this investigation, (Si, O, N)/(Ti, O, N)/Ti composite coating was synthesized on a NiTi shape memory alloy (SMA) substrate (50.8 at.% Ni) via plasma immersion ion implantation and deposition (PIIID) followed by magnetron sputtering, with the aim of promoting bioactivity and biocompatibility of NiTi SMAs. Nano featured (Si, O, N)/(Ti, O, N)/Ti coating was approximate 0.84 ± 0.05 μm in thickness, and energy dispersive X-ray (EDX) spectroscopy showed that Ni element was depleted from the surface of coated samples. X-ray diffraction (XRD) did not identify the phase composition of the (Si, O, N)/(Ti, O, N)/Ti coating, probably due to its thin thickness and poor crystalline resulting from low-temperature coating processes (<200 °C). X-ray photoelectron spectroscopy (XPS) analyses confirmed that a Ni-free surface was formed and Si element was incorporated into the composite coating via the magnetron sputtering process. Additionally, phase transformation behaviors of uncoated and coated NiTi SMA samples were characterized using differential scanning calorimetry (DSC). Wear and corrosion resistance of uncoated and coated NiTi SMA samples were evaluated using ball-on-disc tests and potentio-dynamic polarization curves, respectively. The (Si, O, N)/(Ti, O, N)/Ti coated NiTi SMA samples showed enhanced wear and corrosion resistance. Furthermore, the (Si, O, N)/(Ti, O, N)/Ti composite coating facilitated apatite formation in simulated body fluid (SBF) and rendered NiTi SMA bioactivity.

  16. Color tunable light-emitting diodes based on p+-Si/p-CuAlO2/n-ZnO nanorod array heterojunctions

    NASA Astrophysics Data System (ADS)

    Ling, Bo; Zhao, Jun Liang; Sun, Xiao Wei; Tan, Swee Tiam; Kyaw, Aung Ko Ko; Divayana, Yoga; Dong, Zhi Li

    2010-07-01

    Wide-range color tuning from red to blue was achieved in phosphor-free p+-Si/p-CuAlO2/n-ZnO nanorod light-emitting diodes at room temperature. CuAlO2 films were deposited on p+-Si substrates by sputtering followed by annealing. ZnO nanorods were further grown on the annealed p+-Si/p-CuAlO2 substrates by vapor phase transport. The color of the p-CuAlO2/n-ZnO nanorod array heterojunction electroluminescence depended on the annealing temperature of the CuAlO2 film. With the increase of the annealing temperature from 900 to 1050 °C, the emission showed a blueshift under the same forward bias. The origin of the blueshift is related to the amount of Cu concentration diffused into ZnO.

  17. Analysis of the Temperature Dependence of the Capacitance-Voltage and Conductance-Voltage Characteristics of Au/TiO2(rutile)/ n-Si Structures

    NASA Astrophysics Data System (ADS)

    KInacI, BarIş; Özçelik, Süleyman

    2013-06-01

    The capacitance-voltage-temperature ( C- V- T) and the conductance/angular frequency-voltage-temperature ( G/ω- V- T) characteristics of Au/TiO2(rutile)/ n-Si Schottky barrier diodes (SBDs) were investigated over the temperature range from 200 K to 380 K by considering the series resistance effect. Titanium dioxide (TiO2) was deposited on n-type silicon (Si) substrate using a direct-current (DC) magnetron sputtering system at 200°C. To improve the crystal quality, the deposited film was annealed at 900°C to promote a phase transition from the amorphous to rutile phase. The C -2 versus V plots gave a straight line in the reverse-bias region. The main electrical parameters, such as the doping concentration ( N D), Fermi energy level ( E F), depletion layer width ( W D), barrier height ( ф CV), and series resistance ( R S), of Au/TiO2(rutile)/ n-Si SBDs were calculated from the C- V- T and the G/ω- V- T characteristics. The obtained results show that ф CV, R S, and W D values decrease, while E F and N D values increase, with increasing temperature.

  18. Dual ohmic contact to N- and P-type silicon carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert S. (Inventor)

    2013-01-01

    Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.

  19. Intermixing between HfO{sub 2} and GeO{sub 2} films deposited on Ge(001) and Si(001): Role of the substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soares, G. V.; Krug, C.; Miotti, L.

    2011-03-28

    Thermally driven atomic transport in HfO{sub 2}/GeO{sub 2}/substrate structures on Ge(001) and Si(001) was investigated in N{sub 2} ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO{sub 2}/Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO{sub 2}/GeO{sub 2} stacks are stable only if isolated from the Ge substrate.

  20. The localization and crystallographic dependence of Si suboxide species at the SiO2/Si interface

    NASA Technical Reports Server (NTRS)

    Grunthaner, P. J.; Hecht, M. H.; Grunthaner, F. J.; Johnson, N. M.

    1987-01-01

    X-ray photoemission spectroscopy has been used to examine the localization and crystallographic dependence of Si(+), Si(2+), and Si(3+) suboxide states at the SiO2/Si interface for (100)and (111)-oriented substrates with gate oxide quality thermal oxides. The Si(+) and Si(2+) states are localized within 6-10 A of the interface while the Si(3+) state extends about 30 A into the bulk SiO2. The distribution of Si(+) and Si(2+) states shows a strong crystallographic dependence with Si(2+) dominating on (100) substrates and Si(+) dominating on (111) substrates. This crystallographic dependence is anticipated from consideration of ideal unreconstructed (100) and (111) Si surfaces, suggesting that (1) the Si(+) and Si(2+) states are localized immediately within the first monolayer at the interface and (2) the first few monolayers of substrate Si atoms are not significantly displaced from the bulk. The total number of suboxide states observed at the SiO2/Si interface corresponds to 94 and 83 percent of a monolayer for these (100) and (111) substrates, respectively.

  1. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  2. Surface Modification of Poly(ethylene naphthalate) Substrate and Its Effect on SiNx Film Deposition by Atomic Hydrogen Annealing

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Matsuo, Naoto

    2007-07-01

    The surface modification of a plastic substrate by atomic hydrogen annealing (AHA) was investigated for flexible displays. In this method, the plastic substrate was exposed to atomic hydrogen generated by cracking hydrogen molecules on heated tungsten wire. Both surface roughness and contact angle of water droplet on poly(ethylene naphthalate) (PEN) substrates were increased by AHA. The surface of a PEN substrate was reduced by atomic hydrogen without optical transmittance degradation. In addition, the properties of a silicon nitride (SiNx) film deposited on a PEN substrate were changed by AHA, and the adhesion between the SiNx film and the PEN substrate was excellent for application to flexible displays.

  3. Synthesis and characterization of Ti-Si-C-N films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shtansky, D.V.; Levashov, E.A.; Sheveiko, A.N.

    1999-09-01

    This study represents one of the first attempts to deposit multicomponent (more than three components) thin films by magnetron sputtering of multiphase composite targets (three phases or even more). Films of Ti-Si-C-N were synthesized through dc magnetron sputtering of xTiC + yTi{sub 3}SiC{sub 2} + zA composite targets (A was TiSi{sub 2}, SiC, or a mixture of these phases) in an argon atmosphere or in a gaseous mixture of argon and nitrogen. The as-deposited films were characterized using Auger electron spectroscopy, X-ray diffraction, transmission electron microscopy using selected area electron diffraction and high-resolution techniques, and microhardness. It was shown thatmore » the substrate temperature and the nitrogen concentration in the reactive gas had a strong influence on the structure and the composition of the as-deposited films. Polycrystalline grains contained a high density of dislocations and exhibited a curved appearance of the lattice fringes that is probably due to the presence of the long-range stress fields. The measurements of the lattice parameters using the selected area electron diffraction pattern (SA EDP) method indicated, with a high probability, that the polycrystalline grains consist of clusters of atoms with varying compositions. The grain boundaries in the nanocrystalline Ti-Si-C-N films had both ordered and disordered regions, although some regions close to the interface exhibited neither a fully crystalline nor a homogeneously amorphous structure. The contribution of compressive stress as determined by an increase in the fcc lattice parameter is also discussed.« less

  4. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire. II. Electron energy loss spectroscopic study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Kim, Young-Min

    In Part I, we have shown that the addition of Si into sapphire by ion implantationmakes the sapphire substrate elastically softer than for the undoped sapphire. The more compliant layer of the Si-implanted sapphire substrate can absorb the misfit stress at the GaN/sapphire interface, which produces a lower threading-dislocation density in the GaN overlayer. Here in Part II, based on experimental results by electron energy loss spectroscopy and a first-principle molecular orbital calculation in the literature, we suggest that the softening effect of Si results from a reduction of ionic bonding strength in sapphire (α-Al{sub 2}O{sub 3}) with the substitutionmore » of Si for Al.« less

  5. Oxygen adsorption on a Si(1 0 0) substrate: effects on secondary emission properties

    NASA Astrophysics Data System (ADS)

    Vogan, W. S.; Champion, R. L.

    2001-10-01

    Secondary anion and electron yields resulting from low-energy (50-500 eV) Na + bombardment of an oxygen-adsorbed Si(1 0 0) substrate have been measured as a function of oxygen exposure and of Na + impact energy. Adsorbate coverage ranges from none to over half a monolayer. The dominant sputtered anion was found to be O - with SiO 2- being a minor constituent. Kinetic energy distributions of the secondary anions and electrons were also measured. The presence of an adsorbate was observed to enhance secondary anion emission to a significant degree whereas secondary electron emission was minor, in sharp contrast to what has been observed for metallic substrates. The mechanism for secondary emission appears to involve electronic excitation of Si xO -; it is suggested that electron emission is governed by a process similar to Penning ionization, in which a vacancy created by the excitation of Si xO - may be filled by an electron from the valence band. The variation in the work function as oxygen accumulated on the surface was observed to be small.

  6. Rayleigh surface waves in ultraheavily doped n-Si

    NASA Astrophysics Data System (ADS)

    Sood, A. K.; Cardona, M.

    1986-11-01

    We report the effect of free carriers on the velocity of surface Rayleight waves (SRW) in n-type Si studied by Brillouin scattering. The samples prepared by ion implantation followed by laser annealing have carrier concentrations up to 3 x 10 21cm-3. The SRW velocity is observed to decrease significantly on doping (-18% for the heaviest doped sample). The large softening of the velocity can be quantitatively explained on the basis of the decrease of all the three independent elastic constants C 11, C 12, and C 44 in n-Si along with the changes in the density of the doped layer due to the dopant ions.

  7. Preparation of ITO/SiO{sub x}/n-Si solar cells with non-decline potential field and hole tunneling by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Du, H. W.; Yang, J.; Li, Y. H.

    2015-03-02

    Complete photo-generated minority carrier's quantum tunneling device under AM1.5 illumination is fabricated by depositing tin-doped indium oxide (ITO) on n-type silicon to form a structure of ITO/SiO{sub x}/n-Si heterojunction. The work function difference between ITO and n-Si materials essentially acts as the origin of built-in-field. Basing on the measured value of internal potential (V{sub bi} = 0.61 V) and high conversion efficiency (9.27%), we infer that this larger photo-generated holes tunneling occurs when a strong inversion layer at the c-Si surface appears. Also, the mixed electronic states in the ultra-thin intermediate region between ITO and n-Si play a defect-assisted tunneling.

  8. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Williams, R.; Rubensson, J.E.; Eisebitt, S.

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantationmore » and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.« less

  9. Comparison of silicon, nickel, and nickel silicide (Ni 3Si) as substrates for epitaxial diamond growth

    NASA Astrophysics Data System (ADS)

    Tucker, D. A.; Seo, D.-K.; Whangbo, M.-H.; Sivazlian, F. R.; Stoner, B. R.; Bozeman, S. P.; Sowers, A. T.; Nemanich, R. J.; Glass, J. T.

    1995-07-01

    We carried out experimental and theoretical studies aimed at probing interface interactions of diamond with Si, Ni, and Ni 3Si substrates. Oriented diamond films deposited on (100) silicon were characterized by polar Raman, polar XRD, and cross-sectional HRTEM. These studies show that the diamond-(100)/Si(100) interface does not adopt the 45°-rotation but the 3 : 2-match arrangement. Our extended Hückel tight-binding (EHTB) electronic structure calculations for a model system show that the interface interaction favors the 3 : 2-match arrangement. Growth on polycrystalline Ni 3Si resulted in oriented diamond particles while, under the same growth conditions, largely graphite was formed on the nickel substrate. Our EHTB electronic structure calculations for model systems show that the (111) and (100) surfaces of Ni 3Si have a strong preference for diamond-nucleation over graphite-nucleation, but this is not the case for the (111) and (100) surfaces of Ni.

  10. Choline and N,N-dimethylethanolamine as direct substrates for methanogens.

    PubMed

    Watkins, Andrew J; Roussel, Erwan G; Webster, Gordon; Parkes, R John; Sass, Henrik

    2012-12-01

    Choline (N,N,N-trimethylethanolamine), which is widely distributed in membrane lipids and is a component of sediment biota, has been shown to be utilized anaerobically by mixed prokaryote cultures to produce methane but not by pure cultures of methanogens. Here, we show that five recently isolated Methanococcoides strains from a range of sediments (Aarhus Bay, Denmark; Severn Estuary mudflats at Portishead, United Kingdom; Darwin Mud Volcano, Gulf of Cadiz; Napoli mud volcano, eastern Mediterranean) can directly utilize choline for methanogenesis producing ethanolamine, which is not further metabolized. Di- and monomethylethanolamine are metabolic intermediates that temporarily accumulate. Consistent with this, dimethylethanolamine was shown to be another new growth substrate, but monomethylethanolamine was not. The specific methanogen inhibitor 2-bromoethanesulfonate (BES) inhibited methane production from choline. When choline and trimethylamine are provided together, diauxic growth occurs, with trimethylamine being utilized first, and then after a lag (∼7 days) choline is metabolized. Three type strains of Methanococcoides (M. methylutens, M. burtonii, and M. alaskense), in contrast, did not utilize choline. However, two of them (M. methylutens and M. burtonii) did metabolize dimethylethanolamine. These results extend the known substrates that can be directly utilized by some methanogens, giving them the advantage that they would not be reliant on bacterial syntrophs for their substrate supply.

  11. Choline and N,N-Dimethylethanolamine as Direct Substrates for Methanogens

    PubMed Central

    Watkins, Andrew J.; Roussel, Erwan G.; Webster, Gordon; Parkes, R. John

    2012-01-01

    Choline (N,N,N-trimethylethanolamine), which is widely distributed in membrane lipids and is a component of sediment biota, has been shown to be utilized anaerobically by mixed prokaryote cultures to produce methane but not by pure cultures of methanogens. Here, we show that five recently isolated Methanococcoides strains from a range of sediments (Aarhus Bay, Denmark; Severn Estuary mudflats at Portishead, United Kingdom; Darwin Mud Volcano, Gulf of Cadiz; Napoli mud volcano, eastern Mediterranean) can directly utilize choline for methanogenesis producing ethanolamine, which is not further metabolized. Di- and monomethylethanolamine are metabolic intermediates that temporarily accumulate. Consistent with this, dimethylethanolamine was shown to be another new growth substrate, but monomethylethanolamine was not. The specific methanogen inhibitor 2-bromoethanesulfonate (BES) inhibited methane production from choline. When choline and trimethylamine are provided together, diauxic growth occurs, with trimethylamine being utilized first, and then after a lag (∼7 days) choline is metabolized. Three type strains of Methanococcoides (M. methylutens, M. burtonii, and M. alaskense), in contrast, did not utilize choline. However, two of them (M. methylutens and M. burtonii) did metabolize dimethylethanolamine. These results extend the known substrates that can be directly utilized by some methanogens, giving them the advantage that they would not be reliant on bacterial syntrophs for their substrate supply. PMID:23001649

  12. Single n-GaN microwire/p-Silicon thin film heterojunction light-emitting diode.

    PubMed

    Ahn, Jaehui; Mastro, Michael A; Klein, Paul B; Hite, Jennifer K; Feigelson, Boris; Eddy, Charles R; Kim, Jihyun

    2011-10-24

    The emission and waveguiding properties of individual GaN microwires as well as devices based on an n-GaN microwire/p-Si (100) junction were studied for relevance in optoelectronics and optical circuits. Pulsed photoluminescence of the GaN microwire excited in the transverse or longitudinal direction demonstrated gain. These n-type GaN microwires were positioned mechanically or by dielectrophoretic force onto pre-patterned electrodes on a p-type Si (100) substrate. Electroluminescence from this p-n point junction was characteristic of a heterostructure light-emitting diode. Additionally, waveguiding was observed along the length of the microwire for light originating from photoluminescence as well as from electroluminescence generated at the p-n junction. © 2011 Optical Society of America

  13. p-Type modulation doped InGaN/GaN dot-in-a-wire white-light-emitting diodes monolithically grown on Si(111).

    PubMed

    Nguyen, H P T; Zhang, S; Cui, K; Han, X; Fathololoumi, S; Couillard, M; Botton, G A; Mi, Z

    2011-05-11

    Full-color, catalyst-free InGaN/GaN dot-in-a-wire light-emitting diodes (LEDs) were monolithically grown on Si(111) by molecular beam epitaxy, with the emission characteristics controlled by the dot properties in a single epitaxial growth step. With the use of p-type modulation doping in the dot-in-a-wire heterostructures, we have demonstrated the most efficient phosphor-free white LEDs ever reported, which exhibit an internal quantum efficiency of ∼56.8%, nearly unaltered CIE chromaticity coordinates with increasing injection current, and virtually zero efficiency droop at current densities up to ∼640 A/cm(2). The remarkable performance is attributed to the superior three-dimensional carrier confinement provided by the electronically coupled dot-in-a-wire heterostructures, the nearly defect- and strain-free GaN nanowires, and the significantly enhanced hole transport due to the p-type modulation doping.

  14. Electron-irradiated n+-Si as hole injection tunable anode of organic light-emitting diode

    NASA Astrophysics Data System (ADS)

    Li, Y. Z.; Wang, Z. L.; Wang, Y. Z.; Luo, H.; Xu, W. J.; Ran, G. Z.; Qin, G. G.

    2013-01-01

    Traditionally, n-type silicon is not regarded as a good anode of organic light emitting diode (OLED) due to the extremely low hole concentration in it; however, when doped with Au element which acts as carrier generation centers, it can be, as shown in our previous work. In this study, we demonstrate a new kind of carrier generation centers in n+-type silicon, which are the defects produced by 5 MeV electron irradiation. The density of carrier generation centers in the irradiated n+-Si anode can be controlled by tuning the electron irradiation time, and thus hole injection current in the OLEDs with the irradiated n+-Si anode can be optimized, leading to their much higher maximum efficiencies than those of the OLEDs with non-irradiated n+-Si anode. For a green phosphorescent OLED with the irradiated n+-Si anode, the current efficiency and power efficiency reach up to 12.1 cd/A and 4.2 lm/W, respectively.

  15. Inclusion of Body Bias Effect in SPICE Modeling of 4H-SiC Integrated Circuit Resistors

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.

    2017-01-01

    The DC electrical behavior of n-type 4H-SiC resistors used for realizing 500 degrees Celsius durable integrated circuits (ICs) is studied as a function of substrate bias and temperature. Improved fidelity electrical simulation is described using SPICE NMOS model to simulate resistor substrate body bias effect that is absent from the SPICE semiconductor resistor model.

  16. Inclusion of Body-Bias Effect in SPICE Modeling of 4H-SiC Integrated Circuit Resistors

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.

    2017-01-01

    The DC electrical behavior of n-type 4H-SiC resistors used for realizing 500 C durable integrated circuits (ICs) is studied as a function of substrate bias and temperature. Improved fidelity electrical simulation is described using SPICE NMOS model to simulate resistor substrate body bias effect that is absent from the SPICE semiconductor resistor model.

  17. On the annealing-induced enhancement of the interface properties of NiO:Cu/wet-SiOx/n-Si tunnelling junction solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Xueliang; Liu, Wei; Chen, Jingwei; Sun, Yun

    2018-04-01

    Using metal oxides to form a carrier-selective interface on crystalline silicon (c-Si) has recently generated considerable interest for use with c-Si photovoltaics because of the potential to reduce cost. n-type oxides, such as MoO3, V2O5, and WO3, have been widely studied. In this work, a p-type oxide, Cu-doped NiO (NiO:Cu), is explored as a transparent hole-selective contact to n-Si. An ultrathin SiOx layer, fabricated by a wet-chemical method (wet-SiOx), is introduced at the NiO:Cu/n-Si interface to achieve a tunnelling junction solar cell. Interestingly, it was observed that the interface quality of the NiO:Cu/wet-SiOx/n-Si heterojunction was dramatically enhanced by post-deposition annealing (PDA) at a temperature of 200 °C. Our device exhibits an improved power conversion efficiency of 10.8%, which is the highest efficiency among NiO/Si heterojunction photo-electric devices to date. It is demonstrated that the 200 °C PDA treatment enhances the built-in field by a reduction in the interface density of states (Dit) but does not influence the work function of the NiO:Cu thin layer. This stable work function after the PDA treatment is in conflict with the changed built-in field according to the Schottky model. Thus, the Bardeen model is introduced for this physical insight: the enhancement of the built-in field originates from the unpinning of the Fermi levels of NiO:Cu and n-Si by the interface state reduction.

  18. High quality GaAs single photon emitters on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.

    2013-12-04

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer.

  19. Characterization of a SiC MIS Schottky diode as RBS particle detector

    NASA Astrophysics Data System (ADS)

    Kaufmann, I. R.; Pick, A. C.; Pereira, M. B.; Boudinov, H. I.

    2018-02-01

    A 4H-SiC Schottky diode was investigated as a particle detector for Rutherford Backscattering Spectroscopy (RBS) experiment. The device was fabricated on a commercial 4H-SiC epitaxial n-type layer grown onto a 4H-SiC n+ type substrate wafer doped with nitrogen. Hafnium oxide with thickness of 1 nm was deposited by Atomic Layer Deposition and 10 nm of Ni were deposited by sputtering to form the Ni/HfO2/4H-SiC MIS Schottky structure. Current-Voltage curves with variable temperature were measured to extract the real Schottky Barrier Height (0.32 V) and ideality factor values (1.15). Reverse current and Capacitance-Voltage measurements were performed on the 4H-SiC detector and compared to a commercial Si barrier detector acquired from ORTEC. RBS data for four alpha energies (1, 1.5, 2 and 2.5 MeV) were collected from an Au/Si sample using the fabricated SiC and the commercial Si detectors simultaneously. The energy resolution for the fabricated detector was estimated to be between 75 and 80 keV.

  20. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  1. Piezoelectric characterization of Sc0.26Al0.74N layers on Si (001) substrates

    NASA Astrophysics Data System (ADS)

    Sinusía Lozano, M.; Pérez-Campos, A.; Reusch, M.; Kirste, L.; Fuchs, Th; Žukauskaitė, A.; Chen, Z.; Iriarte, G. F.

    2018-03-01

    Scandium aluminum nitride (ScAlN) films have been synthesized by pulsed-DC reactive magnetron sputtering. The degree of c-axis orientation as well as piezoelectric characteristics of the Sc0.26Al0.74N thin films grown on Si (001) at various discharge powers and processing pressures values have been investigated. According to x-ray diffraction (XRD) measurements, the texture of the as-grown Sc0.26Al0.74N thin films becomes more prominent in the [0001]-direction at the highest target power (700 W) and at the lowest processing pressure (4 mTorr). The piezoelectric response, as determined by measuring the d33 piezoelectric constant, shows a maximum value of -12 pC/N also at 4 mTorr and 700 W, confirming a direct correlation between the d33 piezoelectric constant and the degree of orientation in the [0001]-direction. The atomic concentration of Sc and Al in the synthesized ScAlN thin film, determined by secondary ion mass spectroscopy (SIMS), reveals a Sc concentration lower than in the ScAl alloy target. The piezoresponse force microscopy (PFM) shows homogeneous polarity distribution with no inversion domains. The piezoelectric layers have been used to fabricate and measure surface acoustic wave (SAW) resonators on a Sc0.26Al0.74N/Si (001) bilayer system with resonance frequency of 1.4 GHz and coupling coefficient of 0.567. Such characteristic in the frequency response reveals the potential of these materials for advanced SAW devices in applications such as next generation (5 G) wireless communication systems.

  2. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    NASA Astrophysics Data System (ADS)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  3. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    NASA Astrophysics Data System (ADS)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  4. Gallium nitride vertical power devices on foreign substrates: a review and outlook

    NASA Astrophysics Data System (ADS)

    Zhang, Yuhao; Dadgar, Armin; Palacios, Tomás

    2018-07-01

    Vertical gallium nitride (GaN) power devices have attracted increased attention due to their superior high-voltage and high-current capacity as well as easier thermal management than lateral GaN high electron mobility transistors. Vertical GaN devices are promising candidates for next-generation power electronics in electric vehicles, data centers, smart grids and renewable energy process. The use of low-cost foreign substrates such as silicon (Si) substrates, instead of the expensive free-standing GaN substrates, could greatly trim material cost and enable large-diameter wafer processing while maintaining high device performance. This review illustrates recent progress in material epitaxy, device design, device physics and processing technologies for the development of vertical GaN power devices on low-cost foreign substrates. Although the device technologies are still at the early stage of development, state-of-the-art vertical GaN-on-Si power diodes have already shown superior Baliga’s figure of merit than commercial SiC and Si power devices at the voltage classes beyond 600 V. Furthermore, we unveil the design space of vertical GaN power devices on native and different foreign substrates, from the analysis of the impact of dislocation and defects on device performance. We conclude by identifying the application space, current challenges and exciting research opportunities in this very dynamic research field.

  5. Uniform Si nano-dot fabrication using reconstructed structure of Si(110)

    NASA Astrophysics Data System (ADS)

    Yano, Masahiro; Uozumi, Yuki; Yasuda, Satoshi; Asaoka, Hidehito

    2018-06-01

    Si nano-dot (ND) formation on Si(110) is observed by means of a scanning tunneling microscope (STM). The initial Si-NDs are Si crystals that are continuous from the substrate and grow during the oxide layer desorption. The NDs fabricated on the flat surface of Si(110)-1 × 1 are surrounded by four types of facets with almost identical appearance probabilities. An increase in the size of the NDs increases the variety of its morphology. In contrast, most Si-NDs fabricated on straight-stepped surface of Si(110)-16 × 2 reconstructed structure are surrounded by only a single type of facet, namely the \\text{Si}(17,15,1)-2 × 1 plane. An appearance probability of the facet in which the base line is along the step of Si(110)-16 × 2 exceeds 75%. This finding provides a fabrication technique of uniformed structural Si-NDs by using the reconstructed structure of Si(110).

  6. Prospects of III-nitride optoelectronics grown on Si.

    PubMed

    Zhu, D; Wallis, D J; Humphreys, C J

    2013-10-01

    The use of III-nitride-based light-emitting diodes (LEDs) is now widespread in applications such as indicator lamps, display panels, backlighting for liquid-crystal display TVs and computer screens, traffic lights, etc. To meet the huge market demand and lower the manufacturing cost, the LED industry is moving fast from 2 inch to 4 inch and recently to 6 inch wafer sizes. Although Al2O3 (sapphire) and SiC remain the dominant substrate materials for the epitaxy of nitride LEDs, the use of large Si substrates attracts great interest because Si wafers are readily available in large diameters at low cost. In addition, such wafers are compatible with existing processing lines for 6 inch and larger wafers commonly used in the electronics industry. During the last decade, much exciting progress has been achieved in improving the performance of GaN-on-Si devices. In this contribution, the status and prospects of III-nitride optoelectronics grown on Si substrates are reviewed. The issues involved in the growth of GaN-based LED structures on Si and possible solutions are outlined, together with a brief introduction to some novel in situ and ex situ monitoring/characterization tools, which are especially useful for the growth of GaN-on-Si structures.

  7. Protection from high-velocity impact particles for quartz glass by coatings on the basis of Al-Si-N

    NASA Astrophysics Data System (ADS)

    Bozhko, I. A.; Rybalko, E. V.; Fedorischeva, M. V.; Solntsev, V. L.; Cherniavsky, A. G.; Kaleri, A. Yu.; Psakhie, S. G.; Sergeev, V. P.

    2016-11-01

    The paper presents the results of the research of the phase composition and the mechanical properties of the coatings on the basis of Al-Si-N system produced by pulsed magnetron sputtering on the KV glass substrates. By the X-ray diffraction method, it has been discovered that the coatings contain AlN phase (hcp) with different thickness. The deposition of Al-Si-N coating system allows both increasing the microhardness of the surface layer of the quartz glass up to 29 GPa, and maintaining high elastic properties (We > 0.70). The laboratory tests have been carried out involving the impact of high-speed flows of iron particles on the Al-Si-N protective coating with different thicknesses produced by pulsed magnetron sputtering. The increase of Al-Si-N coating thickness from 1µm to 10µm decreases 4-fold the surface density of the craters on the samples caused by a high-speed flow of iron particles.

  8. Flexible microwave PIN diodes and switches employing transferrable single-crystal Si nanomembranes on plastic substrates

    NASA Astrophysics Data System (ADS)

    Qin, Guoxuan; Yuan, Hao-Chih; Celler, George K.; Zhou, Weidong; Ma, Zhenqiang

    2009-12-01

    This paper reports the realization of flexible RF/microwave PIN diodes and switches using transferrable single-crystal Si nanomembranes (SiNM) that are monolithically integrated on low-cost, flexible plastic substrates. High frequency response is obtained through the realization of low parasitic resistance achieved with heavy ion implantation before nanomembrane release and transfer. The flexible lateral SiNM PIN diodes exhibit typical rectifying characteristics with insertion loss and isolation better than 0.9 dB and 19.6 dB, respectively, from DC to 5 GHz, as well as power handling up to 22.5 dBm without gain compression. A single-pole single-throw (SPST) flexible RF switch employing shunt-series PIN diode configuration has achieved insertion loss and isolation better than 0.6 dB and 22.9 dB, respectively, from DC to 5 GHz. Furthermore, the SPST microwave switch shows performance improvement and robustness under mechanical deformation conditions. The study demonstrates the considerable potential of using properly processed transferrable SiNM for microwave passive components. Future investigations on transferrable SiNMs will lead to eventual realization of monolithic microwave integrated systems on low-cost flexible substrates.

  9. Effects of Additives on Electrochemical Growth of Cu Film on Co/SiO2/Si Substrate by Alternating Underpotential Deposition of Pb and Surface-Limited Redox Replacement by Cu

    NASA Astrophysics Data System (ADS)

    Fang, J. S.; Lin, L. Y.; Wu, C. L.; Cheng, Y. L.; Chen, G. S.

    2017-11-01

    The effects of additives to an acidic electrolyte for electrochemical deposition of copper film to prevent corrosion of the Co/SiO2/Si substrate have been investigated. A sacrificial Pb layer was formed by underpotential deposition (UPD), then a Cu layer was prepared using surface-limited redox replacement (SLRR) to exchange the UPD-Pb layer in an acidic copper electrolyte with trisodium citrate, sodium perchlorate, and ethylenediamine as additives. The additives significantly affected the replacement of UPD-Pb by Cu and prevented galvanic corrosion of the Co/SiO2/Si substrate in the acidic Cu electrolyte. The results showed that both sodium perchlorate and ethylenediamine reduced the corrosion of the Co substrate and resulted in Cu film with low electrical resistivity. However, residual Pb was present in the Cu film when using trisodium citrate, as the citrate ions slowed copper displacement. The proposed sequential UPD-Pb and SLRR-Cu growth method may enable electrochemical deposition for fabrication of Cu interconnects on Co substrate from acidic Cu electrolyte.

  10. Growth of blue GaN LED structures on 150-mm Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Dadgar, A.; Hums, C.; Diez, A.; Bläsing, J.; Krost, A.

    2006-12-01

    Up to 5.4-μm thick GaN on Si light emitting diode (LED) structures were grown by metalorganic chemical vapor phase epitaxy (MOVPE) on 150 mm Si(1 1 1) substrates. In-situ curvature measurements enable monitoring of stress development during growth and the influence of interlayers on strain balancing after cooling. In X-ray diffraction (XRD) ω-scans the GaN (0 0 0 2) reflection is about 380 arcsec and in θ-2 θ measurements the InGaN/GaN MQW interference peaks are well resolved indicating the high quality of the grown structure. In comparison to the growth on 2-in sapphire the wafer curvature after growth is low (>50 m) for the growth on Si and also during MQW growth at low temperatures a homogeneous wafer temperature can be achieved. The standard deviation of the wavelength over the whole 150-mm test wafer (5-mm edge exclusion) is <3.5 nm and reflects the three different heater zones of the MOVPE system used.

  11. Site-Competition Epitaxy for N-Type and P-Type Dopant Control in CVD Sic Epilayers

    NASA Technical Reports Server (NTRS)

    Larkin, D. J.

    1995-01-01

    The use of site-competition epitaxy, which is based on intentional variation of the Si/C ratio during epitaxy, has now been reproduced in numerous national and international laboratories. However, previous reports have only considered dopant incorporation control for epitaxy on the Si-face 6H-SiC(OOO1) substrates. Presented in this paper is the extension of this technique for control of phosphorous incorporation and also a comparison of controlled doping on C-face 6H-SiC(OOO1) versus Si-face 6H-SiC(OOO1) substrates for aluminum, boron, nitrogen, and phosphorous.

  12. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    PubMed

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  13. Interfacial nanobubbles on atomically flat substrates with different hydrophobicities.

    PubMed

    Wang, Xingya; Zhao, Binyu; Ma, Wangguo; Wang, Ying; Gao, Xingyu; Tai, Renzhong; Zhou, Xingfei; Zhang, Lijuan

    2015-04-07

    The dependence of the morphology of interfacial nanobubbles on atomically flat substrates with different wettability ranges was investigated by using PeakForce quantitative nanomechanics. Interfacial nanobubbles were formed and imaged on silicon nitride (Si3N4), mica, and highly ordered pyrolytic graphite (HOPG) substrates that were partly covered by reduced graphene oxide (rGO). The contact angles and sizes of those nanobubbles were measured under the same conditions. Nanobubbles with the same lateral width exhibited different heights on the different substrates, with the order Si3N4≈mica>rGO>HOPG, which is consistent with the trend of the hydrophobicity of the substrates. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Self-assembled patches in PtSi/n-Si (111) diodes

    NASA Astrophysics Data System (ADS)

    Afandiyeva, I. M.; Altιndal, Ş.; Abdullayeva, L. K.; Bayramova, A. İ.

    2018-05-01

    Using the effect of the temperature on the capacitance–voltage (C–V) and conductance–voltage (G/ω–V) characteristics of PtSi/n-Si (111) Schottky diodes the profile of apparent doping concentration (N Dapp), the potential difference between the Fermi energy level and the bottom of the conduction band (V n), apparent barrier height (Φ Bapp), series resistance (R s) and the interface state density N ss have been investigated. From the temperature dependence of (C–V) it was found that these parameters are non-uniformly changed with increasing temperature in a wide temperature range of 79–360 K. The voltage and temperature dependences of apparent carrier distribution we attributed to the existence of self-assembled patches similar the quantum wells, which formed due to the process of PtSi formation on semiconductor and the presence of hexagonal voids of Si (111).

  15. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    NASA Astrophysics Data System (ADS)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  16. A boron and gallium co-doped ZnO intermediate layer for ZnO/Si heterojunction diodes

    NASA Astrophysics Data System (ADS)

    Lu, Yuanxi; Huang, Jian; Li, Bing; Tang, Ke; Ma, Yuncheng; Cao, Meng; Wang, Lin; Wang, Linjun

    2018-01-01

    ZnO (Zinc oxide)/Si (Silicon) heterojunctions were prepared by depositing n-type ZnO films on p-type single crystal Si substrates using magnetron sputtering. A boron and gallium co-doped ZnO (BGZO) high conductivity intermediate layer was deposited between aurum (Au) electrodes and ZnO films. The influence of the BGZO layer on the properties of Au/ZnO contacts and the performance of ZnO/Si heterojunctions was investigated. The results show an improvement in contact resistance by introducing the BGZO layer. Compared with the ZnO/Si heterojunction, the BGZO/ZnO/Si heterojunction exhibits a larger forward current, a smaller turn-on voltage and higher ratio of ultraviolet (UV) photo current/dark current.

  17. Thin-film formation of Si clathrates on Si wafers

    NASA Astrophysics Data System (ADS)

    Ohashi, Fumitaka; Iwai, Yoshiki; Noguchi, Akihiro; Sugiyama, Tomoya; Hattori, Masashi; Ogura, Takuya; Himeno, Roto; Kume, Tetsuji; Ban, Takayuki; Nonomura, Shuichi

    2014-04-01

    In this study, we prepared Si clathrate films (Na8Si46 and NaxSi136) using a single-crystalline Si substrate. Highly oriented film growth of Zintl-phase sodium silicide, which is a precursor of Si clathrate, was achieved by exposing Na vapour to Si substrates under an Ar atmosphere. Subsequent heat treatment of the NaSi film at 400 °C (3 h) under vacuum (<10-2 Pa) resulted in a film of Si clathrates having a thickness of several micrometres. Furthermore, this technique enabled the selective growth of Na8Si46 and NaxSi136 using the appropriate crystalline orientation of Si substrates.

  18. Temperature dependent dielectric properties of Au/ZnO/n-Si heterojuntion

    NASA Astrophysics Data System (ADS)

    Kocyigit, Adem; Orak, İkram; Turut, Abdulmecit

    2018-03-01

    Owing to importance of ZnO in electronics, Au/ZnO/n-type Si device was fabricated to investigate its dielectric properties by aid of capacitance-conductance-voltage measurements. While the ZnO thin film layer on the n-type Si was formed by atomic layer deposition (ALD) technique, the rectifying and ohmic contacts were obtained by thermal evaporation. The surface morphology of ZnO thin film was characterized using atomic force microscopy (AFM) to show its compatibility as interfacial layer in the Au/ZnO/n-type Si device. The dielectric properties of the device were examined in terms of dielectric parameters such as dielectric constant (ɛ‧), dielectric loss (ɛ″), loss tangent (tan δ), the real and imaginary parts of electric modulus (M ‧ and M ″) and ac electrical conductivity (σ) depending on applied voltages (from -1 to 2 V) and temperatures (from 140 K to 360 K) ranges. The results have revealed that interfacial polarization and charge carriers are the important parameters to affect the dielectric properties of the device with changing temperature. The device can be used at wide range temperatures for diode applications.

  19. N-VSi-related center in non-irradiated 6H SiC nanostructure

    NASA Astrophysics Data System (ADS)

    Bagraev, Nikolay; Danilovskii, Eduard; Gets, Dmitrii; Kalabukhova, Ekaterina; Klyachkin, Leonid; Malyarenko, Anna; Savchenko, Dariya; Shanina, Bella

    2014-02-01

    We present the first findings of the vacancy-related centers identified by the electron spin resonance (ESR) and electrically-detected (ED) ESR method in the non-irradiated 6H-SiC nanostructure. This planar 6H-SiC nanostructure represents the ultra-narrow p-type quantum well confined by the δ-barriers heavily doped with boron on the surface of the n-type 6H-SiC (0001) wafer. The EDESR method by measuring the only magnetoresistance of the 6H SiC nanostructure under the high frequency generation from the δ-barriers appears to allow the identification of the silicon vacancy centers as well as the triplet center with spin state S=1. The same triplet center that is characterized by the larger value of the zero-field splitting constant D and anisotropic g-factor is revealed by the ESR (X-band) method. The hyperfine (hf) lines in the ESR and EDESR spectra originating from the hf interaction with the 14N nucleus allow us to attribute this triplet center to the N-VSi defect.

  20. Voltage contrast X-ray photoelectron spectroscopy reveals graphene-substrate interaction in graphene devices fabricated on the C- and Si- faces of SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aydogan, Pinar; Suzer, Sefik, E-mail: suzer@fen.bilkent.edu.tr; Arslan, Engin

    2015-09-21

    We report on an X-ray photoelectron spectroscopy (XPS) study of two graphene based devices that were analyzed by imposing a significant current under +3 V bias. The devices were fabricated as graphene layers(s) on hexagonal SiC substrates, either on the C- or Si-terminated faces. Position dependent potential distributions (IR-drop), as measured by variations in the binding energy of a C1s peak are observed to be sporadic for the C-face graphene sample, but very smooth for the Si-face one, although the latter is less conductive. We attribute these sporadic variations in the C-face device to the incomplete electrical decoupling between the graphenemore » layer(s) with the underlying buffer and/or substrate layers. Variations in the Si2p and O1s peaks of the underlayer(s) shed further light into the electrical interaction between graphene and other layers. Since the potential variations are amplified only under applied bias (voltage-contrast), our methodology gives unique, chemically specific electrical information that is difficult to obtain by other techniques.« less

  1. Retuning Rieske-type Oxygenases to Expand Substrate Range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohammadi, Mahmood; Viger, Jean-François; Kumar, Pravindra

    2012-09-17

    Rieske-type oxygenases are promising biocatalysts for the destruction of persistent pollutants or for the synthesis of fine chemicals. In this work, we explored pathways through which Rieske-type oxygenases evolve to expand their substrate range. BphAE{sub p4}, a variant biphenyl dioxygenase generated from Burkholderia xenovorans LB400 BphAE{sub LB400} by the double substitution T335A/F336M, and BphAE{sub RR41}, obtained by changing Asn{sup 338}, Ile{sup 341}, and Leu{sup 409} of BphAE{sub p4} to Gln{sup 338}, Val{sup 341}, and Phe{sup 409}, metabolize dibenzofuran two and three times faster than BphAE{sub LB400}, respectively. Steady-state kinetic measurements of single- and multiple-substitution mutants of BphAE{sub LB400} showed thatmore » the single T335A and the double N338Q/L409F substitutions contribute significantly to enhanced catalytic activity toward dibenzofuran. Analysis of crystal structures showed that the T335A substitution relieves constraints on a segment lining the catalytic cavity, allowing a significant displacement in response to dibenzofuran binding. The combined N338Q/L409F substitutions alter substrate-induced conformational changes of protein groups involved in subunit assembly and in the chemical steps of the reaction. This suggests a responsive induced fit mechanism that retunes the alignment of protein atoms involved in the chemical steps of the reaction. These enzymes can thus expand their substrate range through mutations that alter the constraints or plasticity of the catalytic cavity to accommodate new substrates or that alter the induced fit mechanism required to achieve proper alignment of reaction-critical atoms or groups.« less

  2. Particle size reduction of Si3N4 with Si3N4 milling hardware

    NASA Technical Reports Server (NTRS)

    Herbell, T. P.; Freedman, M. R.; Kiser, J. D.

    1986-01-01

    The grinding of Si3N4 powder using reaction bonded Si3N4 attrition, vibratory, and ball mills with Si3N4 media was examined. The rate of particle size reduction and the change in the chemical composition of the powder were determined in order to compare the grinding efficiency and the increase in impurity content resulting from mill and media wear for each technique. Attrition and vibratory milling exhibited rates of specific surface area increase that were approximately eight times that observed in ball milling. Vibratory milling introduced the greatest impurity pickup.

  3. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    PubMed Central

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  4. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    NASA Astrophysics Data System (ADS)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  5. Quantification of Valleys of Randomly Textured Substrates as a Function of Opening Angle: Correlation to the Defect Density in Intrinsic nc-Si:H.

    PubMed

    Kim, Do Yun; Hänni, Simon; Schüttauf, Jan-Willem; van Swaaij, René A C M M; Zeman, Miro

    2016-08-17

    Optical and electrical properties of hydrogenated nanocrystalline silicon (nc-Si:H) solar cells are strongly influenced by the morphology of underlying substrates. By texturing the substrates, the photogenerated current of nc-Si:H solar cells can increase due to enhanced light scattering. These textured substrates are, however, often incompatible with defect-less nc-Si:H growth resulting in lower Voc and FF. In this study we investigate the correlation between the substrate morphology, the nc-Si:H solar-cell performance, and the defect density in the intrinsic layer of the solar cells (i-nc-Si:H). Statistical surface parameters representing the substrate morphology do not show a strong correlation with the solar-cell parameters. Thus, we first quantify the line density of potentially defective valleys of randomly textured ZnO substrates where the opening angle is smaller than 130° (ρ<130). This ρ<130 is subsequently compared with the solar-cell performance and the defect density of i-nc-Si:H (ρdefect), which is obtained by fitting external photovoltaic parameters from experimental results and simulations. We confirm that when ρ<130 increases the Voc and FF significantly drops. It is also observed that ρdefect increases following a power law dependence of ρ<130. This result is attributed to more frequently formed defective regions for substrates having higher ρ<130.

  6. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  7. Interfacial varactor characteristics of ferroelectric thin films on high-resistivity Si substrate

    NASA Astrophysics Data System (ADS)

    Lan, Wen-An; Wang, Tsan-Chun; Huang, Ling-Hui; Wu, Tai-Bor

    2006-07-01

    Ferroelectric Ba(Zr0.25Ti0.75)O3 (BZT) thin films were deposited on high-resistivity Si substrate without or with inserting a high-k buffer layer of Ta2O5. The varactor characteristics of the BZT capacitors in metal-oxide-semiconductor structure were studied. At low frequency (1MHz ), the capacitors exhibit a negatively tunable characteristic, i.e., [C(V)-C(0)]/C(0)<0, against dc bias V, but opposite tunable characteristics were found at microwave frequencies (>1GHz). The change of voltage-dependent characteristic is attributed to the effect of low-resistivity interface induced by charged defects formed from interfacial oxidation of Si in screening the microwave from penetrating into the bulk of Si.

  8. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    NASA Astrophysics Data System (ADS)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  9. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  10. The Li–Si–(O)–N system revisited: Structural characterization of Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casas-Cabanas, M.; Santner, H.; Palacín, M.R., E-mail: rosa.palacin@icmab.es

    2014-05-01

    A systematic study of the Li–Si–(O)–N system is presented. The synthetic conditions to prepare Li{sub 2}SiN{sub 2}, Li{sub 5}SiN{sub 3}, Li{sub 18}Si{sub 3}N{sub 10}, Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O are described and the structure of the last two compounds has been solved for the first time. While Li{sub 21}Si{sub 3}N{sub 11} crystallizes as a superstructure of the anti-fluorite structure with Li and Si ordering, Li{sub 7}SiN{sub 3}O exhibits the anti-fluorite structure with both anion and cation disorder. - Graphical abstract: A systematic study of the Li–Si–(O)–N system is presented. Li{sub 21}Si{sub 3}N{sub 11} crystallizes as a superstructuremore » of the anti-fluorite structure with Li and Si ordering, Li{sub 7}SiN{sub 3}O exhibits the anti-fluorite structure with both anion and cation disorder. - Highlights: • Li{sub 2}SiN{sub 2}, Li{sub 5}SiN{sub 3}, Li{sub 18}Si{sub 3}N{sub 10}, Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O are prepared. • The structures of Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O are presented. • Li{sub 21}Si{sub 3}N{sub 11} exhibits an anti-fluorite superstructure with Li and Si ordering.« less

  11. Enhanced Structural and Luminescent Properties of Carbon-Assisted ZnO Nanorod Arrays on (100) Si Substrate

    NASA Astrophysics Data System (ADS)

    Yoon, Im Taek; Cho, Hak Dong; Lee, Sejoon; Roshchupkin, Dmitry V.

    2018-02-01

    We have fabricated as-grown ZnO nanorods (NRs) and carbon-assisted NR arrays on semi-insulating (100)-oriented Si substrates. We compared the structural and luminescent properties of them. High-resolution transmission microscopy, field emission scanning electron microscopy, x-ray diffraction and energy-dispersive x-ray revealed that the as-grown ZnO NRs and carbon-assisted ZnO NRs were single crystals with a hexagonal wurtzite structure, and grew with a c-axis orientation perpendicular to the Si substrate. These measurements show that the carbon-assisted ZnO NRs were better synthesized vertically on an Si substrate compared to the as-grown ZnO NRs. Photoluminescence measurements showed that luminescence intensity of the carbon-assisted ZnO NRs was enhanced compared to the as-grown ZnO NRs. The enhanced luminescence intensity of the carbon-assisted ZnO demonstrates the possible improvement in the performance of photovoltaic nanodevices based on ZnO-like materials. This method can be applied to the fabrication of well-aligned ZnO NRs used widely in optoelectronic devices.

  12. First principles investigation of SiC/AlGaN(0001) band offset

    NASA Astrophysics Data System (ADS)

    Kojima, E.; Endo, K.; Shirakawa, H.; Chokawa, K.; Araidai, M.; Ebihara, Y.; Kanemura, T.; Onda, S.; Shiraishi, K.

    2017-06-01

    We are attempting to develop a new type of vertical MOSFET with SiC/AlGaN heterojunction. Toward the realization of the vertical MOSFET, the control of conduction-band offset is one of the crucial subjects. We investigated the conduction-band offset of 4H-SiC/AlxGa1-xN interface by the first-principles electronic structure calculations. We found that the offset of the interface with 40% Al content becomes almost zero. Therefore, 4H-SiC/Al0.4Ga0.6N interface is one of the most promising candidates for the vertical MOSFET in future power conversion devices.

  13. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Cheng; Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060; Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to themore » fabrication of nanodevices and nanosurfaces.« less

  14. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    PubMed Central

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-01-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10−15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology. PMID:27924863

  15. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    NASA Astrophysics Data System (ADS)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  16. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si.

    PubMed

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-07

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10 -15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  17. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    PubMed

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  18. Resistance change effect in SrTiO3/Si (001) isotype heterojunction

    NASA Astrophysics Data System (ADS)

    Huang, Xiushi; Gao, Zhaomeng; Li, Pei; Wang, Longfei; Liu, Xiansheng; Zhang, Weifeng; Guo, Haizhong

    2018-02-01

    Resistance switching has been observed in double and multi-layer structures of ferroelectric films. The higher switching ratio opens up a vast path for emerging ferroelectric semiconductor devices. An n-n+ isotype heterojunction has been fabricated by depositing an oxide SrTiO3 layer on a conventional n-type Si (001) substrate (SrTiO3/Si) by pulsed laser disposition. Rectification and resistive switching behaviors in the n-n+ SrTiO3/Si heterojunction were observed by a conductive atomic force microscopy, and the n-n+ SrTiO3/Si heterojunction exhibits excellent endurance and retention characteristics. The possible mechanism was proposed based on the band structure of the n-n+ SrTiO3/Si heterojunction, and the observed electrical behaviors could be attributed to the modulation effect of the electric field reversal on the width of accumulation and the depletion region, as well as the height of potential of the n-n+ junction formed at the STO/Si interface. Moreover, oxygen vacancies are also indicated to play a crucial role in causing insulator to semiconductor transition. These results open the way to potential application in future microelectronic devices based on perovskite oxide layers on conventional semiconductors.

  19. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  20. High Velocity Burner Rig Oxidation and Thermal Fatigue Behavior of Si3N4- and SiC Base Ceramics to 1370 Deg C

    NASA Technical Reports Server (NTRS)

    Sanders, W. A.; Johnston, J. R.

    1978-01-01

    One SiC material and three Si3N4 materials including hot-pressed Si3N4 as a baseline were exposed in a Mach-1-gas-velocity burner rig simulating a turbine engine environment. Criteria for the materials selection were: potential for gas-turbine usage, near-net-shape fabricability and commercial/domestic availability. Cyclic exposures of test vanes up to 250 cycles (50 hr at temperature) were at leading-edge temperatures to 1370 C. Materials and batches were compared as to weight change, surface change, fluorescent penetrant inspection, and thermal fatigue behavior. Hot-pressed Si3N4 survived the test to 1370 C with slight weight losses. Two types of reaction-sintered Si3N4 displayed high weight gains and considerable weight-change variability, with one material exhibiting superior thermal fatigue behavior. A siliconized SiC showed slight weight gains, but considerable batch variability in thermal fatigue.