Sample records for nano-epitaxially lateral overgrown

  1. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN.

    PubMed

    Soh, C B; Liu, W; Yong, A M; Chua, S J; Chow, S Y; Tripathy, S; Tan, R J N

    2010-08-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO(2) film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, η(extraction,) was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  2. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN

    NASA Astrophysics Data System (ADS)

    Soh, C. B.; Liu, W.; Yong, A. M.; Chua, S. J.; Chow, S. Y.; Tripathy, S.; Tan, R. J. N.

    2010-11-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO2 film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, ηextraction, was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  3. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  4. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  5. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  6. Diamond heteroepitaxial lateral overgrowth

    DOE PAGES

    Tang, Y. -H.; Bi, B.; Golding, B.

    2015-02-24

    A method of diamond heteroepitaxial lateral overgrowth is demonstrated which utilizes a photolithographic metal mask to pattern a thin (001) epitaxial diamond surface. Significant structural improvement was found, with a threading dislocation density reduced by two orders of magnitude at the top surface of a thick overgrown diamond layer. In the initial stage of overgrowth, a reduction of diamond Raman linewidth in the overgrown area was also realized. Thermally-induced stress and internal stress were determined by Raman spectroscopy of adhering and delaminated diamond films. As a result, the internal stress is found to decrease as sample thickness increases.

  7. Two-stage epitaxial growth of vertically-aligned SnO 2 nano-rods on(001) ceria

    DOE PAGES

    Solovyov, Vyacheslav F.; Wu, Li-jun; Rupich, Martin W.; ...

    2014-09-20

    Growth of high-aspect ratio oriented tin oxide, SnO 2, nano-rods is complicated by a limited choice of matching substrates. We show that a (001) cerium oxide, CeO 2, surface uniquely enables epitaxial growth of tin-oxide nano-rods via a two-stage process. First, (100) oriented nano-wires coat the ceria surface by lateral growth, forming a uniaxially-textured SnO 2 deposit. Second, vertical SnO 2nano-rods nucleate on the deposit by homoepitaxy. We demonstrate growth of vertically oriented 1-2 μm long nano-rods with an average diameter of ≈20 nm.

  8. Two-stage epitaxial growth of vertically-aligned SnO2 nano-rods on (001) ceria

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solovyov, VF; Wu, LJ; Rupich, MW

    2014-12-15

    Growth of high-aspect ratio oriented tin oxide, SnO2, nano-rods is complicated by a limited choice of matching substrates. We show that a (001) cerium oxide, CeO2, surface uniquely enables epitaxial growth of tin-oxide nano-rods via a two-stage process. First, (100) oriented nano-wires coat the ceria surface by lateral growth, forming a uniaxially-textured SnO2 deposit. Second, vertical SnO2 nano-rods nucleate on the deposit by homoepitaxy. We demonstrate growth of vertically oriented 1-2 mu m long nano-rods with an average diameter of approximate to 20 nm. 2014 Elsevier B.V. All rights reserved.

  9. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  10. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  11. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  12. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  13. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  14. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  15. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  16. High current density GaAs/Si rectifying heterojunction by defect free Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed.

    PubMed

    Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel

    2016-05-04

    Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

  17. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  18. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  19. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  20. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  1. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  2. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  3. 4. Overgrown overlook number 1 looking W. Great Smoky ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    4. Overgrown overlook number 1 looking W. - Great Smoky Mountains National Park Roads & Bridges, Roaring Fork Motor Nature Trail, Between Cherokee Orchard Road & U.S. Route 321, Gatlinburg, Sevier County, TN

  4. Suppression of planar defects in the molecular beam epitaxy of GaAs/ErAs/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Ferrer, Domingo A.; Bank, Seth R.

    2011-08-01

    We present a growth method that overcomes the mismatch in rotational symmetry of ErAs and conventional III-V semiconductors, allowing for epitaxially integrated semimetal/semiconductor heterostructures. Transmission electron microscopy and reflection high-energy electron diffraction reveal defect-free overgrowth of ErAs layers, consisting of >2× the total amount of ErAs that can be embedded with conventional layer-by-layer growth methods. We utilize epitaxial ErAs nanoparticles, overgrown with GaAs, as a seed to grow full films of ErAs. Growth proceeds by diffusion of erbium atoms through the GaAs spacer, which remains registered to the underlying substrate, preventing planar defect formation during subsequent GaAs growth. This growth method is promising for metal/semiconductor heterostructures that serve as embedded Ohmic contacts to epitaxial layers and epitaxially integrated active plasmonic devices.

  5. SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.

    PubMed

    Mastari, M; Charles, M; Bogumilowicz, Y; Thai, Q M; Pimenta-Barros, P; Argoud, M; Papon, A M; Gergaud, P; Landru, D; Kim, Y; Hartmann, J M

    2018-07-06

    In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was investigated in a 300 mm industrial reduced pressure-chemical vapour deposition tool. An integration scheme based on diblock copolymer patterning was used to fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars. Results showed highly selective and uniform processes for the epitaxial growth of Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano-pillars and characterised by atomic force microscopy, x-ray diffraction and transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation were obtained in the 650 °C-700 °C range for 2D SiGe layers grown either on Si or SiGe nano-pillars.

  6. NANOELECTRONICS. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface.

    PubMed

    Li, Ming-Yang; Shi, Yumeng; Cheng, Chia-Chin; Lu, Li-Syuan; Lin, Yung-Chang; Tang, Hao-Lin; Tsai, Meng-Lin; Chu, Chih-Wei; Wei, Kung-Hwa; He, Jr-Hau; Chang, Wen-Hao; Suenaga, Kazu; Li, Lain-Jong

    2015-07-31

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface. Copyright © 2015, American Association for the Advancement of Science.

  7. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    NASA Astrophysics Data System (ADS)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  8. Large spin current injection in nano-pillar-based lateral spin valve

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nomura, Tatsuya; Ohnishi, Kohei; Kimura, Takashi, E-mail: t-kimu@phys.kyushu-u.ac.jp

    We have investigated the influence of the injection of a large pure spin current on a magnetization process of a non-locally located ferromagnetic dot in nano-pillar-based lateral spin valves. Here, we prepared two kinds of the nano-pillar-type lateral spin valve based on Py nanodots and CoFeAl nanodots fabricated on a Cu film. In the Py/Cu lateral spin valve, although any significant change of the magnetization process of the Py nanodot has not been observed at room temperature. The magnetization reversal process is found to be modified by injecting a large pure spin current at 77 K. Switching the magnetization bymore » the nonlocal spin injection has also been demonstrated at 77 K. In the CoFeAl/Cu lateral spin valve, a room temperature spin valve signal was strongly enhanced from the Py/Cu lateral spin valve because of the highly spin-polarized CoFeAl electrodes. The room temperature nonlocal switching has been demonstrated in the CoFeAl/Cu lateral spin valve.« less

  9. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  10. Epitaxial lateral overgrowth of InP on Si from nano-openings: Theoretical and experimental indication for defect filtering throughout the grown layer

    NASA Astrophysics Data System (ADS)

    Olsson, F.; Xie, M.; Lourdudoss, S.; Prieto, I.; Postigo, P. A.

    2008-11-01

    We present a model for the filtration of dislocations inside the seed window in epitaxial lateral overgrowth (ELO). We found that, when the additive effects of image and gliding forces exceed the defect line tension force, filtering can occur even in the openings. The model is applied to ELO of InP on Si where the opening size and the thermal stress arising due to the mask and the grown material are taken into account and analyzed. Further, we have also designed the mask patterns in net structures, where the tilting angles of the openings in the nets are chosen in order to take advantage of the filtering in the openings more effectively, and to minimize new defects due to coalescence in the ELO. Photoluminescence intensities of ELO InP on Si and on InP are compared and found to be in qualitative agreement with the model.

  11. Self-organization of quantum-dot pairs by high-temperature droplet epitaxy

    PubMed Central

    Holmes, Kyland; Mazur, Yuriy I; Ramsey, Kimberly A; Salamo, Gregory J

    2006-01-01

    The spontaneously formation of epitaxial GaAs quantum-dot pairs was demonstrated on an AlGaAs surface using Ga droplets as a Ga nano-source. The dot pair formation was attributed to the anisotropy of surface diffusion during high-temperature droplet epitaxy.

  12. Defect reduction in overgrown semi-polar (11-22) GaN on a regularly arrayed micro-rod array template

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Y.; Bai, J.; Hou, Y.

    2016-02-15

    We demonstrate a great improvement in the crystal quality of our semi-polar (11-22) GaN overgrown on regularly arrayed micro-rod templates fabricated using a combination of industry-matched photolithography and dry-etching techniques. As a result of our micro-rod configuration specially designed, an intrinsic issue on the anisotropic growth rate which is a great challenge in conventional overgrowth technique for semi-polar GaN has been resolved. Transmission electron microscopy measurements show a different mechanism of defect reduction from conventional overgrowth techniques and also demonstrate major advantages of our approach. The dislocations existing in the GaN micro-rods are effectively blocked by both a SiO{sub 2}more » mask on the top of each GaN micro-rod and lateral growth along the c-direction, where the growth rate along the c-direction is faster than that along any other direction. Basal stacking faults (BSFs) are also effectively impeded, leading to a distribution of BSF-free regions periodically spaced by BSF regions along the [-1-123] direction, in which high and low BSF density areas further show a periodic distribution along the [1-100] direction. Furthermore, a defect reduction model is proposed for further improvement in the crystalline quality of overgrown (11-22) GaN on sapphire.« less

  13. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  14. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    NASA Astrophysics Data System (ADS)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  15. The Underlying Ecological Processes of Gut Microbiota Among Cohabitating Retarded, Overgrown and Normal Shrimp.

    PubMed

    Xiong, Jinbo; Dai, Wenfang; Zhu, Jinyong; Liu, Keshao; Dong, Chunming; Qiu, Qiongfen

    2017-05-01

    Increasing evidence of tight links among the gut microbiota, obesity, and host health has emerged, but knowledge of the ecological processes that shape the variation in microbial assemblages across growth rates remains elusive. Moreover, inadequately control for differences in factors that profoundly affect the gut microbial community, hampers evaluation of the gut microbiota roles in regulating growth rates. To address this gap, we evaluated the composition and ecological processes of the gut bacterial community in cohabitating retarded, overgrown, and normal shrimps from identically managed ponds. Gut bacterial community structures were distinct (P = 0.0006) among the shrimp categories. Using a structural equation modeling (SEM), we found that changes in the gut bacterial community were positively related to digestive activities, which subsequently affected shrimp growth rate. This association was further supported by intensified interspecies interaction and enriched lineages with high nutrient intake efficiencies in overgrown shrimps. However, the less phylogenetic clustering of gut microbiota in overgrown and retarded subjects may offer empty niches for pathogens invasion, as evidenced by higher abundances of predicted functional pathways involved in disease infection. Given no differences in biotic and abiotic factors among the cohabitating shrimps, we speculated that the distinct gut community assembly could be attributed to random colonization in larval shrimp (e.g., priority effects) and that an altered microbiota could be a causative factor in overgrowth or retardation in shrimp. To our knowledge, this is the first study to provide an integrated overview of the direct roles of gut microbiota in shaping shrimp growth rate and the underlying ecological mechanisms.

  16. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  17. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  18. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    PubMed

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Y. T., E-mail: yasun@kth.se; Omanakuttan, G.; Lourdudoss, S.

    2015-05-25

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reductionmore » effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm{sup 2} at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm{sup 2}, an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon.« less

  20. One-pot growth of two-dimensional lateral heterostructures via sequential edge-epitaxy

    NASA Astrophysics Data System (ADS)

    Sahoo, Prasana K.; Memaran, Shahriar; Xin, Yan; Balicas, Luis; Gutiérrez, Humberto R.

    2018-01-01

    Two-dimensional heterojunctions of transition-metal dichalcogenides have great potential for application in low-power, high-performance and flexible electro-optical devices, such as tunnelling transistors, light-emitting diodes, photodetectors and photovoltaic cells. Although complex heterostructures have been fabricated via the van der Waals stacking of different two-dimensional materials, the in situ fabrication of high-quality lateral heterostructures with multiple junctions remains a challenge. Transition-metal-dichalcogenide lateral heterostructures have been synthesized via single-step, two-step or multi-step growth processes. However, these methods lack the flexibility to control, in situ, the growth of individual domains. In situ synthesis of multi-junction lateral heterostructures does not require multiple exchanges of sources or reactors, a limitation in previous approaches as it exposes the edges to ambient contamination, compromises the homogeneity of domain size in periodic structures, and results in long processing times. Here we report a one-pot synthetic approach, using a single heterogeneous solid source, for the continuous fabrication of lateral multi-junction heterostructures consisting of monolayers of transition-metal dichalcogenides. The sequential formation of heterojunctions is achieved solely by changing the composition of the reactive gas environment in the presence of water vapour. This enables selective control of the water-induced oxidation and volatilization of each transition-metal precursor, as well as its nucleation on the substrate, leading to sequential edge-epitaxy of distinct transition-metal dichalcogenides. Photoluminescence maps confirm the sequential spatial modulation of the bandgap, and atomic-resolution images reveal defect-free lateral connectivity between the different transition-metal-dichalcogenide domains within a single crystal structure. Electrical transport measurements revealed diode-like responses across the

  1. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  2. Effect of the out-of-plane stress on the properties of epitaxial SrTiO3 films with nano-pillar array on Si-substrate

    NASA Astrophysics Data System (ADS)

    Bai, Gang; Xie, Qiyun; Liu, Zhiguo; Wu, Dongmei

    2015-08-01

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO3 films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO3 films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO3 films similar to PZT and other lead-based ferroelectrics can be expected.

  3. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  4. Self-organization during growth of ZrN/SiN{sub x} multilayers by epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fallqvist, A.; Fager, H.; Hultman, L.

    ZrN/SiN{sub x} nanoscale multilayers were deposited on ZrN seed layers grown on top of MgO(001) substrates by dc magnetron sputtering with a constant ZrN thickness of 40 Å and with an intended SiN{sub x} thickness of 2, 4, 6, 8, and 15 Å at a substrate temperature of 800 °C and 6 Å at 500 °C. The films were investigated by X-ray diffraction, high-resolution scanning transmission electron microscopy, and energy dispersive X-ray spectroscopy. The investigations show that the SiN{sub x} is amorphous and that the ZrN layers are crystalline. Growth of epitaxial cubic SiN{sub x}—known to take place on TiN(001)—onmore » ZrN(001) is excluded to the monolayer resolution of this study. During the course of SiN{sub x} deposition, the material segregates to form surface precipitates in discontinuous layers for SiN{sub x} thicknesses ≤6 Å that coalesce into continuous layers for 8 and 15 Å thickness at 800 °C, and for 6 Å at 500 °C. The SiN{sub x} precipitates are aligned vertically. The ZrN layers in turn grow by epitaxial lateral overgrowth on the discontinuous SiN{sub x} in samples deposited at 800 °C with up to 6 Å thick SiN{sub x} layers. Effectively a self-organized nanostructure can be grown consisting of strings of 1–3 nm large SiN{sub x} precipitates along apparent column boundaries in the epitaxial ZrN.« less

  5. Reduction of threading dislocation density in SiGe epilayer on Si (0 0 1) by lateral growth liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel J.

    2018-02-01

    Si0.973Ge0.027 epilayers were grown on a Si (0 0 1) substrate by a lateral liquid-phase epitaxy (LLPE) technique. The lateral growth mechanism favoured the glide of misfit dislocations and inhibited the nucleation of new dislocations by maintaining the thickness less than the critical thicknesses for dislocation nucleation and greater than the critical thickness for glide. This promoted the formation of an array of long misfit dislocations parallel to the [1 1 0] growth direction and reduced the threading dislocation density to 103 cm-2, two orders of magnitude lower than the seed area with an isotropic misfit dislocation network.

  6. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  7. Self-organized nano-structuring of CoO islands on Fe(001)

    NASA Astrophysics Data System (ADS)

    Brambilla, A.; Picone, A.; Giannotti, D.; Riva, M.; Bussetti, G.; Berti, G.; Calloni, A.; Finazzi, M.; Ciccacci, F.; Duò, L.

    2016-01-01

    The realization of nanometer-scale structures through bottom-up strategies can be accomplished by exploiting a buried network of dislocations. We show that, by following appropriate growth steps in ultra-high vacuum molecular beam epitaxy, it is possible to grow nano-structured films of CoO coupled to Fe(001) substrates, with tunable sizes (both the lateral size and the maximum height scale linearly with coverage). The growth mode is discussed in terms of the evolution of surface morphology and chemical interactions as a function of the CoO thickness. Scanning tunneling microscopy measurements reveal that square mounds of CoO with lateral dimensions of less than 25 nm and heights below 10 atomic layers are obtained by growing few-nanometers-thick CoO films on a pre-oxidized Fe(001) surface covered by an ultra-thin Co buffer layer. In the early stages of growth, a network of misfit dislocations develops, which works as a template for the CoO nano-structuring. From a chemical point of view, at variance with typical CoO/Fe interfaces, neither Fe segregation at the surface nor Fe oxidation at the buried interface are observed, as seen by Auger electron spectroscopy and X-ray Photoemission Spectroscopy, respectively.

  8. Optical and electrical properties of GaN-based light emitting diodes grown on micro- and nano-scale patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Chiu, Ching-Hsueh; Lin, Chien-Chung; Deng, Dongmei; Kuo, Hao-Chung; Lau, Kei-May

    2011-10-01

    We investigate the optical and electrical characteristics of the GaN-based light emitting diodes (LEDs) grown on Micro and Nano-scale Patterned silicon substrate (MPLEDs and NPLEDs). The transmission electron microscopy (TEM) images reveal the suppression of threading dislocation density in InGaN/GaN structure on nano-pattern substrate due to nanoscale epitaxial lateral overgrowth (NELOG). The plan-view and cross-section cathodoluminescence (CL) mappings show less defective and more homogeneous active quantum well region growth on nano-porous substrates. From temperature dependent photoluminescence (PL) and low temperature time-resolved photoluminescence (TRPL) measurement, NPLEDs has better carrier confinement and higher radiative recombination rate than MPLEDs. In terms of device performance, NPLEDs exhibits smaller electroluminescence (EL) peak wavelength blue shift, lower reverse leakage current and decreases efficiency droop compared with the MPLEDs. These results suggest the feasibility of using NPSi for the growth of high quality and power LEDs on Si substrates.

  9. Lateral solid phase epitaxy of silicon and application to the fabrication of metal oxide semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Greene, Brian Joseph

    Thin film silicon on insulator fabrication is an increasingly important technology requirement for improving performance in future generation devices and circuits. One process for SOI fabrication that has recently been generating renewed interest is Lateral Solid Phase Epitaxy (LSPE) of silicon over oxide. This process involves annealing amorphous silicon that has been deposited on oxide patterned Si wafers. The (001) Si substrate forms the crystalline seed for epitaxial growth, permitting the generation of Si films that are both single crystal, and oriented to the substrate. This method is particularly attractive to fabrication that requires low temperature processing, because the Si films are deposited in the amorphous phase at temperatures near 525°C, and crystallized at temperatures near 570°C. It is also attractive for applications requiring three dimensional stacking of active silicon device layers, due to the relatively low temperatures involved. For sub-50 nm gate length MOSFET fabrication, an SOI thickness on the order of 10 nm will be required. One limitation of the LSPE process has been the need for thick films (0.5--2 mum) and/or heavy P doping (10 19--1020 cm-3) to increase the maximum achievable lateral growth distance, and therefore minimize the area on the substrate occupied by seed holes. This dissertation discusses the characterization and optimization of process conditions for large area LSPE silicon film growth, as well as efforts to adapt the traditional LSPE process to achieve ultra-thin SOI layers (Tsilicon ≤ 25 nm) while avoiding the use of heavy active doping layers. MOSFETs fabricated in these films that exhibit electron mobility comparable to the Universal Si MOS Mobility are described.

  10. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  11. Water-Soluble Epitaxial NaCl Thin Film for Fabrication of Flexible Devices.

    PubMed

    Lee, Dong Kyu; Kim, Sungjoo; Oh, Sein; Choi, Jae-Young; Lee, Jong-Lam; Yu, Hak Ki

    2017-08-18

    We studied growth mechanisms of water-soluble NaCl thin films on single crystal substrates. Epitaxial growth of NaCl(100) on Si(100) and domain-matched growth of NaCl(111) on c-sapphire were obtained at thicknesses below 100 nm even at room temperature from low lattice mismatches in both cases. NaCl thin film, which demonstrates high solubility selectivity for water, was successfully applied as a water-soluble sacrificial layer for fabrication of several functional materials, such as WO 3 nano-helix and Sn doped In 2 O 3 nano-branches.

  12. Strain-engineering of GaInAsSb overgrown layers and its effects on the optical properties of InAs/GaAs quantum dots

    NASA Astrophysics Data System (ADS)

    Salhi, A.; Alshaibani, S.; Alaskar, Y.; Albrithen, H.; Albedri, A.; Alyamani, A.

    2018-05-01

    The effect of antimony incorporation in In0.11Ga0.89As overgrown layers on the optical properties of InAs/GaAs quantum dots grown by molecular beam epitaxy has been studied. The results of photoluminescence, high resolution X-ray diffraction, atomic force microscopy, power and temperature-dependent photoluminescence from 77 K to 300 K have been correlated to characterize the grown samples. The wavelength emission increases with Sb content, and it reaches ∼1367 nm and does not increase further because of Sb incorporation's saturation in the In0.11Ga0.89As layer. To redshift further the wavelength to 1432 nm, a reduction of the growth temperature of the In0.11Ga0.89As1-ySby layer from 500 °C to 440 °C was necessary. This achievement is accompanied by the transformation of the QDs distribution to 2 QDs families. The extracted activation energies suggest that the PL quenching is mainly attributed to the thermal transfer of carriers in the QDs to the higher energy levels in the defects located within the In0.11Ga0.89As1-ySby SRLs. The variation of PL FWHM with temperature was interpreted by carrier thermalization and capture among different QDs within the same QD family or between small and large QD families.

  13. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  14. Vertical and lateral heterogeneous integration

    NASA Astrophysics Data System (ADS)

    Geske, Jon; Okuno, Yae L.; Bowers, John E.; Jayaraman, Vijay

    2001-09-01

    A technique for achieving large-scale monolithic integration of lattice-mismatched materials in the vertical direction and the lateral integration of dissimilar lattice-matched structures has been developed. The technique uses a single nonplanar direct-wafer-bond step to transform vertically integrated epitaxial structures into lateral epitaxial variation across the surface of a wafer. Nonplanar wafer bonding is demonstrated by integrating four different unstrained multi-quantum-well active regions lattice matched to InP on a GaAs wafer surface. Microscopy is used to verify the quality of the bonded interface, and photoluminescence is used to verify that the bonding process does not degrade the optical quality of the laterally integrated wells. The authors propose this technique as a means to achieve greater levels of wafer-scale integration in optical, electrical, and micromechanical devices.

  15. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  16. Twin InSb/GaAs quantum nano-stripes: Growth optimization and related properties

    NASA Astrophysics Data System (ADS)

    Narabadeesuphakorn, Phisut; Thainoi, Supachok; Tandaechanurat, Aniwat; Kiravittaya, Suwit; Nuntawong, Noppadon; Sopitopan, Suwat; Yordsri, Visittapong; Thanachayanont, Chanchana; Kanjanachuchai, Songphol; Ratanathammaphan, Somchai; Panyakeow, Somsak

    2018-04-01

    Growth of InSb/GaAs quantum nanostructures on GaAs substrate by using molecular beam epitaxy with low growth temperature and slow growth rate typically results in a mixture of isolated and paired nano-stripe structures, which are termed as single and twin nano-stripes, respectively. In this work, we investigate the growth conditions to maximize the number ratio between twin and single nano-stripes. The highest percentage of the twin nano-stripes of up to 59% was achieved by optimizing the substrate temperature and the nano-stripe growth rate. Transmission electron microscopy reveals the substantial size and height reduction of the buried nano-stripes. We also observed the Raman shift and photon emission from our twin nano-stripes. These twin nano-stripes are promising for spintronics and quantum computing devices.

  17. Laterally configured resistive switching device based on transition-metal nano-gap electrode on Gd oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawakita, Masatoshi; Okabe, Kyota; Kimura, Takashi

    2016-01-11

    We have developed a fabrication process for a laterally configured resistive switching device based on a Gd oxide. A nano-gap electrode connected by a Gd oxide with the ideal interfaces has been created by adapting the electro-migration method in a metal/GdO{sub x} bilayer system. Bipolar set and reset operations have been clearly observed in the Pt/GdO{sub x} system similarly in the vertical device based on GdO{sub x}. Interestingly, we were able to observe a clear bipolar switching also in a ferromagnetic CoFeB nano-gap electrode with better stability compared to the Pt/GdO{sub x} device. The superior performance of the CoFeB/GdO{sub x}more » device implies the importance of the spin on the resistive switching.« less

  18. Nucleation and Epitaxy-Mediated Phase Transformation of a Precursor Cadmium Carbonate Phase at the Calcite/Water Interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riechers, Shawn L.; Rosso, Kevin M.; Kerisit, Sebastien N.

    Mineral nucleation can be catalyzed by the presence of mineral substrates; however, the mechanisms of heterogeneous nucleation remain poorly understood. A combination of in situ time-sequenced measurements and nano-manipulation experiments were performed using atomic force microscopy (AFM) to probe the mechanisms of heteroepitaxial nucleation of otavite (CdCO3) on calcite (CaCO3) single crystals that exposed the (10-14) surface. Otavite and calcite are isostructural carbonates that display a 4% lattice mismatch, based on their (10-14) surface areas. AFM observations revealed a two-stage process in the nucleation of cadmium carbonate surface precipitates. As evidenced by changes in height, shape, growth behavior, and frictionmore » signal of the precipitates, a precursor phase was observed to initially form on the surface and subsequently undergo an epitaxy-mediated phase transformation to otavite, which then grew epitaxially. Nano-manipulation experiments, in which the applied force was increased progressively until precipitates were removed from the surface, showed that adhesion of the precursor phase to the substrate was distinctively weaker than that of the epitaxial phase, consistent with that of an amorphous phase. These findings demonstrate for the first time that heterogeneous mineral nucleation can follow a non-classical pathway like that found in homogenous aqueous conditions.« less

  19. Domain wall effects on the magnetoresistance in epitaxial nanostructures

    NASA Astrophysics Data System (ADS)

    Perason, David; Zambano, Antonio; Lukaszew, R. Alejandra

    2004-03-01

    It has been postulated that adiabatic magneto-transport in sufficiently small contacts in nano-bridges should exhibit significant magnetoresistance at room temperature.[1] In order to study this phenomenon we have patterned nano-bridges on epitaxial ferromagnetic thin films using e-beam lithography. We have tested (001) and (111) oriented Ni films, as well as (001) and (011) CrO2 films. We have patterned bridges with different geometric orientations with respect to the crystallographic axes in the samples. We will show magnetic force microscopy images of the devices and will compare them with OOMMF simulations of the magnetization dynamics during reversal. The magneto-transport was studied using 4-point probe with AC current and Lock-In techniques. We notice here that the size of the observed effect is inversely proportional to the width of the nano-contact. The typical MR observed was 1-2at room temperature. We will show a comparison of MR effects observed in the various films and geometries described. [1]. P. Bruno, Phys. Rev. Lett. 83, 2425, (1999).

  20. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    NASA Astrophysics Data System (ADS)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  1. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  2. Herpetofaunal assemblages of a lowland broadleaf forest, an overgrown orchard forest and a lime orchard in Stann Creek, Belize

    PubMed Central

    Gray, Russell; Strine, Colin T.

    2017-01-01

    Abstract Understanding and monitoring ecological impacts of the expanding agricultural industry in Belize is an important step in conservation action. To compare possible alterations in herpetofaunal communities due to these anthropogenic changes, trapping arrays were set in a manicured orchard, a reclaimed orchard and a lowland broadleaf forest in Stann Creek district at Toucan Ridge Ecology and Education Society (TREES). Trapping efforts were carried out during the rainy season, from June to September, 2016, during which time the study site was hit by a category one hurricane between sampling sessions. Trapping yielded 197 individual herpetofauna and 40 different species overall; 108 reptile captures (30 species) and 88 amphibian captures (ten species). Reptiles and amphibians were more abundant in the lowland broadleaf forest and the manicured orchard area. Amphibian species diversity was relatively similar in each habitat type. Reptile captures were most diverse in the Overgrown Orchard Forest (OGF) and Overgrown Orchard Riparian Forest (OGR) and least diverse in the Lowland Broadleaf Forest (LBF). The findings of this study suggest that reptile and amphibian sensitivity to anthropogenically altered areas is minimal when enveloped by natural habitat buffers, and additionally, that extreme weather events have little impact on herpetofauna communities in the area. PMID:29118630

  3. Stress engineering of high-quality single crystal diamond by heteroepitaxial lateral overgrowth

    DOE PAGES

    Tang, Y. -H.; Golding, B.

    2016-02-02

    Here, we describe a method for lateral overgrowth of low-stress single crystal diamond by chemical vapor deposition (CVD). The process is initiated by deposition of a thin (550 nm) (001) diamond layer on Ir-buffered a-plane sapphire. The diamond is partially masked by periodic thermally evaporated Au stripes using photolithography. Lateral overgrowth of the Au occurs with extremely effective filtering of threading dislocations. Thermal stress resulting from mismatch of the low thermal expansion diamond and the sapphire substrate is largely accommodated by the ductile Au layer. The stress state of the diamond is investigated by Raman spectroscopy for two thicknesses: atmore » 10 μm where the film has just overgrown the Au mask and at 180 μm where the film thickness greatly exceeds the scale of the masking. For the 10-μm film, the Raman linewidth shows spatial oscillations with the period of the Au stripes with a factor of 2 to 3 reduction relative to the unmasked region. In a 180-μm thick diamond film, the overall surface stress was extremely low, 0.00 ± 0.16 GPa, obtained from the Raman shift averaged over the 7.5mm diameter of the crystal at its surface. We conclude that the metal mask protects the overgrown diamond layer from substrate-induced thermal stress and cracking. Lastly, it is also responsible for low internal stress by reducing dislocation density by several orders of magnitude.« less

  4. Self-assembled formation and transformation of In/CdZnTe(110) nano-rings into camel-humps

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cohen-Taguri, G.; Ruzin, A.; Goldfarb, I.

    2012-05-21

    We used in situ scanning tunneling microscopy to monitor in real time the formation of nano-rings at the molecular beam epitaxially grown In/CdZnTe(110) surface, and Auger electron spectroscopy to explore the corresponding compositional changes. In-diffusion of In and segregation of Cd to the surface in course of annealing lead to a formation of elliptically distorted nano-rings, elongated along the fast [110] diffusion direction. Exacerbated diffusion anisotropy in the liquid state, at temperatures above the melting point of In, further distorts the nano-rings into a camel-hump shape.

  5. In situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) at temperatures below 150 C

    NASA Technical Reports Server (NTRS)

    Nieh, C. W.; Lin, T. L.

    1989-01-01

    This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.

  6. Magnetite nano-islands on silicon-carbide with graphene

    DOE PAGES

    Anderson, Nathaniel A.; Zhang, Qiang; Hupalo, Myron; ...

    2017-01-05

    X-ray magnetic circular dichroism (XMCD) measurements of iron nano-islands grown on graphene and covered with a Au film for passivation reveal that the oxidation through defects in the Au film spontaneously leads to the formation of magnetite nano-particles (i.e, Fe 3O 4). The Fe nano-islands (20 and 75 monolayers; MLs) are grown on epitaxial graphene formed by thermally annealing 6HSiC( 0001) and subsequently covered, in the growth chamber, with nominal 20 layers of Au. Our X-ray absorption spectroscopy and XMCD measurements at applied magnetic fields show that the thin film (20 ML) is totally converted to magnetite whereas the thickermore » lm (75 ML) exhibits properties of magnetite but also those of pure metallic iron. Temperature dependence of the XMCD signal (of both samples) shows a clear transition at T V ≈ 120 K consistent with the Verwey transition of bulk magnetite. These results have implications on the synthesis of magnetite nano-crystals and also on their regular arrangements on functional substrates such as graphene.« less

  7. Magnetite nano-islands on silicon-carbide with graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, Nathaniel A.; Zhang, Qiang; Hupalo, Myron

    X-ray magnetic circular dichroism (XMCD) measurements of iron nano-islands grown on graphene and covered with a Au film for passivation reveal that the oxidation through defects in the Au film spontaneously leads to the formation of magnetite nano-particles (i.e, Fe 3O 4). The Fe nano-islands (20 and 75 monolayers; MLs) are grown on epitaxial graphene formed by thermally annealing 6HSiC( 0001) and subsequently covered, in the growth chamber, with nominal 20 layers of Au. Our X-ray absorption spectroscopy and XMCD measurements at applied magnetic fields show that the thin film (20 ML) is totally converted to magnetite whereas the thickermore » lm (75 ML) exhibits properties of magnetite but also those of pure metallic iron. Temperature dependence of the XMCD signal (of both samples) shows a clear transition at T V ≈ 120 K consistent with the Verwey transition of bulk magnetite. These results have implications on the synthesis of magnetite nano-crystals and also on their regular arrangements on functional substrates such as graphene.« less

  8. Pseudorotational epitaxy of self-assembled octadecyltrichlorosilane monolayers on sapphire (0001)

    DOE PAGES

    Steinrück, H. -G.; Magerl, A.; Deutsch, M.; ...

    2014-10-06

    The structure of octadecyltrichlorosilane self-assembled monolayers (SAMs) on sapphire (0001) was studied by Å-resolution surface-specific x-ray scattering methods. The monolayer was found to consist of three sublayers where the outermost layer corresponds to vertically oriented, closely packed alkyl tails. Laterally, the monolayer is hexagonally packed and exhibits pseudorotational epitaxy to the sapphire, manifested by a broad scattering peak at zero relative azimuthal rotation, with long powderlike tails. The lattice mismatch of ~1% – 3% to the sapphire’s and the different length scale introduced by the lateral Si-O-Si bonding prohibit positional epitaxy. However, the substrate induces an intriguing increase in themore » crystalline coherence length of the SAM’s powderlike crystallites when rotationally aligned with the sapphire’s lattice. As a result, the increase correlates well with the rotational dependence of the separation of corresponding substrate-monolayer lattice sites.« less

  9. Self-assembled Metallic Dots and Antidots: Epitaxial Co on Ru(0001)

    NASA Astrophysics Data System (ADS)

    Yu, Chengtao; Li, Dongqi; Pearson, J.; Bader, S. D.

    2001-03-01

    We have grown 1-420 nm thick epitaxial Co wedge on Ru(0001) with molecular beam epitaxy at 350^oC to investigate self-assembly in metals utilizing ex-situ atomic force microscopy. A novel growth mode was observed whereby three-dimensional islands (dots) or a flat film network with deep holes (antidots) in truncated pyramidal shapes exist below or above 20 nm, respectively. The tops of the islands and the rims of the holes are flat with a root mean square roughness values of 0.3 nm. The lateral sizes of these dots/antidots, 10^2 nm, tend to be uniform. We postulate that this growth mode, similar to that of self-assembled quantum dots in semiconductors, is mainly driven by strain as a result of an 8% lateral mismatch between the basil plane lattice constants of bulk Co and Ru.

  10. Thermal stability of MBE-grown epitaxial MoSe2 and WSe2 thin films

    NASA Astrophysics Data System (ADS)

    Chang, Young Jun; Choy, Byoung Ki; Phark, Soo-Hyon; Kim, Minu

    Layered transition metal dichalcogenides (TMDs) draw much attention, because of its unique optical properties and band structures depending on the layer thicknesses. However, MBE growth of epitaxial films demands information about thermal stability of stoichiometry and related electronic structure for high temperature range. We grow epitaxial MoSe2 and WSe2 ultrathin films by using molecular beam epitaxy (MBE). We characterize stoichiometry of films grown at various growth temperature by using various methods, XPS, EDX, and TOF-MEIS. We further test high temperature stability of electronic structure for those films by utilizing in-situ ellipsometry attached to UHV chamber. We discuss threshold temperatures up to 700~1000oC, at which electronic phases changes from semiconductor to metal due to selenium deficiency. This information can be useful for potential application of TMDs for fabrication of Van der Waals multilayers and related devices. This research was supported by Nano.Material Technology Development Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning. (2009-0082580), NRF-2014R1A1A1002868.

  11. Characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Ikai; Pang, Wen-Yuan; Hsu, Yu-Chi

    2013-06-15

    The characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy on LiAlO{sub 2} substrate was studied by cathodoluminescence and photoluminescence measurements. We demonstrated that the cathodoluminescence from oblique semi-polar surfaces of mushroom-shaped GaN was much brighter than that from top polar surface due to the reduction of polarization field on the oblique semi-polar surfaces. It implies that the oblique semi-polar surface is superior for the light-emitting surface of wurtzite nano-devices.

  12. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  13. Amorphization resistance of nano-engineered SiC under heavy ion irradiation

    NASA Astrophysics Data System (ADS)

    Imada, Kenta; Ishimaru, Manabu; Xue, Haizhou; Zhang, Yanwen; Shannon, Steven C.; Weber, William J.

    2016-09-01

    Silicon carbide (SiC) with a high-density of planar defects (hereafter, 'nano-engineered SiC') and epitaxially-grown single-crystalline 3C-SiC were simultaneously irradiated with Au ions at room temperature, in order to compare their relative resistance to radiation-induced amorphization. It was found that the local threshold dose for amorphization is comparable for both samples under 2 MeV Au ion irradiation; whereas, nano-engineered SiC exhibits slightly greater radiation tolerance than single crystalline SiC under 10 MeV Au irradiation. Under 10 MeV Au ion irradiation, the dose for amorphization increased by about a factor of two in both nano-engineered and single crystal SiC due to the local increase in electronic energy loss that enhanced dynamic recovery.

  14. Amorphization resistance of nano-engineered SiC under heavy ion irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Imada, Kenta; Ishimaru, Manabu; Xue, Haizhou

    Silicon carbide (SiC) with a high-density of planar defects (hereafter, ‘nano-engineered SiC’) and epitaxially-grown single-crystalline 3C-SiC were simultaneously irradiated with Au ions at room temperature, in order to compare their relative resistance to radiation-induced amorphization. Furthermore, it was found that the local threshold dose for amorphization is comparable for both samples under 2 MeV Au ion irradiation; whereas, nano-engineered SiC exhibits slightly greater radiation tolerance than single crystalline SiC under 10 MeV Au irradiation. Under 10 MeV Au ion irradiation, the dose for amorphization increased by about a factor of two in both nano-engineered and single crystal SiC due tomore » the local increase in electronic energy loss that enhanced dynamic recovery.« less

  15. Amorphization resistance of nano-engineered SiC under heavy ion irradiation

    DOE PAGES

    Imada, Kenta; Ishimaru, Manabu; Xue, Haizhou; ...

    2016-06-19

    Silicon carbide (SiC) with a high-density of planar defects (hereafter, ‘nano-engineered SiC’) and epitaxially-grown single-crystalline 3C-SiC were simultaneously irradiated with Au ions at room temperature, in order to compare their relative resistance to radiation-induced amorphization. Furthermore, it was found that the local threshold dose for amorphization is comparable for both samples under 2 MeV Au ion irradiation; whereas, nano-engineered SiC exhibits slightly greater radiation tolerance than single crystalline SiC under 10 MeV Au irradiation. Under 10 MeV Au ion irradiation, the dose for amorphization increased by about a factor of two in both nano-engineered and single crystal SiC due tomore » the local increase in electronic energy loss that enhanced dynamic recovery.« less

  16. In-situ laser nano-patterning for ordered InAs/GaAs(001) quantum dot growth

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Shi, Zhenwu; Huo, Dayun; Guo, Xiaoxiang; Zhang, Feng; Chen, Linsen; Wang, Qinhua; Zhang, Baoshun; Peng, Changsi

    2018-04-01

    A study of in-situ laser interference nano-patterning on InGaAs wetting layers was carried out during InAs/GaAs (001) quantum dot molecular beam epitaxy growth. Periodic nano-islands with heights of a few atomic layers were obtained via four-beam laser interference irradiation on the InGaAs wetting layer at an InAs coverage of 0.9 monolayer. The quantum dots nucleated preferentially at edges of nano-islands upon subsequent deposition of InAs on the patterned surface. When the nano-islands are sufficiently small, the patterned substrate could be spontaneously re-flattened and an ordered quantum dot array could be produced on the smooth surface. This letter discusses the mechanisms of nano-patterning and ordered quantum dot nucleation in detail. This study provides a potential technique leading to site-controlled, high-quality quantum dot fabrication.

  17. Two-dimensional GaSe/MoSe2 misfit bilayer heterojunctions by van der Waals epitaxy.

    PubMed

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao; Huang, Bing; Puretzky, Alexander A; Ma, Cheng; Wang, Kai; Zhou, Wu; Pantelides, Sokrates T; Chi, Miaofang; Kravchenko, Ivan; Fowlkes, Jason; Rouleau, Christopher M; Geohegan, David B; Xiao, Kai

    2016-04-01

    Two-dimensional (2D) heterostructures hold the promise for future atomically thin electronics and optoelectronics because of their diverse functionalities. Although heterostructures consisting of different 2D materials with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) epitaxy, constructing heterostructures from layered semiconductors with large lattice misfits remains challenging. We report the growth of 2D GaSe/MoSe2 heterostructures with a large lattice misfit using two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientation between the two layers, forming a periodic superlattice. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe2 monolayer domains in lateral GaSe/MoSe2 heterostructures, GaSe monolayers are found to overgrow MoSe2 during CVD, forming a stripe of vertically stacked vdW heterostructures at the crystal interface. Such vertically stacked vdW GaSe/MoSe2 heterostructures are shown to form p-n junctions with effective transport and separation of photogenerated charge carriers between layers, resulting in a gate-tunable photovoltaic response. These GaSe/MoSe2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells.

  18. Two-dimensional GaSe/MoSe2 misfit bilayer heterojunctions by van der Waals epitaxy

    PubMed Central

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao; Huang, Bing; Puretzky, Alexander A.; Ma, Cheng; Wang, Kai; Zhou, Wu; Pantelides, Sokrates T.; Chi, Miaofang; Kravchenko, Ivan; Fowlkes, Jason; Rouleau, Christopher M.; Geohegan, David B.; Xiao, Kai

    2016-01-01

    Two-dimensional (2D) heterostructures hold the promise for future atomically thin electronics and optoelectronics because of their diverse functionalities. Although heterostructures consisting of different 2D materials with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) epitaxy, constructing heterostructures from layered semiconductors with large lattice misfits remains challenging. We report the growth of 2D GaSe/MoSe2 heterostructures with a large lattice misfit using two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientation between the two layers, forming a periodic superlattice. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe2 monolayer domains in lateral GaSe/MoSe2 heterostructures, GaSe monolayers are found to overgrow MoSe2 during CVD, forming a stripe of vertically stacked vdW heterostructures at the crystal interface. Such vertically stacked vdW GaSe/MoSe2 heterostructures are shown to form p-n junctions with effective transport and separation of photogenerated charge carriers between layers, resulting in a gate-tunable photovoltaic response. These GaSe/MoSe2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells. PMID:27152356

  19. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  20. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    NASA Astrophysics Data System (ADS)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  1. Two-dimensional GaSe/MoSe 2 misfit bilayer heterojunctions by van der Waals epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao

    Two-dimensional (2D) heterostructures hold the promise for future atomically-thin electronics and optoelectronics due to their diverse functionalities. While heterostructures consisting of different transition metal dichacolgenide monolayers with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) or edge epitaxy, constructing heterostructures from monolayers of layered semiconductors with large lattice misfits still remains challenging. Here, we report the growth of monolayer GaSe/MoSe 2 heterostructures with large lattice misfit by two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe 2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientationmore » between the two layers, forming an incommensurate vdW heterostructure. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe 2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe 2 monolayer domains in lateral GaSe/MoSe 2 heterostructures, GaSe monolayers are found to overgrow MoSe 2 during CVD, forming a stripe of vertically stacked vdW heterostructure at the crystal interface. Such vertically-stacked vdW GaSe/MoSe 2 heterostructures are shown to form p-n junctions with effective transport and separation of photo-generated charge carriers between layers, resulting in a gate-tunable photovoltaic response. In conclusion, these GaSe/MoSe 2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells.« less

  2. Two-dimensional GaSe/MoSe 2 misfit bilayer heterojunctions by van der Waals epitaxy

    DOE PAGES

    Li, Xufan; Lin, Ming-Wei; Lin, Junhao; ...

    2016-04-01

    Two-dimensional (2D) heterostructures hold the promise for future atomically-thin electronics and optoelectronics due to their diverse functionalities. While heterostructures consisting of different transition metal dichacolgenide monolayers with well-matched lattices and novel physical properties have been successfully fabricated via van der Waals (vdW) or edge epitaxy, constructing heterostructures from monolayers of layered semiconductors with large lattice misfits still remains challenging. Here, we report the growth of monolayer GaSe/MoSe 2 heterostructures with large lattice misfit by two-step chemical vapor deposition (CVD). Both vertically stacked and lateral heterostructures are demonstrated. The vertically stacked GaSe/MoSe 2 heterostructures exhibit vdW epitaxy with well-aligned lattice orientationmore » between the two layers, forming an incommensurate vdW heterostructure. However, the lateral heterostructures exhibit no lateral epitaxial alignment at the interface between GaSe and MoSe 2 crystalline domains. Instead of a direct lateral connection at the boundary region where the same lattice orientation is observed between GaSe and MoSe 2 monolayer domains in lateral GaSe/MoSe 2 heterostructures, GaSe monolayers are found to overgrow MoSe 2 during CVD, forming a stripe of vertically stacked vdW heterostructure at the crystal interface. Such vertically-stacked vdW GaSe/MoSe 2 heterostructures are shown to form p-n junctions with effective transport and separation of photo-generated charge carriers between layers, resulting in a gate-tunable photovoltaic response. In conclusion, these GaSe/MoSe 2 vdW heterostructures should have applications as gate-tunable field-effect transistors, photodetectors, and solar cells.« less

  3. Optimal doping control of magnetic semiconductors via subsurfactant epitaxy.

    PubMed

    Zeng, Changgan; Zhang, Zhenyu; van Benthem, Klaus; Chisholm, Matthew F; Weitering, Hanno H

    2008-02-15

    "Subsurfactant epitaxy" is established as a conceptually new approach for introducing manganese as a magnetic dopant into germanium. A kinetic pathway is devised in which the subsurface interstitial sites on Ge(100) are first selectively populated with Mn, while lateral diffusion and clustering on or underneath the surface are effectively suppressed. Subsequent Ge deposition as a capping layer produces a novel surfactantlike phenomenon as the interstitial Mn atoms float towards newly defined subsurface sites at the growth front. Furthermore, the Mn atoms that failed to float upwards are uniformly distributed within the Ge capping layer. The resulting doping levels of order 0.25 at. % would normally be considered too low for ferromagnetic ordering, but the Curie temperature exceeds room temperature by a comfortable margin. Subsurfactant epitaxy thus enables superior dopant control in magnetic semiconductors.

  4. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  5. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    PubMed

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  6. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers

    PubMed Central

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-01-01

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications. PMID:26940260

  7. Order of magnitude improvement of nano-contact spin torque nano-oscillator performance.

    PubMed

    Banuazizi, Seyed Amir Hossein; Sani, Sohrab R; Eklund, Anders; Naiini, Maziar M; Mohseni, Seyed Majid; Chung, Sunjae; Dürrenfeld, Philipp; Malm, B Gunnar; Åkerman, Johan

    2017-02-02

    Spin torque nano-oscillators (STNO) represent a unique class of nano-scale microwave signal generators and offer a combination of intriguing properties, such as nano sized footprint, ultrafast modulation rates, and highly tunable microwave frequencies from 100 MHz to close to 100 GHz. However, their low output power and relatively high threshold current still limit their applicability and must be improved. In this study, we investigate the influence of the bottom Cu electrode thickness (t Cu ) in nano-contact STNOs based on Co/Cu/NiFe GMR stacks and with nano-contact diameters ranging from 60 to 500 nm. Increasing t Cu from 10 to 70 nm results in a 40% reduction of the threshold current, an order of magnitude higher microwave output power, and close to two orders of magnitude better power conversion efficiency. Numerical simulations of the current distribution suggest that these dramatic improvements originate from a strongly reduced lateral current spread in the magneto-dynamically active region.

  8. Nano-fabricated perpendicular magnetic anisotropy electrodes for lateral spin valves and observation of Nernst-Ettingshausen related signals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chejanovsky, N.; Sharoni, A., E-mail: amos.sharoni@biu.ac.il

    2014-08-21

    Lateral spin valves (LSVs) are efficient structures for characterizing spin currents in spintronics devices. Most LSVs are based on ferromagnetic (FM) electrodes for spin-injection and detection. While there are advantages for using perpendicular magnetic anisotropy (PMA) FM, e.g., stability to nano-scaling, these have almost not been studied. This is mainly due to difficulties in fabricating PMA FMs in a lateral geometry. We present here an efficient method, based on ion-milling through an AlN mask, for fabrication of LSVs with multi-layered PMA FMs such as Co/Pd and Co/Ni. We demonstrate, using standard permalloy FMs, that the method enables efficient spin injection.more » We show the multi-layer electrodes retain their PMA properties as well as spin injection and detection in PMA LSVs. In addition, we find a large asymmetric voltage signal which increases with current. We attribute this to a Nernst-Ettingshausen effect caused by local Joule heating and the perpendicular magnetic easy axis.« less

  9. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  10. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    NASA Astrophysics Data System (ADS)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  11. Magnetite nano-islands on Graphene

    NASA Astrophysics Data System (ADS)

    Anderson, Nathaniel; Zhang, Qiang; Rosenberg, Richard; Vaknin, David

    X-ray magnetic circular dichroism (XMCD) of ex-situ iron nano-islands grown on graphene reveals that iron oxidation spontaneously leads to the formation of magnetite nano-particles - i.e, the formation of the inverse spinel Fe3O4. Fe islands have been grown with two different heights (20 and 75 MLs) on epitaxial graphene and we have determined their magnetic behavior both as function of temperature and applied external field. Our XAS and XMCD at an applied magnetic field of B = 5 T show that the thin film (20 MLs) is totally converted to magnetite whereas the thicker film (75 MLs) exhibits magnetite properties but also those of pure metal iron. For both samples, temperature dependence of the XMCD shows clear transitions at ~120 K consistent with the Verwey transition of bulk magnetite. XMCD at low temperatures shows a weak hysteresis and provide the average spin and angular-momentum moments, the dipolar term, and the total moment . In addition, manipulation and comparison of the XMCD data from both samples allows us to extract information about the pure iron nano-islands from the thicker sample. Ames Laboratory is supported by the U.S. DOE, BES, MSE Contract No. DE-AC02-07CH11358. APS is supported by U.S. DOE Contract No. DE-AC02-06CH11357.

  12. Epitaxial lift-off of electrodeposited single-crystal gold foils for flexible electronics

    NASA Astrophysics Data System (ADS)

    Mahenderkar, Naveen K.; Chen, Qingzhi; Liu, Ying-Chau; Duchild, Alexander R.; Hofheins, Seth; Chason, Eric; Switzer, Jay A.

    2017-03-01

    We introduce a simple and inexpensive procedure for epitaxial lift-off of wafer-size flexible and transparent foils of single-crystal gold using silicon as a template. Lateral electrochemical undergrowth of a sacrificial SiOx layer was achieved by photoelectrochemically oxidizing silicon under light irradiation. A 28-nanometer-thick gold foil with a sheet resistance of 7 ohms per square showed only a 4% increase in resistance after 4000 bending cycles. A flexible organic light-emitting diode based on tris(bipyridyl)ruthenium(II) that was spin-coated on a foil exploited the transmittance and flexibility of the gold foil. Cuprous oxide as an inorganic semiconductor that was epitaxially electrodeposited onto the gold foils exhibited a diode quality factor n of 1.6 (where n = 1.0 for an ideal diode), compared with a value of 3.1 for a polycrystalline deposit. Zinc oxide nanowires electrodeposited epitaxially on a gold foil also showed flexibility, with the nanowires intact up to 500 bending cycles.

  13. Direct growth of graphene on in situ epitaxial hexagonal boron nitride flakes by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Zhongguang; Zheng, Renjing; Khanaki, Alireza

    2015-11-23

    Hexagonal boron nitride (h-BN) single-crystal domains were grown on cobalt (Co) substrates at a substrate temperature of 850–900 °C using plasma-assisted molecular beam epitaxy. Three-point star shape h-BN domains were observed by scanning electron microscopy, and confirmed by Raman and X-ray photoelectron spectroscopy. The h-BN on Co template was used for in situ growth of multilayer graphene, leading to an h-BN/graphene heterostructure. Carbon atoms preferentially nucleate on Co substrate and edges of h-BN and then grow laterally to form continuous graphene. Further introduction of carbon atoms results in layer-by-layer growth of graphene on graphene and lateral growth of graphene on h-BNmore » until it may cover entire h-BN flakes.« less

  14. Using electric pulse and laser to trigger a sharp and nonvolatile change of lateral photovoltage in nano-carbon film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gan, Zhikai; Zhou, Peiqi; Huang, Xu

    A greatly enhanced lateral photovoltage (LPV) triggered by electric pulse has been observed in nano-carbon oxide semiconductor (COS) structures. The original maximal output signal of lateral photovoltage achieved in these structures is 9.8 mV. However, by combining the application of a 60 V voltage pulse with laser illumination, the LPV can reach a very high value of 183 mV and the change ratio after 60 V pulse is nearly 1800%. In addition, the states of these light and electric-pulse triggered COSs are permanently changed, showing a non-volatile characteristic. We attribute this phenomenon to the trapping effect of stimulated electrons in COSs. The work suggestsmore » an approach for tailoring LPV-based devices by electric pulse and will be useful for the development of electric pulse modulated photodetectors.« less

  15. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  16. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  17. Semi-polar (11-22) AlGaN on overgrown GaN on micro-rod templates: Simultaneous management of crystal quality improvement and cracking issue

    NASA Astrophysics Data System (ADS)

    Li, Z.; Jiu, L.; Gong, Y.; Wang, L.; Zhang, Y.; Bai, J.; Wang, T.

    2017-02-01

    Thick and crack-free semi-polar (11-22) AlGaN layers with various high Al compositions have been achieved by means of growth on the top of nearly but not yet fully coalesced GaN overgrown on micro-rod templates. The range of the Al composition of up to 55.7% was achieved, corresponding to an emission wavelength of up to 270 nm characterised by photoluminescence at room temperature. X-ray diffraction (XRD) measurements show greatly improved crystal quality as a result of lateral overgrowth compared to the AlGaN counterparts on standard planar substrates. The full width at half maximums of the XRD rocking curves measured along the [1-100]/[11-2-3] directions (the two typical orientations for characterizing the crystal quality of (11-22) AlGaN) are 0.2923°/0.2006° for 37.8% Al and 0.3825°/0.2064° for 55.7% Al, respectively, which have never been achieved previously. Our calculation based on reciprocal space mapping measurements has demonstrated significant strain relaxation in the AlGaN as a result of utilising the non-coalesced GaN underneath, contributing to the elimination of any cracks. The results presented have demonstrated that our overgrowth technique can effectively manage strain and improve crystal quality simultaneously.

  18. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  19. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  20. Coherent Fe-rich nano-scale perovskite oxide phase in epitaxial Sr2FeMoO6 films grown on cubic and scandate substrates

    NASA Astrophysics Data System (ADS)

    Deniz, Hakan; Preziosi, Daniele; Alexe, Marin; Hesse, Dietrich

    2017-01-01

    We report the growth of high-quality epitaxial Sr2FeMoO6 (SFMO) thin films on various unconventional oxide substrates, such as TbScO3, DyScO3, and Sr2Al0.3Ga0.7TaO6 (SAGT) as well as on the most commonly used one, SrTiO3 (STO), by pulsed laser deposition. The films were found to contain a foreign nano-scale phase coherently embedded inside the SFMO film matrix. Through energy dispersive X-ray spectroscopy and scanning transmission electron microscopy, we identified the foreign phase to be Sr2-xFe1+yMo1-yO6, an off-stoichiometric derivative of the SFMO compound with Fe rich content (y ≈ 0.6) and a fairly identical crystal structure to SFMO. The films on STO and SAGT exhibited very good magnetic properties with high Curie temperature values. All the samples have fairly good conducting behavior albeit the presence of a foreign phase. Despite the relatively large number of items of the foreign phase, there is no significant deterioration in the properties of the SFMO films. We discuss in detail how magneto-transport properties are affected by the foreign phase.

  1. Thermoelectric La-doped SrTiO3 epitaxial layers with single-crystal quality: from nano to micrometers.

    PubMed

    Apreutesei, Mihai; Debord, Régis; Bouras, Mohamed; Regreny, Philippe; Botella, Claude; Benamrouche, Aziz; Carretero-Genevrier, Adrian; Gazquez, Jaume; Grenet, Geneviève; Pailhès, Stéphane; Saint-Girons, Guillaume; Bachelet, Romain

    2017-01-01

    High-quality thermoelectric La 0.2 Sr 0.8 TiO 3 (LSTO) films, with thicknesses ranging from 20 nm to 0.7 μm, have been epitaxially grown on SrTiO 3 (001) substrates by enhanced solid-source oxide molecular-beam epitaxy. All films are atomically flat (with rms roughness < 0.2 nm), with low mosaicity (<0.1°), and present very low electrical resistivity (<5 × 10 -4 Ω cm at room temperature), one order of magnitude lower than standard commercial Nb-doped SrTiO 3 single-crystalline substrate. The conservation of transport properties within this thickness range has been confirmed by thermoelectric measurements where Seebeck coefficients of approximately -60 μV/K have been recorded for all films. These LSTO films can be integrated on Si for non-volatile memory structures or opto-microelectronic devices, functioning as transparent conductors or thermoelectric elements.

  2. Thermoelectric La-doped SrTiO3 epitaxial layers with single-crystal quality: from nano to micrometers

    NASA Astrophysics Data System (ADS)

    Apreutesei, Mihai; Debord, Régis; Bouras, Mohamed; Regreny, Philippe; Botella, Claude; Benamrouche, Aziz; Carretero-Genevrier, Adrian; Gazquez, Jaume; Grenet, Geneviève; Pailhès, Stéphane; Saint-Girons, Guillaume; Bachelet, Romain

    2017-12-01

    High-quality thermoelectric La0.2Sr0.8TiO3 (LSTO) films, with thicknesses ranging from 20 nm to 0.7 μm, have been epitaxially grown on SrTiO3(001) substrates by enhanced solid-source oxide molecular-beam epitaxy. All films are atomically flat (with rms roughness < 0.2 nm), with low mosaicity (<0.1°), and present very low electrical resistivity (<5 × 10-4 Ω cm at room temperature), one order of magnitude lower than standard commercial Nb-doped SrTiO3 single-crystalline substrate. The conservation of transport properties within this thickness range has been confirmed by thermoelectric measurements where Seebeck coefficients of approximately -60 μV/K have been recorded for all films. These LSTO films can be integrated on Si for non-volatile memory structures or opto-microelectronic devices, functioning as transparent conductors or thermoelectric elements.

  3. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  4. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  5. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  6. Thermoelectric La-doped SrTiO3 epitaxial layers with single-crystal quality: from nano to micrometers

    PubMed Central

    Apreutesei, Mihai; Debord, Régis; Bouras, Mohamed; Regreny, Philippe; Botella, Claude; Benamrouche, Aziz; Carretero-Genevrier, Adrian; Gazquez, Jaume; Grenet, Geneviève; Pailhès, Stéphane; Saint-Girons, Guillaume; Bachelet, Romain

    2017-01-01

    Abstract High-quality thermoelectric La0.2Sr0.8TiO3 (LSTO) films, with thicknesses ranging from 20 nm to 0.7 μm, have been epitaxially grown on SrTiO3(001) substrates by enhanced solid-source oxide molecular-beam epitaxy. All films are atomically flat (with rms roughness < 0.2 nm), with low mosaicity (<0.1°), and present very low electrical resistivity (<5 × 10−4 Ω cm at room temperature), one order of magnitude lower than standard commercial Nb-doped SrTiO3 single-crystalline substrate. The conservation of transport properties within this thickness range has been confirmed by thermoelectric measurements where Seebeck coefficients of approximately –60 μV/K have been recorded for all films. These LSTO films can be integrated on Si for non-volatile memory structures or opto-microelectronic devices, functioning as transparent conductors or thermoelectric elements. PMID:28740558

  7. Bridging the "green gap" of LEDs: giant light output enhancement and directional control of LEDs via embedded nano-void photonic crystals.

    PubMed

    Tsai, Yu-Lin; Liu, Che-Yu; Krishnan, Chirenjeevi; Lin, Da-Wei; Chu, You-Chen; Chen, Tzu-Pei; Shen, Tien-Lin; Kao, Tsung-Sheng; Charlton, Martin D B; Yu, Peichen; Lin, Chien-Chung; Kuo, Hao-Chung; He, Jr-Hau

    2016-01-14

    Green LEDs do not show the same level of performance as their blue and red cousins, greatly hindering the solid-state lighting development, which is the so-called "green gap". In this work, nano-void photonic crystals (NVPCs) were fabricated to embed within the GaN/InGaN green LEDs by using epitaxial lateral overgrowth (ELO) and nano-sphere lithography techniques. The NVPCs act as an efficient scattering back-reflector to outcouple the guided and downward photons, which not only boost the light extraction efficiency of LEDs with an enhancement of 78% but also collimate the view angle of LEDs from 131.5° to 114.0°. This could be because of the highly scattering nature of NVPCs which reduce the interference giving rise to Fabry-Perot resonance. Moreover, due to the threading dislocation suppression and strain relief by the NVPCs, the internal quantum efficiency was increased by 25% and droop behavior was reduced from 37.4% to 25.9%. The enhancement of light output power can be achieved as high as 151% at a driving current of 350 mA. Giant light output enhancement and directional control via NVPCs point the way towards a promising avenue of solid-state lighting.

  8. Influence of template properties and quantum well number on stimulated emission from Al0.7Ga0.3N/Al0.8Ga0.2N quantum wells

    NASA Astrophysics Data System (ADS)

    Jeschke, J.; Martens, M.; Hagedorn, S.; Knauer, A.; Mogilatenko, A.; Wenzel, H.; Zeimer, U.; Enslin, J.; Wernicke, T.; Kneissl, M.; Weyers, M.

    2018-03-01

    AlGaN multiple quantum well laser heterostructures for emission around 240 nm have been grown by metalorganic vapor phase epitaxy on epitaxially laterally overgrown (ELO) AlN/sapphire templates. The edge emitting laser structures showed optically pumped lasing with threshold power densities in the range of 2 MW cm-2. The offcut angle of the sapphire substrates as well as the number and the width of the quantum wells were varied while keeping the total thickness of the gain region constant. A larger offcut angle of 0.2° leads to step bunching on the surface as well as Ga accumulation at the steps, but also to an increased inclination of threading dislocations and coalescence boundaries resulting in a reduced dislocation density and thus a reduced laser threshold in comparison to lasers grown on ELO with an offcut of 0.1°. For low losses, samples with fewer QWs exhibited a lower lasing threshold due to a reduced transparency pump power density while for high losses, caused by a higher threading dislocation density, the quadruple quantum well was favorable due to its higher maximum gain.

  9. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  10. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  11. Electrical transport and structural characterization of epitaxial monolayer MoS2 /n- and p-doped GaN vertical lattice-matched heterojunctions

    NASA Astrophysics Data System (ADS)

    Ruzmetov, D.; O'Regan, T.; Zhang, K.; Herzing, A.; Mazzoni, A.; Chin, M.; Huang, S.; Zhang, Z.; Burke, R.; Neupane, M.; Birdwell, Ag; Shah, P.; Crowne, F.; Kolmakov, A.; Leroy, B.; Robinson, J.; Davydov, A.; Ivanov, T.

    We investigate vertical semiconductor junctions consisting of monolayer MoS2 that is epitaxially grown on n- and p-doped GaN crystals. Such a junction represents a building block for 2D/3D vertical semiconductor heterostructures. Epitaxial, lattice-matched growth of MoS2 on GaN is important to ensure high quality interfaces that are crucial for the efficient vertical transport. The MoS2/GaN junctions were characterized with cross-sectional and planar scanning transmission electron microscopy (STEM), scanning tunneling microscopy, and atomic force microscopy. The MoS2/GaN lattice mismatch is measured to be near 1% using STEM. The electrical transport in the out-of-plane direction across the MoS2/GaN junctions was measured using conductive atomic force microscopy and mechanical nano-probes inside a scanning electron microscope. Nano-disc metal contacts to MoS2 were fabricated by e-beam lithography and evaporation. The current-voltage curves of the vertical MoS2/GaN junctions exhibit rectification with opposite polarities for n-doped and p-doped GaN. The metal contact determines the general features of the current-voltage curves, and the MoS2 monolayer modifies the electrical transport across the contact/GaN interface.

  12. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  13. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  14. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.

    PubMed

    Zaumseil, P; Yamamoto, Y; Schubert, M A; Capellini, G; Skibitzki, O; Zoellner, M H; Schroeder, T

    2015-09-04

    We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at the growth temperature of the buffer that reduces the misfit and supports defect-free initial growth. Elastic relaxation plays the dominant role in the further increase of the buffer thickness and subsequent Ge deposition. This method leads to Ge nanostructures on Si that are free from misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

  15. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films

    PubMed Central

    Zhang, Yan-ping; Hu, Hai-long; Xie, Rui-shi; Ma, Guo-hua; Huo, Ji-chuan; Wang, Hai-bin

    2018-01-01

    LaCoO3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO3 films are epitaxially grown in accordance with the orientation of LaAlO3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO6 octahedron, the mean Co–O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO3, and the (100) oriented LaCoO3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co3+ and Co–O binding energy. The increase in the mean Co–O bond length will decrease the crystal field splitting energy of Co3+ and Co–O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO3. PMID:29515854

  16. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films

    NASA Astrophysics Data System (ADS)

    Zhang, Yan-ping; Liu, Hai-feng; Hu, Hai-long; Xie, Rui-shi; Ma, Guo-hua; Huo, Ji-chuan; Wang, Hai-bin

    2018-02-01

    LaCoO3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO3 films are epitaxially grown in accordance with the orientation of LaAlO3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO6 octahedron, the mean Co-O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO3, and the (100) oriented LaCoO3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co3+ and Co-O binding energy. The increase in the mean Co-O bond length will decrease the crystal field splitting energy of Co3+ and Co-O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO3.

  17. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films.

    PubMed

    Zhang, Yan-Ping; Liu, Hai-Feng; Hu, Hai-Long; Xie, Rui-Shi; Ma, Guo-Hua; Huo, Ji-Chuan; Wang, Hai-Bin

    2018-02-01

    LaCoO 3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO 3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO 3 films are epitaxially grown in accordance with the orientation of LaAlO 3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO 6 octahedron, the mean Co-O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO 3 , and the (100) oriented LaCoO 3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO 3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co 3+ and Co-O binding energy. The increase in the mean Co-O bond length will decrease the crystal field splitting energy of Co 3+ and Co-O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO 3 .

  18. Effectiveness of fenbendazole against later 4th-stage Strongylus vulgaris in ponies.

    PubMed

    Slocombe, J O; McCraw, B M; Pennock, P W; Baird, J D

    1983-12-01

    Twelve pony foals (reared worm-free) were inoculated with Strongylus vulgaris. Approximately 8 weeks later, 4 of the foals were given fenbendazole (10% suspension) at a dosage rate of 10 mg/kg of body weight daily for 5 days and 4 foals were given the suspension at a dosage rate of 50 mg/kg daily for 3 days; the remaining foals were given a placebo. All treatments were administered by stomach tube. Fenbendazole was 99.6 and 97.9% effective in the 2 treatment groups, respectively, in eliminating later 4th-stage S vulgaris larvae located near the origin of major intestinal arteries. On microscopic examination of the ileocolic artery from fenbendazole-treated foals, a few larval remnants were found beneath the tunica intima in small organized mural thrombi overgrown with endothelium. It would appear that larvae are rapidly destroyed after administration of fenbendazole. A pony foal reared on pasture and with arteriographic evidence of arteritis of the cranial mesenteric and ileocolic arteries was treated with fenbendazole (10% suspension) by stomach tube at a dosage rate of 50 mg/kg of body weight daily for 3 days. By arteriographic examination made 4 weeks later, there was evidence of regression of the lesion, and at necropsy done a week later, there was no arteritis or larvae in the lumen of those arteries.

  19. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  20. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  1. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  2. Functional Epitaxial Oxide Devices

    DTIC Science & Technology

    2010-04-12

    complex oxides , epitaxial growth, antennas, varactors 16. SECURITY CLASSIFICATION OF: REPORT U b. ABSTRACT u c. THIS PAGE u 17. LIMITATION OF...Technical Report DATES COVERED (From - To) 17-06-2008-31-12-2009 4. TITLE AND SUBTITLE Functional Epitaxial Oxide Devices 5a. CONTRACT NUMBER NA...This research effort addresses the need for high performance radio frequency (RF) components, specifically varactors and miniaturized, high gain

  3. Generic epitaxial graphene biosensors for ultrasensitive detection of cancer risk biomarker

    NASA Astrophysics Data System (ADS)

    Tehrani, Z.; Burwell, G.; Mohd Azmi, M. A.; Castaing, A.; Rickman, R.; Almarashi, J.; Dunstan, P.; Miran Beigi, A.; Doak, S. H.; Guy, O. J.

    2014-09-01

    A generic electrochemical method of ‘bioreceptor’ antibody attachment to phenyl amine functionalized graphitic surfaces is demonstrated. Micro-channels of chemically modified multi-layer epitaxial graphene (MLEG) have been used to provide a repeatable and reliable response to nano-molar (nM) concentrations of the cancer risk (oxidative stress) biomarker 8-hydroxydeoxyguanosine (8-OHdG). X-ray photoelectron spectroscopy, Raman spectroscopy are used to characterize the functionalized MLEG. Confocal fluorescence microscopy using fluorescent-labelled antibodies indicates that the anti-8-OHdG antibody selectively binds to the phenyl amine-functionalized MLEG’s channel. Current-voltage measurements on functionalized channels showed repeatable current responses from antibody-biomarker binding events. This technique is scalable, reliable, and capable of providing a rapid, quantitative, label-free assessment of biomarkers at nano-molar (<20 nM) concentrations in analyte solutions. The sensitivity of the sensor device was investigated using varying concentrations of 8-OHdG, with changes in the sensor’s channel resistance observed upon exposure to 8-OHdG. Detection of 8-OHdG concentrations as low as 0.1 ng ml-1 (0.35 nM) has been demonstrated. This is five times more sensitive than reported enzyme linked immunosorbent assay tests (0.5 ng ml-1).

  4. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  5. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  6. Epitaxial Growth of Lattice-Mismatched Core-Shell TiO2 @MoS2 for Enhanced Lithium-Ion Storage.

    PubMed

    Dai, Rui; Zhang, Anqi; Pan, Zhichang; Al-Enizi, Abdullah M; Elzatahry, Ahmed A; Hu, Linfeng; Zheng, Gengfeng

    2016-05-01

    Core-shell structured nanohybrids are currently of significant interest due to their synergetic properties and enhanced performances. However, the restriction of lattice mismatch remains a severe obstacle for heterogrowth of various core-shells with two distinct crystal structures. Herein, a controlled synthesis of lattice-mismatched core-shell TiO2 @MoS2 nano-onion heterostructures is successfully developed, using unilamellar Ti0.87 O2 nanosheets as the starting material and the subsequent epitaxial growth of MoS2 on TiO2 . The formation of these core-shell nano-onions is attributed to an amorphous layer-induced heterogrowth mechanism. The number of MoS2 layers can be well tuned from few to over ten layers, enabling layer-dependent synergistic effects. The core-shell TiO2 @MoS2 nano-onion heterostructures exhibit significantly enhanced energy storage performance as lithium-ion battery anodes. The approach has also been extended to other lattice-mismatched systems such as TiO2 @MoSe2 , thus suggesting a new strategy for the growth of well-designed lattice-mismatched core-shell structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Columnar and subsurface silicide growth with novel molecular beam epitaxy techniques

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Pike, W. T.

    1992-01-01

    We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800 C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.

  8. Thermal transport across metal silicide-silicon interfaces: An experimental comparison between epitaxial and nonepitaxial interfaces

    NASA Astrophysics Data System (ADS)

    Ye, Ning; Feser, Joseph P.; Sadasivam, Sridhar; Fisher, Timothy S.; Wang, Tianshi; Ni, Chaoying; Janotti, Anderson

    2017-02-01

    Silicides are used extensively in nano- and microdevices due to their low electrical resistivity, low contact resistance to silicon, and their process compatibility. In this work, the thermal interface conductance of TiSi2, CoSi2, NiSi, and PtSi are studied using time-domain thermoreflectance. Exploiting the fact that most silicides formed on Si(111) substrates grow epitaxially, while most silicides on Si(100) do not, we study the effect of epitaxy, and show that for a wide variety of interfaces there is no dependence of interface conductance on the detailed structure of the interface. In particular, there is no difference in the thermal interface conductance between epitaxial and nonepitaxial silicide/silicon interfaces, nor between epitaxial interfaces with different interface orientations. While these silicide-based interfaces yield the highest reported interface conductances of any known interface with silicon, none of the interfaces studied are found to operate close to the phonon radiation limit, indicating that phonon transmission coefficients are nonunity in all cases and yet remain insensitive to interfacial structure. In the case of CoSi2, a comparison is made with detailed computational models using (1) full-dispersion diffuse mismatch modeling (DMM) including the effect of near-interfacial strain, and (2) an atomistic Green' function (AGF) approach that integrates near-interface changes in the interatomic force constants obtained through density functional perturbation theory. Above 100 K, the AGF approach significantly underpredicts interface conductance suggesting that energy transport does not occur purely by coherent transmission of phonons, even for epitaxial interfaces. The full-dispersion DMM closely predicts the experimentally observed interface conductances for CoSi2, NiSi, and TiSi2 interfaces, while it remains an open question whether inelastic scattering, cross-interfacial electron-phonon coupling, or other mechanisms could also account for

  9. Epitaxial Electrodeposition of Methylammonium Lead Iodide Perovskites

    DOE PAGES

    Koza, Jakub A.; Hill, James C.; Demster, Ashley C.; ...

    2015-12-16

    Here, an electrochemical/chemical route is introduced to deposit both textured and epitaxial films of methylammonium lead iodide (MAPbI 3) perovskites. The perovskite films are produced by chemical conversion of lead dioxide films that have been electrodeposited as either textured or epitaxial films onto [111]-textured Au and [100] and [111] single-crystal Au substrates. The epitaxial relationships for the MAPbI 3 films are MAPbI 3(001)[010]∥PbO 2(100)<001> and MAPbI 3(110)[111]∥PbO 2(100)<001> regardless of the Au substrate orientation, because the in-plane order of the converted film is controlled by the epitaxial PbO 2 precursor film. The textured and epitaxial MAPbI 3 films both havemore » trap densities lower than and photoluminescence intensities higher than those of polycrystalline films produced by spin coating.« less

  10. Epitaxial graphene growth on FIB patterned 3C-SiC nanostructures on Si (111): reducing milling damage.

    PubMed

    Amjadipour, Mojtaba; MacLeod, Jennifer; Lipton-Duffin, Josh; Iacopi, Francesca; Motta, Nunzio

    2017-08-25

    Epitaxial growth of graphene on SiC is a scalable procedure that does not require any further transfer step, making this an ideal platform for graphene nanostructure fabrication. Focused ion beam (FIB) is a very promising tool for exploring the reduction of the lateral dimension of graphene on SiC to the nanometre scale. However, exposure of graphene to the Ga + beam causes significant surface damage through amorphisation and contamination, preventing epitaxial graphene growth. In this paper we demonstrate that combining a protective silicon layer with FIB patterning implemented prior to graphene growth can significantly reduce the damage associated with FIB milling. Using this approach, we successfully achieved graphene growth over 3C-SiC/Si FIB patterned nanostructures.

  11. Activated Carbon Fibers "Thickly Overgrown" by Ag Nanohair Through Self-Assembly and Rapid Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Yan, Xuefeng; Xu, Sijun; Wang, Qiang; Fan, Xuerong

    2017-11-01

    Anisotropic nanomaterial-modified carbon fibers attract increasing attention because of their superior properties over traditional ones. In this study, activated carbon fibers (ACFs) "thickly overgrown" by Ag nanohair were prepared through self-assembly and rapid thermal annealing. Viscose fibers with well-dispersed silver nanoparticles (AgNPs) on surfaces were first prepared through self-assembly of hyperbranched poly(amino-amine) (HBPAA)-capped AgNPs on viscose surfaces. HBPAA endowed the AgNP surfaces with negative charges and abundant amino groups, allowing AgNPs to monodispersively self-assemble to fiber surfaces. Ag nanohair-grown ACFs were prepared by sequential pre-oxidation and carbonization. Because the carbonization furnace was open-ended, ACFs are immediately transferrable to the outside of the furnace. Therefore, the Ag liquid adsorbed by ACF pores squeezed out to form Ag nanowires through thermal contraction. FESEM characterization indicated that Ag nanohairs stood on ACF surface and grew from ACF caps. XPS and XRD characterization showed that Ag successfully assembled to fiber surfaces and retained its metallic state even after high-temperature carbonization. TG analysis suggested that Ag nanohair-grown ACFs maintained their excellent thermal stabilities. Finally, the fabricated ACFs showed excellent and durable antibacterial activities, and the developed method may provide a potential strategy for preparing metal nanowire-grown ACFs.

  12. Highly Efficient Spin-Current Operation in a Cu Nano-Ring

    NASA Astrophysics Data System (ADS)

    Murphy, Benedict A.; Vick, Andrew J.; Samiepour, Marjan; Hirohata, Atsufumi

    2016-11-01

    An all-metal lateral spin-valve structure has been fabricated with a medial Copper nano-ring to split the diffusive spin-current path. We have demonstrated significant modulation of the non-local signal by the application of a magnetic field gradient across the nano-ring, which is up to 30% more efficient than the conventional Hanle configuration at room temperature. This was achieved by passing a dc current through a current-carrying bar to provide a locally induced Ampère field. We have shown that in this manner a lateral spin-valve gains an additional functionality in the form of three-terminal gate operation for future spintronic logic.

  13. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  14. EDITORIAL: (Nano)characterization of semiconductor materials and structures (Nano)characterization of semiconductor materials and structures

    NASA Astrophysics Data System (ADS)

    Bonanni, Alberta

    2011-06-01

    The latest impressive advancements in the epitaxial fabrication of semiconductors and in the refinement of characterization techniques have the potential to allow insight into the deep relation between materials' structural properties and their physical and chemical functionalities. Furthermore, while the comprehensive (nano)characterization of semiconductor materials and structures is becoming more and more necessary, a compendium of the currently available techniques is lacking. We are positive that an overview of the hurdles related to the specific methods, often leading to deceptive interpretations, will be most informative for the broad community working on semiconductors, and will help in shining some light onto a plethora of controversial reports found in the literature. From this perspective, with this special issue we address and highlight the challenges and misinterpretations related to complementary local (nanoscale) and more global experimental methods for the characterization of semiconductors. The six topical reviews and the three invited papers by leading experts in the specific fields collected in here are intended to provide the required broad overview on the possibilities of actual (nano)characterization methods, from the microscopy of single quantum structures, over the synchrotron-based absorption and diffraction of nano-objects, to the contentious detection of tiny magnetic signals by quantum interference and resonance techniques. We are grateful to all the authors for their valuable contributions. Moreover, I would like to thank the Editorial Board of the journal for supporting the realization of this special issue and for inviting me to serve as Guest Editor. We greatly appreciate the work of the reviewers, of the editorial staff of Semiconductor Science and Technology and of IOP Publishing. In particular, the efforts of Alice Malhador in coordinating this special issue are acknowledged.

  15. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  16. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  17. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  18. Pixel switching of epitaxial Pd/YHx/CaF2 switchable mirrors

    PubMed

    Kerssemakers; van der Molen SJ; Koeman; Gunther; Griessen

    2000-08-03

    Exposure of rare-earth films to hydrogen can induce a metal-insulator transition, accompanied by pronounced optical changes. This 'switchable mirror' effect has received considerable attention from theoretical, experimental and technological points of view. Most systems use polycrystalline films, but the synthesis of yttrium-based epitaxial switchable mirrors has also been reported. The latter form an extended self-organized ridge network during initial hydrogen loading, which results in the creation of micrometre-sized triangular domains. Here we observe homogeneous and essentially independent optical switching of individual domains in epitaxial switchable mirrors during hydrogen absorption. The optical switching is accompanied by topographical changes as the domains sequentially expand and contract; the ridges block lateral hydrogen diffusion and serve as a microscopic lubricant for the domain oscillations. We observe the correlated changes in topology and optical properties using in situ atomic force and optical microscopy. Single-domain phase switching is not observed in polycrystalline films, which are optically homogeneous. The ability to generate a tunable, dense pattern of switchable pixels is of technological relevance for solid-state displays based on switchable mirrors.

  19. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    NASA Astrophysics Data System (ADS)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-<111>B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along <110>A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and <210> lattice images.

  20. Electromigration in epitaxial Cu(001) lines

    NASA Astrophysics Data System (ADS)

    Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.

    2002-04-01

    We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.

  1. (11-22) semipolar InGaN emitters from green to amber on overgrown GaN on micro-rod templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bai, J., E-mail: j.bai@sheffield.ac.uk; Xu, B.; Guzman, F. G.

    2015-12-28

    We demonstrate semipolar InGaN single-quantum-well light emitting diodes (LEDs) in the green, yellow-green, yellow and amber spectral region. The LEDs are grown on our overgrown semipolar (11-22) GaN on micro-rod array templates, which are fabricated on (11-22) GaN grown on m-plane sapphire. Electroluminescence measurements on the (11-22) green LED show a reduced blue-shift in the emission wavelength with increasing driving current, compared to a reference commercial c-plane LED. The blue-shifts for the yellow-green and yellow LEDs are also significantly reduced. All these suggest an effective suppression in quantum confined Stark effect in our (11-22) LEDs. On-wafer measurements yield a linearmore » increase in the light output with the current, and external quantum efficiency demonstrates a significant improvement in the efficiency-droop compared to a commercial c-plane LED. Electro-luminescence polarization measurements show a polarization ratio of about 25% in our semipolar LEDs.« less

  2. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  3. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  4. Asymmetric, compressive, SiGe epilayers on Si grown by lateral liquid-phase epitaxy utilizing a distinction between dislocation nucleation and glide critical thicknesses

    NASA Astrophysics Data System (ADS)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel

    2018-01-01

    Uniaxially strained Si1-xGex channels have been proposed as a solution for high mobility channels in next-generation MOSFETS to ensure continued device improvement as the benefits from further miniaturisation are diminishing. Previously proposed techniques to deposit uniaxially strained Si1-xGex epilayers on Si (0 0 1) substrates require multiple deposition steps and only yielded thin strips of uniaxially strained films. A lateral liquid-phase epitaxy (LLPE) technique was developed to deposit a blanket epilayer of asymmetrically strained Si97.4Ge2.6 on Si in a single step, where the epilayer was fully strained in the growth direction and 31% strain-relaxed in the orthogonal direction. The LLPE technique promoted the glide of misfit dislocations, which nucleated in a region with an orthogonal misfit dislocation network, into a region where the dislocation nucleation was inhibited. This created an array of parallel misfit dislocations which were the source of the asymmetric strain. By observing the thicknesses at which the dislocation network transitions from orthogonal to parallel and at which point dislocation glide is exhausted, the separate critical thicknesses for dislocation nucleation and dislocation glide can be determined.

  5. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  6. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  7. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  8. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  9. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  10. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    PubMed

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  11. Elastic mismatch induced reduction of the thermal conductivity of silicon with aluminum nano-inclusions

    NASA Astrophysics Data System (ADS)

    Donovan, Brian F.; Jensen, Wade A.; Chen, Long; Giri, Ashutosh; Poon, S. Joseph; Floro, Jerrold A.; Hopkins, Patrick E.

    2018-05-01

    We use aluminum nano-inclusions in silicon to demonstrate the dominance of elastic modulus mismatch induced scattering in phonon transport. We use time domain thermoreflectance to measure the thermal conductivity of thin films of silicon co-deposited with aluminum via molecular beam epitaxy resulting in a Si film with 10% clustered Al inclusions with nanoscale dimensions and a reduction in thermal conductivity of over an order of magnitude. We compare these results with well-known models in order to demonstrate that the reduction in the thermal transport is driven by elastic mismatch effects induced by aluminum in the system.

  12. Nano-technology and nano-toxicology.

    PubMed

    Maynard, Robert L

    2012-01-01

    Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology.

  13. Nano-technology and nano-toxicology

    PubMed Central

    Maynard, Robert L.

    2012-01-01

    Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology. PMID:22662021

  14. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  15. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  16. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  17. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  18. Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors

    DTIC Science & Technology

    2011-01-01

    Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors MATTHEW REASON,1 BRIAN R. BENNETT,1,2 RICHARD MAGNO,1 and J. BRAD BOOS1 1...2010 to 00-00-2010 4. TITLE AND SUBTITLE Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors 5a. CONTRACT NUMBER 5b. GRANT...Prescribed by ANSI Std Z39-18 EXPERIMENTAL PROCEDURES The samples reported in this work were grown by solid-source molecular - beam epitaxy (MBE) with

  19. He bubble growth and interaction in W nano-tendrils

    NASA Astrophysics Data System (ADS)

    Smirnov, R. D.; Krasheninnikov, S. I.

    2015-11-01

    Tungsten plasma-facing components (PFCs) in fusion devices are exposed to variety of extreme plasma conditions, which can lead to alteration of tungsten micro-structure and degradation of the PFCs. In particular, it is known that filamentary nano-structures called fuzz can grow on helium plasma exposed tungsten surfaces. However, mechanism of the fuzz growth is still not fully understood. Existing experimental observations indicate that formation of helium nano-bubbles in tungsten plays essential role in fuzz formation and growth. In this work we investigate mechanisms of growth and interaction of helium bubbles in fuzz-like nano-tendrils using molecular dynamics simulations with LAMMPS code. We show that growth of the bubbles has anisotropic character producing complex stress field in the nano-tendrils with distinct compression and tension regions. We found that formation of large inter-bubble tension regions can cause lateral stretching and bending of the tendrils that consequently lead to their elongation and thinning at the stretching sites. The rate of nano-tendril growth due to the described mechanism is also evaluated from the simulations.

  20. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  1. Electrical properties of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Moghadam, Reza; Ahmadi, Kamyar; Xiao, Z.-Y.; Hong, Xia; Ngai, Joseph

    The epitaxial growth of crystalline oxides on semiconductors enables new functionalities to be introduced to semiconductor devices. In particular, dielectric and ferroelectric oxides grown epitaxially on semiconductors provide a pathway to realize ultra-low power logic and memory devices. Here we present electrical characterization of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge through oxide molecular beam epitaxy. SrZrxTi1-xO3 is of particular interest since the band offset with respect to the semiconductor can be tuned through Zr content x. We will present current-voltage, capacitance-voltage and piezoforce microscopy characterization of SrZrxTi1-xO3 -Ge heterojunctions. In particular, we will discuss how the electrical characteristics of SrZrxTi1-xO3 -Ge heterojunctions evolve with respect to composition, annealing and film thickness.

  2. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  3. Efficient Interlayer Relaxation and Transition of Excitons in Epitaxial and Non-epitaxial MoS2/WS2 Heterostructures

    DOE PAGES

    Yu, Yifei; Hu, Shi; Su, Liqin; ...

    2014-12-03

    Semiconductor heterostructurs provide a powerful platform for the engineering of excitons. Here we report on the excitonic properties of two-dimensional (2D) heterostructures that consist of monolayer MoS2 and WS2 stacked epitaxially or non-epitaxially in the vertical direction. We find similarly efficient interlayer relaxation and transition of excitons in both the epitaxial and non-epitaxial heterostructures. This is manifested by a two orders of magnitude decrease in the photoluminescence and an extra absorption peak at low energy region of both heterostructures. The MoS2/WS2 heterostructures show weak interlayer coupling and essentially act as an atomic-scale heterojunction with the intrinsic band structures of themore » two monolayers largely preserved. They are particularly promising for the applications that request efficient dissociation of excitons and strong light absorption, including photovoltaics, solar fuels, photodetectors, and optical modulators. Our results also indicate that 2D heterostructures promise to provide capabilities to engineer excitons from the atomic level without concerns of interfacial imperfection.« less

  4. Nano Mechanical Machining Using AFM Probe

    NASA Astrophysics Data System (ADS)

    Mostofa, Md. Golam

    and burr formations through intermittent cutting. Combining the AFM probe based machining with vibration-assisted machining enhanced nano mechanical machining processes by improving the accuracy, productivity and surface finishes. In this study, several scratching tests are performed with a single crystal diamond AFM probe to investigate the cutting characteristics and model the ploughing cutting forces. Calibration of the probe for lateral force measurements, which is essential, is also extended through the force balance method. Furthermore, vibration-assisted machining system is developed and applied to fabricate different materials to overcome some of the limitations of the AFM probe based single point nano mechanical machining. The novelty of this study includes the application of vibration-assisted AFM probe based nano scale machining to fabricate micro/nano scale features, calibration of an AFM by considering different factors, and the investigation of the nano scale material removal process from a different perspective.

  5. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  6. Optical effects induced by epitaxial tension in lead titanate

    NASA Astrophysics Data System (ADS)

    Dejneka, A.; Chvostova, D.; Pacherova, O.; Kocourek, T.; Jelinek, M.; Tyunina, M.

    2018-01-01

    Single-crystal-type epitaxial films of perovskite oxide ferroelectrics are attractive for integrated photonic applications because of the remarkable optical properties and effects in ferroelectrics. The properties of the films may be influenced by epitaxial strain arising from the film-substrate mismatch. Here, dramatic strain-induced changes of the absorption and refraction are experimentally detected by spectroscopic ellipsometry in epitaxial films of archetypical ferroelectric PbTiO3. Comparison of the properties of a tensile-strained film with those of reference films and crystals reveals that epitaxial tension produces blueshifts of the primary above-bandgap absorption peaks by 1 eV and a decrease in the refractive index by 0.5 in the transparent spectral range. The obtained quadratic electrooptic and effective elastooptic coefficients exceed the bulk values by orders of magnitude. The experimental observations prove that epitaxy is a powerful tool for engineering unprecedented optical properties that may enable future photonics innovations.

  7. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  8. Vacancies in epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davydov, S. Yu., E-mail: Sergei-Davydov@mail.ru

    The coherent-potential method is used to consider the problem of the influence of a finite concentration of randomly arranged vacancies on the density of states of epitaxial graphene. To describe the density of states of the substrate, simple models (the Anderson model, Haldane-Anderson model, and parabolic model) are used. The electronic spectrum of free single-sheet graphene is considered in the low-energy approximation. Charge transfer in the graphene-substrate system is discussed. It is shown that, in all cases, the density of states of epitaxial graphene decreases proportionally to the vacancy concentration. At the same time, the average charge transferred from graphenemore » to the substrate increases.« less

  9. High quality factor GaAs-based photonic crystal microcavities by epitaxial re-growth.

    PubMed

    Prieto, Ivan; Herranz, Jesús; Wewior, Lukasz; González, Yolanda; Alén, Benito; González, Luisa; Postigo, Pablo A

    2013-12-16

    We investigate L7 photonic crystal microcavities (PCMs) fabricated by epitaxial re-growth of GaAs pre-patterned substrates, containing InAs quantum dots. The resulting PCMs show hexagonal shaped nano-holes due to the development of preferential crystallographic facets during the re-growth step. Through a careful control of the fabrication processes, we demonstrate that the photonic modes are preserved throughout the process. The quality factor (Q) of the photonic modes in the re-grown PCMs strongly depends on the relative orientation between photonic lattice and crystallographic directions. The optical modes of the re-grown PCMs preserve the linear polarization and, for the most favorable orientation, a 36% of the Q measured in PCMs fabricated by the conventional procedure is observed, exhibiting values up to ~6000. The results aim to the future integration of site-controlled QDs with high-Q PCMs for quantum photonics and quantum integrated circuits.

  10. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    NASA Astrophysics Data System (ADS)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the <1 1 0> steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  11. Voltage-Controlled Switching and Thermal Effects in VO2 Nano-Gap Junctions

    DTIC Science & Technology

    2014-06-09

    Voltage-controlled switching and thermal effects in VO2 nano-gap junctions Arash Joushaghani,1 Junho Jeong,1 Suzanne Paradis,2 David Alain,2 J...2014) Voltage-controlled switching in lateral VO2 nano-gap junctions with different gap lengths and thermal properties was investigated. The effect of...indicate that the VO2 phase transition was likely initiated electroni- cally, which was sometimes followed by a secondary thermally-induced transition

  12. Growth and characterization of LuAs films and nanostructures

    NASA Astrophysics Data System (ADS)

    Krivoy, E. M.; Nair, H. P.; Crook, A. M.; Rahimi, S.; Maddox, S. J.; Salas, R.; Ferrer, D. A.; Dasika, V. D.; Akinwande, D.; Bank, S. R.

    2012-10-01

    We report the growth and characterization of nearly lattice-matched LuAs/GaAs heterostructures. Electrical conductivity, optical transmission, and reflectivity measurements of epitaxial LuAs films indicate that LuAs is semimetallic, with a room-temperature resistivity of 90 μΩ cm. Cross-sectional transmission electron microscopy confirms that LuAs nucleates as self-assembled nanoparticles, which can be overgrown with high-quality GaAs. The growth and material properties are very similar to those of the more established ErAs/GaAs system; however, we observe important differences in the magnitude and wavelength of the peak optical transparency, making LuAs superior for certain device applications, particularly for thick epitaxially embedded Ohmic contacts that are transparent in the near-IR telecommunications window around 1.3 μm.

  13. Growth and characterization of LuAs films and nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krivoy, E. M.; Nair, H. P.; Crook, A. M.

    2012-10-01

    We report the growth and characterization of nearly lattice-matched LuAs/GaAs heterostructures. Electrical conductivity, optical transmission, and reflectivity measurements of epitaxial LuAs films indicate that LuAs is semimetallic, with a room-temperature resistivity of 90 {mu}{Omega} cm. Cross-sectional transmission electron microscopy confirms that LuAs nucleates as self-assembled nanoparticles, which can be overgrown with high-quality GaAs. The growth and material properties are very similar to those of the more established ErAs/GaAs system; however, we observe important differences in the magnitude and wavelength of the peak optical transparency, making LuAs superior for certain device applications, particularly for thick epitaxially embedded Ohmic contacts that aremore » transparent in the near-IR telecommunications window around 1.3 {mu}m.« less

  14. Comparison of technologies for nano device prototyping with a special focus on ion beams: A review

    NASA Astrophysics Data System (ADS)

    Bruchhaus, L.; Mazarov, P.; Bischoff, L.; Gierak, J.; Wieck, A. D.; Hövel, H.

    2017-03-01

    Nano device prototyping (NDP) is essential for realizing and assessing ideas as well as theories in the form of nano devices, before they can be made available in or as commercial products. In this review, application results patterned similarly to those in the semiconductor industry (for cell phone, computer processors, or memory) will be presented. For NDP, some requirements are different: thus, other technologies are employed. Currently, in NDP, for many applications direct write Gaussian vector scan electron beam lithography (EBL) is used to define the required features in organic resists on this scale. We will take a look at many application results carried out by EBL, self-organized 3D epitaxy, atomic probe microscopy (scanning tunneling microscope/atomic force microscope), and in more detail ion beam techniques. For ion beam techniques, there is a special focus on those based upon liquid metal (alloy) ion sources, as recent developments have significantly increased their applicability for NDP.

  15. Well-ordered large-area arrays of epitaxial ferroelectric (Bi,La)4Ti3O12 nanostructures fabricated by gold nanotube-membrane lithography

    NASA Astrophysics Data System (ADS)

    Lee, Sung Kyun; Lee, Woo; Alexe, Marin; Nielsch, Kornelius; Hesse, Dietrich; Gösele, Ulrich

    2005-04-01

    Two-dimensionally well-ordered, large-area arrays of epitaxial, ferroelectric, La-substituted Bi4Ti3O12 (BLT) nanostructures are prepared using gold nanotube membranes as a liftoff mask. Epitaxial nanostructures with a height of about 65nm and a lateral size of about 150nm, with either (001) ("c-axis") orientation, or mixed (118)/(100) ("non-c-axis") orientation, are obtained on (001)- and (011)-oriented SrTiO3 substrates, respectively. The ferroelectric properties are probed by piezoresponse scanning force microscopy. Non-c-axis-oriented BLT nanostructures show an effective piezoresponse coefficient (2dzz) of about 38.0pm /V, whereas c-axis-oriented structures show one of only about 4.9pm/V.

  16. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  17. Microstructure of In x Ga1-x N nanorods grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Webster, R. F.; Soundararajah, Q. Y.; Griffiths, I. J.; Cherns, D.; Novikov, S. V.; Foxon, C. T.

    2015-11-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga1-x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core-shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration.

  18. Maskless nano-implant of 20 keV Ga+ in bulk Si(1 0 0) substrates

    NASA Astrophysics Data System (ADS)

    Milazzo, R. G.; D'Arrigo, G.; Mio, A. M.; Rimini, E.; Spinella, C.; Peto, L.; Nadzeyka, A.; Bauerdick, S.

    2014-12-01

    Multidirectional SPEG (Solid Phase Epitaxial Growth) of silicon has been investigated in micro and nanoamorphous structures generated on a crystalline substrate by a nano-sized ion beam, Gaussian shaped and with a standard deviation of about 5 nm. The 20 keV Ga+ ions were implanted at a fluence of 5 × 1014 ions cm-2 in a bulk Si(1 0 0) single crystal. Two structures were used for the implants: circular regions of 100 nm and 1 μm diameters respectively and straight lines 10 nm in width and few microns in length along (1 0 0) or (1 1 0) directions. The lateral spread of ions has been taken into account in the damage estimation. Transmission Electron Microscopy indicates that the structures are made of an amorphous core surrounded by a defective and filamentary shell. The recovery of the damaged outer regions promptly occurs during the early stages of the thermal treatment at 500-600 °C for all the structures. By prolonging annealing time, re-crystallization of the amorphous cores is achieved too by the movement of the underneath crystal-amorphous interface. The re-growth is almost defects free when the contribution of the crystalline seed below the structures is present, defective and twin mediated if it misses as in the thinnest regions of the specimen.

  19. Performance of epitaxial back surface field cells

    NASA Technical Reports Server (NTRS)

    Brandhorst, H. W., Jr.; Baraona, C. R.; Swartz, C. K.

    1973-01-01

    Epitaxial back surface field structures were formed by depositing a 10 micron thick 10 Omega-cm epitaxial silicon layer onto substrates with resistivities of 0.01, 0.1, 1.0 and 10 Omega-cm. A correlation between cell open-circuit voltage and substrate resistivity was observed and was compared to theory. The cells were also irradiated with 1 MeV electrons to a fluence of 5 X 10 to the 15th power e/cm2. The decrease of cell open-circuit voltage was in excellent agreement with theoretical predictions and the measured short circuit currents were within 2% of the prediction. Calculations are presented of optimum cell performance as functions of epitaxial layer thickness, radiation fluence and substrate diffusion length.

  20. Domain matching epitaxy of BaBiO3 on SrTiO3 with structurally modified interface

    NASA Astrophysics Data System (ADS)

    Zapf, M.; Stübinger, M.; Jin, L.; Kamp, M.; Pfaff, F.; Lubk, A.; Büchner, B.; Sing, M.; Claessen, R.

    2018-04-01

    The perovskite BaBiO3 (BBO) is a versatile oxide parent material which displays superconductivity upon p-doping, while n-doping has been predicted to establish a wide-bandgap topological insulator phase. Here, we report on a mechanism that allows for epitaxial deposition of high-quality crystalline BBO thin films on SrTiO3 substrates despite a significant lattice mismatch of as large as 12%. It is revealed that the growth takes place through domain matching epitaxy, resulting in domains with alternating lateral sizes of 8 and 9 BBO unit cells. In particular, a structurally modified interface layer is identified which serves as a nucleation layer for the BBO films and gradually relieves the strain by decoupling the film lattice from the substrate. The BBO growth mechanism identified here may be prototypical for prospective thin film deposition of other perovskites with large lattice constants.

  1. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  2. Self-Assembled Formation of Well-Aligned Cu-Te Nano-Rods on Heavily Cu-Doped ZnTe Thin Films

    NASA Astrophysics Data System (ADS)

    Liang, Jing; Cheng, Man Kit; Lai, Ying Hoi; Wei, Guanglu; Yang, Sean Derman; Wang, Gan; Ho, Sut Kam; Tam, Kam Weng; Sou, Iam Keong

    2016-11-01

    Cu doping of ZnTe, which is an important semiconductor for various optoelectronic applications, has been successfully achieved previously by several techniques. However, besides its electrical transport characteristics, other physical and chemical properties of heavily Cu-doped ZnTe have not been reported. We found an interesting self-assembled formation of crystalline well-aligned Cu-Te nano-rods near the surface of heavily Cu-doped ZnTe thin films grown via the molecular beam epitaxy technique. A phenomenological growth model is presented based on the observed crystallographic morphology and measured chemical composition of the nano-rods using various imaging and chemical analysis techniques. When substitutional doping reaches its limit, the extra Cu atoms favor an up-migration toward the surface, leading to a one-dimensional surface modulation and formation of Cu-Te nano-rods, which explain unusual observations on the reflection high energy electron diffraction patterns and apparent resistivity of these thin films. This study provides an insight into some unexpected chemical reactions involved in the heavily Cu-doped ZnTe thin films, which may be applied to other material systems that contain a dopant having strong reactivity with the host matrix.

  3. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  4. Tuning Fano resonances with a nano-chamber of air.

    PubMed

    Chen, Jianjun; He, Keke; Sun, Chengwei; Wang, Yujia; Li, Hongyun; Gong, Qihuang

    2016-05-15

    By designing a polymer-film-coated asymmetric metallic slit structure that only contains one nanocavity side-coupled with a subwavelength plasmonic waveguide, the Fano resonance is realized in the experiment. The Fano resonance originates from the interference between the narrow resonant spectra of the radiative light from the nanocavity and the broad nonresonant spectra of the directly transmitted light from the slit. The lateral dimension of the asymmetric slit is only 825 nm. Due to the presence of the soft polymer film, a nano-chamber of air is constructed. Based on the opto-thermal effect, the air volume in the nano-chamber is expanded by a laser beam, which blueshifts the Fano resonance. This tunable Fano resonance in such a submicron slit structure with a nano-chamber is of importance in the highly integrated plasmonic circuits.

  5. STM/STS study of ridges on epitaxial graphene/SiC

    NASA Astrophysics Data System (ADS)

    Li, Y. Y.; Liu, Y.; Weinert, M.; Li, L.

    2012-02-01

    The graphitization of hexagonal SiC surfaces provides a viable alternative for the synthesis of wafer-sized graphene for mass device production. During later stages of growth, ridges are often observed on the graphene layers as a result of bending and buckling to relieve the strain between the graphene and SiC substrate. In this work, we show, by atomic resolution STM/STS, that these ridges are in fact bulged regions of the graphene layer, forming one-dimentional (nanowire) and zero-dimentional (quantum dot) nanostructures. We further show that their structures can be manipulated by the pressure exerted by the STM tip during imaging. These results and their impact on the electronic properties of epitaxial graphene on SiC(0001) will be presented at the meeting.

  6. Effects of epitaxial structure and processing on electrical characteristics of InAs-based nBn infrared detectors

    NASA Astrophysics Data System (ADS)

    Du, X.; Savich, G. R.; Marozas, B. T.; Wicks, G. W.

    2017-02-01

    The conventional processing of the III-V nBn photodetectors defines mesa devices by etching the contact n-layer and stopping immediately above the barrier, i.e., a shallow etch. This processing enables great suppression of surface leakage currents without having to explore surface passivation techniques. However, devices that are made with this processing scheme are subject to lateral diffusion currents. To address the lateral diffusion current, we compare the effects of different processing approaches and epitaxial structures of nBn detectors. The conventional solution for eliminating lateral diffusion current, a deep etch through the barrier and the absorber, creates increased dark currents and an increased device failure rate. To avoid deep etch processing, a new device structure is proposed, the inverted-nBn structure. By comparing with the conventional nBn structure, the results show that the lateral diffusion current is effectively eliminated in the inverted-nBn structure without elevating the dark currents.

  7. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  8. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  9. van der Waals epitaxy of Ge films on mica

    NASA Astrophysics Data System (ADS)

    Littlejohn, A. J.; Xiang, Y.; Rauch, E.; Lu, T.-M.; Wang, G.-C.

    2017-11-01

    To date, many materials have been successfully grown on substrates through van der Waals epitaxy without adhering to the constraint of lattice matching as is required for traditional chemical epitaxy. However, for elemental semiconductors such as Ge, this has been challenging and therefore it has not been achieved thus far. In this paper, we report the observation of Ge epitaxially grown on mica at a narrow substrate temperature range around 425 °C. Despite the large lattice mismatch (23%) and the lack of high in-plane symmetry in the mica surface, an epitaxial Ge film with [111] out-of-plane orientation is observed. Crystallinity and electrical properties degrade upon deviation from the ideal growth temperature, as shown by Raman spectroscopy, X-ray diffraction, and Hall effect measurements. X-ray pole figure analysis reveals that there exist multiple rotational domains in the epitaxial Ge film with dominant in-plane orientations between Ge [" separators="|1 ¯10 ] and mica[100] of (20 n )°, where n = 0, 1, 2, 3, 4, 5. A superlattice area mismatch model was used to account for the likelihood of the in-plane orientation formation and was found to be qualitatively consistent with the observed dominant orientations. Our observation of Ge epitaxy with one out-of-plane growth direction through van der Waals forces is a step toward the growth of single crystal Ge films without the constraint in the lattice and symmetry matches with the substrates.

  10. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  11. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  12. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  13. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  14. Epitaxial nickel disilicide with low resistivity and excellent reliability.

    PubMed

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-12

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black's equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  15. Epitaxial nickel disilicide with low resistivity and excellent reliability

    NASA Astrophysics Data System (ADS)

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-01

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black’s equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  16. Large area and structured epitaxial graphene produced by confinement controlled sublimation of silicon carbide

    PubMed Central

    de Heer, Walt A.; Berger, Claire; Ruan, Ming; Sprinkle, Mike; Li, Xuebin; Hu, Yike; Zhang, Baiqian; Hankinson, John; Conrad, Edward

    2011-01-01

    After the pioneering investigations into graphene-based electronics at Georgia Tech, great strides have been made developing epitaxial graphene on silicon carbide (EG) as a new electronic material. EG has not only demonstrated its potential for large scale applications, it also has become an important material for fundamental two-dimensional electron gas physics. It was long known that graphene mono and multilayers grow on SiC crystals at high temperatures in ultrahigh vacuum. At these temperatures, silicon sublimes from the surface and the carbon rich surface layer transforms to graphene. However the quality of the graphene produced in ultrahigh vacuum is poor due to the high sublimation rates at relatively low temperatures. The Georgia Tech team developed growth methods involving encapsulating the SiC crystals in graphite enclosures, thereby sequestering the evaporated silicon and bringing growth process closer to equilibrium. In this confinement controlled sublimation (CCS) process, very high-quality graphene is grown on both polar faces of the SiC crystals. Since 2003, over 50 publications used CCS grown graphene, where it is known as the “furnace grown” graphene. Graphene multilayers grown on the carbon-terminated face of SiC, using the CCS method, were shown to consist of decoupled high mobility graphene layers. The CCS method is now applied on structured silicon carbide surfaces to produce high mobility nano-patterned graphene structures thereby demonstrating that EG is a viable contender for next-generation electronics. Here we present for the first time the CCS method that outperforms other epitaxial graphene production methods. PMID:21960446

  17. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  18. Assessment of factors regulating the thermal lens profile and lateral brightness in high power diode lasers

    NASA Astrophysics Data System (ADS)

    Rieprich, J.; Winterfeldt, M.; Tomm, J.; Kernke, R.; Crump, P.

    2017-02-01

    The lateral beam parameter product, BPPlat, and resulting lateral brightness of GaAs-based high-power broad-area diode lasers is strongly influenced by the thermal lens profile. We present latest progress in efforts using FEM simulation to interpret how variation in chip construction influences the thermal lens profile, itself determined experimentally using thermography (thermal camera). Important factors are shown to include the vertical (epitaxial) structure, the properties of the submount and the transition between chip and submount, whose behavior is shown to be consistent with the presence of a significant thermal barrier.

  19. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  20. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  1. Molecular-beam epitaxy of (Zn,Mn)Se on Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slobodskyy, T.; Ruester, C.; Fiederling, R.

    2004-12-20

    We have investigated the growth by molecular-beam epitaxy of the II-VI diluted magnetic semiconductor (Zn,Mn)Se on As-passivated Si(100) substrates. The growth start has been optimized by using low-temperature epitaxy. Surface properties were assessed by Nomarski and scanning electron microscopy. Optical properties of (Zn,Mn)Se have been studied by photoluminescence and a giant Zeeman splitting of up to 30 meV has been observed. Our observations indicate a high crystalline quality of the epitaxial films.

  2. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  3. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  4. Electrostatic transfer of epitaxial graphene to glass.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohta, Taisuke; Pan, Wei; Howell, Stephen Wayne

    2010-12-01

    We report on a scalable electrostatic process to transfer epitaxial graphene to arbitrary glass substrates, including Pyrex and Zerodur. This transfer process could enable wafer-level integration of graphene with structured and electronically-active substrates such as MEMS and CMOS. We will describe the electrostatic transfer method and will compare the properties of the transferred graphene with nominally-equivalent 'as-grown' epitaxial graphene on SiC. The electronic properties of the graphene will be measured using magnetoresistive, four-probe, and graphene field effect transistor geometries [1]. To begin, high-quality epitaxial graphene (mobility 14,000 cm2/Vs and domains >100 {micro}m2) is grown on SiC in an argon-mediated environmentmore » [2,3]. The electrostatic transfer then takes place through the application of a large electric field between the donor graphene sample (anode) and the heated acceptor glass substrate (cathode). Using this electrostatic technique, both patterned few-layer graphene from SiC(000-1) and chip-scale monolayer graphene from SiC(0001) are transferred to Pyrex and Zerodur substrates. Subsequent examination of the transferred graphene by Raman spectroscopy confirms that the graphene can be transferred without inducing defects. Furthermore, the strain inherent in epitaxial graphene on SiC(0001) is found to be partially relaxed after the transfer to the glass substrates.« less

  5. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  6. Stress development in particulate, nano-composite and polymeric coatings

    NASA Astrophysics Data System (ADS)

    Jindal, Karan

    2009-12-01

    The main goal of this research is to study the stress, structural and mechanical property development during the drying of particulate coatings, nano-composite coatings and VOC compliant refinish clearcoats. The results obtained during this research establish the mechanism for the stress development during drying in various coating systems. Coating stress was measured using a controlled environment stress apparatus based on cantilever deflection principle. The stress evolution in alumina coatings made of 0.4 mum size alumina particles was studied and the effect of a lateral drying was investigated. The stress does not develop until the later stages of drying. A peak stress was observed during drying and the peak stress originates due to the formation of pendular rings between the particles. Silica nanocomposite coatings were fabricated from suspension of nano sized silicon dioxide particles (20 nm) and polyvinyl alcohol (PVA) polymer. The stress in silica nano-composite goes through maximum as the amount of polymer in the coating increases. The highest final stress was found to be ˜ 110MPa at a PVA content of 60 wt%. Observations from SEM, nitrogen gas adsorption, camera imaging, and nano-indentation were also studied to correlate the coatings properties during drying to measured stress. A model VOC compliant two component (2K) acrylic-polyol refinish clearcoat was prepared to study the effects of a new additive on drying, curing, rheology and stress development at room temperature. Most of the drying of the low VOC coatings occurred before appreciable (20%) crosslinking. Tensile stress developed in the same timeframe as drying and then relaxed over a longer time scale. Model low VOC coatings prepared with the additive had higher peak stresses than those without the additive. In addition, rheological data showed that the additive resulted in greater viscosity buildup during drying.

  7. NanoSIMS for biological applications: Current practices and analyses

    DOE PAGES

    Nunez, Jamie R.; Renslow, Ryan S.; Cliff, III, John B.; ...

    2017-09-27

    Secondary ion mass spectrometry (SIMS) has become an increasingly utilized tool in biologically-relevant studies. Of these, high lateral resolution methodologies using the NanoSIMS 50/50L have been especially powerful within many biological fields over the past decade. Here, we provide a review of this technology, sample preparation and analysis considerations, examples of recent biological studies, data analysis, and current outlooks. Specifically, we offer an overview of SIMS and development of the NanoSIMS. We describe the major experimental factors that should be considered prior to NanoSIMS analysis and then provide information on best practices for data analysis and image generation, which includesmore » an in-depth discussion of appropriate colormaps. Additionally, we provide an open-source method for data representation that allows simultaneous visualization of secondary electron and ion information within a single image. Lastly, we present a perspective on the future of this technology and where we think it will have the greatest impact in near future.« less

  8. NanoSIMS for biological applications: Current practices and analyses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nunez, Jamie R.; Renslow, Ryan S.; Cliff, III, John B.

    Secondary ion mass spectrometry (SIMS) has become an increasingly utilized tool in biologically-relevant studies. Of these, high lateral resolution methodologies using the NanoSIMS 50/50L have been especially powerful within many biological fields over the past decade. Here, we provide a review of this technology, sample preparation and analysis considerations, examples of recent biological studies, data analysis, and current outlooks. Specifically, we offer an overview of SIMS and development of the NanoSIMS. We describe the major experimental factors that should be considered prior to NanoSIMS analysis and then provide information on best practices for data analysis and image generation, which includesmore » an in-depth discussion of appropriate colormaps. Additionally, we provide an open-source method for data representation that allows simultaneous visualization of secondary electron and ion information within a single image. Lastly, we present a perspective on the future of this technology and where we think it will have the greatest impact in near future.« less

  9. Linear response formulism of a carbon nano-onion stringed to gold electrodes

    NASA Astrophysics Data System (ADS)

    Kaur, Milanpreet; Sawhney, Ravinder Singh; Engles, Derick

    2017-04-01

    Density functional theory is used to investigate the electronic state of a carbon nano-onion conglobated by endohedral-ing the highly curved C20 fullerene within its parent fullerene C60. The Non-Equilibrium Green's Function is later employed to examine the quantum transport when the carbon nano-onion, C20@C60 is stringed to the pair of gold electrodes of (001) plane. The computed results are evaluated and compared with C20 and C60 junctions. The calculated electronic parameters of these molecular junctions are utilized to extrapolate their two electrical parameters: current and conductance. The carbon nano-onion junction assembled from the C20 and C60 molecules displays the combined effect of their molecular junctions when organized separately. Also, the insertion of C20 molecule in the hollow cavity of C60 fullerene leads to the enhancement of its current and conductance in carbon nano-onion junction formed, when compared to the one constructed otherwise.

  10. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  11. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  12. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  13. Spin Polarization of Alternate Monatomic Epitaxial [Fe/Co]n Superlattice

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Doi, Masaaki; Sahashi, Masashi; Rajanikanth, Ammanabrolu; Takahashi, Yukiko; Hono, Kazuhiro

    2012-09-01

    The spin polarization (P) of alternate monatomic layered (AML) epitaxial [Fe/Co]n superlattices grown on MgO(001) substrates by electron beam (EB) evaporation has been measured by the point contact Andreev reflection (PCAR) method. The intrinsic transport P of 0.60 was obtained for the AML epitaxial [Fe/Co]n superlattice grown at 75 °C, which is comparable to that of half-metallic Heusler alloys measured by PCAR. The AML epitaxial [Fe/Co]n superlattices on MgO(001), which are expected to possess the B2 ordered structure, show the highest spin polarization of metallic Fe-Co alloy films.

  14. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  15. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  16. Structural and optical characterization of NaGdF{sub 4}: Ho{sup 3+}/Yb{sup 3+} UC nano-particles for lateral finger mark detections

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, A., E-mail: ak.phy87@gmail.com; Tiwari, S. P.; Krishna, K. M.

    2016-05-23

    Ho{sup 3+}/Yb{sup 3+} co-doped NaGdF{sub 4} up-conversion (UC) nano-particles were synthesized by thermal decomposition method. X-ray diffraction and FE-SEM image analysis were done to confirm the structure, morphology and determination of particle size. The UC emission spectra for as prepared as well as 100°C, 200°C, 300°C, 400°C, 800°C, 1000°C and 1200°C heated for 3h samples were recorded and there emission intensities were compared at a constant pump power of excitations 98.1 W/cm{sup 2}. The effect of emission intensity on decay time was also studied through focused and unfocused excitations. The synthesized material was successfully utilized in lateral finger mark detections onmore » the glass substrate through powder dusting method.« less

  17. A Bayesian-Based EDA Tool for Nano-circuits Reliability Calculations

    NASA Astrophysics Data System (ADS)

    Ibrahim, Walid; Beiu, Valeriu

    As the sizes of (nano-)devices are aggressively scaled deep into the nanometer range, the design and manufacturing of future (nano-)circuits will become extremely complex and inevitably will introduce more defects while their functioning will be adversely affected by transient faults. Therefore, accurately calculating the reliability of future designs will become a very important aspect for (nano-)circuit designers as they investigate several design alternatives to optimize the trade-offs between the conflicting metrics of area-power-energy-delay versus reliability. This paper introduces a novel generic technique for the accurate calculation of the reliability of future nano-circuits. Our aim is to provide both educational and research institutions (as well as the semiconductor industry at a later stage) with an accurate and easy to use tool for closely comparing the reliability of different design alternatives, and for being able to easily select the design that best fits a set of given (design) constraints. Moreover, the reliability model generated by the tool should empower designers with the unique opportunity of understanding the influence individual gates play on the design’s overall reliability, and identifying those (few) gates which impact the design’s reliability most significantly.

  18. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  19. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  20. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  1. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    NASA Astrophysics Data System (ADS)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  2. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  3. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  4. Perspective: Rapid synthesis of complex oxides by combinatorial molecular beam epitaxy

    DOE PAGES

    A. T. Bollinger; Wu, J.; Bozovic, I.

    2016-03-15

    In this study, the molecular beam epitaxy(MBE) technique is well known for producing atomically smooth thin films as well as impeccable interfaces in multilayers of many different materials. In particular, molecular beam epitaxy is well suited to the growth of complex oxides, materials that hold promise for many applications. Rapid synthesis and high throughput characterization techniques are needed to tap into that potential most efficiently. We discuss our approach to doing that, leaving behind the traditional one-growth-one-compound scheme and instead implementing combinatorial oxide molecular beam epitaxy in a custom built system.

  5. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    NASA Astrophysics Data System (ADS)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  6. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  7. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  8. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  9. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE PAGES

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.; ...

    2017-07-12

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  10. Environmental risk assessment of engineered nano-SiO2 , nano iron oxides, nano-CeO2 , nano-Al2 O3 , and quantum dots.

    PubMed

    Wang, Yan; Nowack, Bernd

    2018-05-01

    Many research studies have endeavored to investigate the ecotoxicological hazards of engineered nanomaterials (ENMs). However, little is known regarding the actual environmental risks of ENMs, combining both hazard and exposure data. The aim of the present study was to quantify the environmental risks for nano-Al 2 O 3 , nano-SiO 2 , nano iron oxides, nano-CeO 2 , and quantum dots by comparing the predicted environmental concentrations (PECs) with the predicted-no-effect concentrations (PNECs). The PEC values of these 5 ENMs in freshwaters in 2020 for northern Europe and southeastern Europe were taken from a published dynamic probabilistic material flow analysis model. The PNEC values were calculated using probabilistic species sensitivity distribution (SSD). The order of the PNEC values was quantum dots < nano-CeO 2  < nano iron oxides < nano-Al 2 O 3  < nano-SiO 2 . The risks posed by these 5 ENMs were demonstrated to be in the reverse order: nano-Al 2 O 3  > nano-SiO 2  > nano iron oxides > nano-CeO 2  > quantum dots. However, all risk characterization values are 4 to 8 orders of magnitude lower than 1, and no risk was therefore predicted for any of the investigated ENMs at the estimated release level in 2020. Compared to static models, the dynamic material flow model allowed us to use PEC values based on a more complex parameterization, considering a dynamic input over time and time-dependent release of ENMs. The probabilistic SSD approach makes it possible to include all available data to estimate hazards of ENMs by considering the whole range of variability between studies and material types. The risk-assessment approach is therefore able to handle the uncertainty and variability associated with the collected data. The results of the present study provide a scientific foundation for risk-based regulatory decisions of the investigated ENMs. Environ Toxicol Chem 2018;37:1387-1395. © 2018 SETAC. © 2018 SETAC.

  11. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  12. Strain relaxation of thick (11–22) semipolar InGaN layer for long wavelength nitride-based device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jaehwan; Min, Daehong; Jang, Jongjin

    2014-10-28

    In this study, the properties of thick stress-relaxed (11–22) semipolar InGaN layers were investigated. Owing to the inclination of growth orientation, misfit dislocations (MDs) occurred at the heterointerface when the strain state of the (11–22) semipolar InGaN layers reached the critical point. We found that unlike InGaN layers based on polar and nonpolar growth orientations, the surface morphologies of the stress-relaxed (11–22) semipolar InGaN layers did not differ from each other and were similar to the morphology of the underlying GaN layer. In addition, misfit strain across the whole InGaN layer was gradually relaxed by MD formation at the heterointerface.more » To minimize the effect of surface roughness and defects in GaN layers on the InGaN layer, we conducted further investigation on a thick (11–22) semipolar InGaN layer grown on an epitaxial lateral overgrown GaN template. We found that the lateral indium composition across the whole stress-relaxed InGaN layer was almost uniform. Therefore, thick stress-relaxed (11–22) semipolar InGaN layers are suitable candidates for use as underlying layers in long-wavelength devices, as they can be used to control strain accumulation in the heterostructure active region without additional influence of surface roughness.« less

  13. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  14. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  15. Nano-Electrochemistry and Nano-Electrografting with an Original Combined AFM-SECM

    PubMed Central

    Ghorbal, Achraf; Grisotto, Federico; Charlier, Julienne; Palacin, Serge; Goyer, Cédric; Demaille, Christophe; Ben Brahim, Ammar

    2013-01-01

    This study demonstrates the advantages of the combination between atomic force microscopy and scanning electrochemical microscopy. The combined technique can perform nano-electrochemical measurements onto agarose surface and nano-electrografting of non-conducting polymers onto conducting surfaces. This work was achieved by manufacturing an original Atomic Force Microscopy-Scanning ElectroChemical Microscopy (AFM-SECM) electrode. The capabilities of the AFM-SECM-electrode were tested with the nano-electrografting of vinylic monomers initiated by aryl diazonium salts. Nano-electrochemical and technical processes were thoroughly described, so as to allow experiments reproducing. A plausible explanation of chemical and electrochemical mechanisms, leading to the nano-grafting process, was reported. This combined technique represents the first step towards improved nano-processes for the nano-electrografting. PMID:28348337

  16. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  17. van der Waals epitaxial ZnTe thin film on single-crystalline graphene

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Chen, Zhizhong; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Washington, Morris; Lu, Toh-Ming

    2018-01-01

    Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.

  18. Electrospray neutralization process and apparatus for generation of nano-aerosol and nano-structured materials

    DOEpatents

    Bailey, Charles L.; Morozov, Victor; Vsevolodov, Nikolai N.

    2010-08-17

    The claimed invention describes methods and apparatuses for manufacturing nano-aerosols and nano-structured materials based on the neutralization of charged electrosprayed products with oppositely charged electrosprayed products. Electrosprayed products include molecular ions, nano-clusters and nano-fibers. Nano-aerosols can be generated when neutralization occurs in the gas phase. Neutralization of electrospan nano-fibers with molecular ions and charged nano-clusters may result in the formation of fibrous aerosols or free nano-mats. Nano-mats can also be produced on a suitable substrate, forming efficient nano-filters.

  19. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leonhardt, Darin; Han, Sang M.

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layermore » suitable for electronic and photovoltaic devices.« less

  20. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  1. The effects of magnesium doping on the modal loss in AlGaN-based deep UV lasers

    NASA Astrophysics Data System (ADS)

    Martens, M.; Kuhn, C.; Simoneit, T.; Hagedorn, S.; Knauer, A.; Wernicke, T.; Weyers, M.; Kneissl, M.

    2017-02-01

    Absorption losses in the Mg-doped layers significantly contribute to the modal losses in group-III-nitride-based lasers. In this paper, we investigate the influence of Mg-doping on the modal absorption of optically pumped UVC lasers grown on epitaxially laterally overgrown AlN/sapphire substrates with an averaged threading dislocation density of 1 × 109 cm-2. By varying the setback of the Mg-doping (˜1 × 1020 cm-3) within the upper Al0.70Ga0.30N waveguide layer, the overlap of the optical mode with the Mg-doped region increases. For all structures, internal losses were derived from gain spectra obtained by the variable stripe length method. The internal losses increase from 10 cm-1 for lasers without Mg-doping to 28 cm-1 for lasers with a fully Mg-doped upper waveguide layer. The overlap of the optical mode with the Mg-doped waveguide ΓMg clearly correlates with the modal losses. This allows to calculate the Mg-induced losses in current injection laser diodes by αm o d M g = Γ M g × 50 cm - 1 .

  2. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    NASA Astrophysics Data System (ADS)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  3. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  4. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  5. Surfactant-assisted growth and properties of rare-earth arsenide InGaAs nanocomposites for terahertz generation

    NASA Astrophysics Data System (ADS)

    Salas, R.; Guchhait, S.; McNicholas, K. M.; Sifferman, S. D.; Dasika, V. D.; Jung, D.; Krivoy, E. M.; Lee, M. L.; Bank, S. R.

    2016-05-01

    We explore the effects of surfactant-mediated epitaxy on the structural, electrical, and optical properties of fast metal-semiconductor superlattice photoconductors. Specifically, application of a bismuth flux during growth was found to significantly improve the properties of superlattices of LuAs nanoparticles embedded in In0.53Ga0.47As. These improvements are attributed to the enhanced structural quality of the overgrown InGaAs over the LuAs nanoparticles. The use of bismuth enabled a 30% increase in the number of monolayers of LuAs that could be deposited before the InGaAs overgrowth degraded. Dark resistivity increased by up to ˜15× while carrier mobility remained over 2300 cm2/V-s and carrier lifetimes were reduced by >2× at comparable levels of LuAs deposition. These findings demonstrate that surfactant-mediated epitaxy is a promising approach to enhance the properties of ultrafast photoconductors for terahert generation.

  6. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  7. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  8. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  9. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  10. Interface magnetic anisotropy for monatomic layer-controlled Co/Ni epitaxial multilayers

    NASA Astrophysics Data System (ADS)

    Shioda, A.; Seki, T.; Shimada, J.; Takanashi, K.

    2015-05-01

    The magnetic properties for monatomic layer (ML)-controlled Co/Ni epitaxial multilayers were investigated in order to evaluate the interface magnetic anisotropy energy (Ks) between Ni and Co layers. The Co/Ni epitaxial multilayers were prepared on an Al2O3 (11-20) substrate with V/Au buffer layers. The value of Ks was definitely larger than that for the textured Co/Ni grown on a thermally oxidized Si substrate. We consider that the sharp interface for the epitaxial Co/Ni played a role to increase the value of Ks, which also enabled us to obtain perpendicular magnetization even for the 1 ML-Co/1 ML-Ni multilayer.

  11. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    NASA Astrophysics Data System (ADS)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  12. Choice of Substrate Material for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-06-14

    Epitaxial CdTe with high quality, low defect density, and high carrier concentration should in principle yield high-efficiency photovoltaic devices. However, insufficient effort has been given to explore the choice of substrate for high-efficiency epitaxial CdTe solar cells. In this paper, we use numerical simulations to investigate three crystalline substrates: silicon (Si), InSb, and CdTe each substrate material are generally discussed.

  13. Three-dimensional lattice matching of epitaxially embedded nanoparticles

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Anderson, Peter M.; Myers, Roberto C.

    2017-02-01

    For a given degree of in-plane lattice mismatch between a two-dimensional (2D) epitaxial layer and a substrate (ɛIP*), there is a critical thickness above which interfacial defects form to relax the elastic strain energy. Here, we extend the 2D lattice-matching conditions to three-dimensions in order to predict the critical size beyond which epitaxially encased nanoparticles, characterized by both ɛIP* and out-of-plane lattice mismatch (ɛOP*), relax by dislocation formation. The critical particle length (Lc) at which defect formation proceeds is determined by balancing the reduction in elastic energy associated with dislocation introduction with the corresponding increase in defect energy. Our results, which use a modified Eshelby inclusion technique for an embedded, arbitrarily-faceted nanoparticle, provide new insight to the nanoepitaxy of low dimensional structures, especially quantum dots and nanoprecipitates. By engineering ɛIP* and ɛOP* , the predicted Lc for nanoparticles can be increased to well beyond the case of encapsulation in a homogenous matrix. For the case of truncated pyramidal shaped InAs, Lc 10.8 nm when fully embedded in GaAs (ɛIP* = ɛOP* = - 0.072); 16.4 nm when the particle is grown on GaAs, but capped with InSb (ɛIP* = - 0.072 and ɛOP* =+0.065); and a maximum of 18.4 nm if capped with an alloy corresponding to ɛOP* =+0.037. The effect, which we term "3D Poisson-stabilization" provides a means to increase the epitaxial strain tolerance in epitaxial heterostructures by tailoring ɛOP*.

  14. Fabrication of lateral lattice-polarity-inverted GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Katayama, Ryuji; Kuge, Yoshihiro; Kondo, Takashi; Onabe, Kentaro

    2007-04-01

    Fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0 0 0 1) using a radio-frequency plasma enhanced molecular beam epitaxy is demonstrated. Its microscopic properties, which are closely related to the local polarity distribution, such as surface potentials, piezoelectric polarizations and residual carrier concentrations were investigated by Kelvin force microscopy and micro-Raman scattering. The successful inversion from Ga-polarity to N-polarity of GaN in a specific domain and its higher crystal perfection had been confirmed clearly by these microscopic analyses. The results were also fairly consistent with that of KOH etching experiments, which suggest the applicability of these processes to the fabrication of photonic nanostructures composed of nitride semiconductors.

  15. Selective epitaxy using the gild process

    DOEpatents

    Weiner, Kurt H.

    1992-01-01

    The present invention comprises a method of selective epitaxy on a semiconductor substrate. The present invention provides a method of selectively forming high quality, thin GeSi layers in a silicon circuit, and a method for fabricating smaller semiconductor chips with a greater yield (more error free chips) at a lower cost. The method comprises forming an upper layer over a substrate, and depositing a reflectivity mask which is then removed over selected sections. Using a laser to melt the unmasked sections of the upper layer, the semiconductor material in the upper layer is heated and diffused into the substrate semiconductor material. By varying the amount of laser radiation, the epitaxial layer is formed to a controlled depth which may be very thin. When cooled, a single crystal epitaxial layer is formed over the patterned substrate. The present invention provides the ability to selectively grow layers of mixed semiconductors over patterned substrates such as a layer of Ge.sub.x Si.sub.1-x grown over silicon. Such a process may be used to manufacture small transistors that have a narrow base, heavy doping, and high gain. The narrowness allows a faster transistor, and the heavy doping reduces the resistance of the narrow layer. The process does not require high temperature annealing; therefore materials such as aluminum can be used. Furthermore, the process may be used to fabricate diodes that have a high reverse breakdown voltage and a low reverse leakage current.

  16. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  17. Lateral Movement of Screw Dislocations During Homoepitaxial Growth and Devices Yielded Therefrom Free of the Detrimental Effects of Screw Dislocations

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G. (Inventor); Powell, J. Anthony (Inventor)

    2004-01-01

    The present invention is related to a method that enables and improves wide bandgap homoepitaxial layers to be grown on axis single crystal substrates, particularly SiC. The lateral positions of the screw dislocations in epitaxial layers are predetermined instead of random, which allows devices to be reproducibly patterned to avoid performance degrading crystal defects normally created by screw dislocations.

  18. Premature femoral neck physeal closure in Perthes' disease.

    PubMed

    Bowen, J R; Schreiber, F C; Foster, B K; Wein, B K

    1982-01-01

    One hundred premature femoral neck physeal closures in 430 hips with Perthes' disease have occurred in two patterns central and lateral. Abnormal physeal growth can be demonstrated early by a narrowed physeal plate with overlying avascular epiphysis and marked metaphyseal reaction below. Subsequently, a bony bridge forms between the metaphysis and epiphysis. If the physeal closure is central, the mature hip will have a short femoral neck, a relatively round femoral head, a trochanter that has overgrown the femoral head, a short leg, and a mildly deformed acetabulum. If the physeal closure is lateral, the mature hip will have a femoral head that is externally tilted as the medial neck lengthens and the lateral neck remains short, a trochanter that has overgrown the femoral head, an oval femoral head, a short leg, and a deformed acetabulum. A physeal arrest is a contraindication for a varus osteotomy because it accentuates the deformity, especially in the greater trochanter. The leg-length discrepancy may be treated by epiphysiodesis of the contralateral femur, when necessary, and the abductor muscle insufficiency may be treated by an exercise program or distal and lateral transfer of the greater trochanter.

  19. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  20. Minority carrier diffusion and defects in InGaAsN grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kurtz, Steven R.; Klem, J. F.; Allerman, A. A.; Sieg, R. M.; Seager, C. H.; Jones, E. D.

    2002-02-01

    To gain insight into the nitrogen-related defects of InGaAsN, nitrogen vibrational mode spectra, Hall mobilities, and minority carrier diffusion lengths are examined for InGaAsN (1.1 eV band gap) grown by molecular beam epitaxy (MBE). Annealing promotes the formation of In-N bonding, and lateral carrier transport is limited by large scale (≫mean free path) material inhomogeneities. Comparing solar cell quantum efficiencies with our earlier results for devices grown by metalorganic chemical vapor deposition (MOCVD), we find significant electron diffusion in the MBE material (reversed from the hole diffusion in MOCVD material), and minority carrier diffusion in InGaAsN cannot be explained by a "universal," nitrogen-related defect.

  1. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  2. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  3. Epitaxial ternary nitride thin films prepared by a chemical solution method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hongmei; Feldmann, David M; Wang, Haiyan

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  4. Mass production of polymer nano-wires filled with metal nano-particles.

    PubMed

    Lomadze, Nino; Kopyshev, Alexey; Bargheer, Matias; Wollgarten, Markus; Santer, Svetlana

    2017-08-17

    Despite the ongoing progress in nanotechnology and its applications, the development of strategies for connecting nano-scale systems to micro- or macroscale elements is hampered by the lack of structural components that have both, nano- and macroscale dimensions. The production of nano-scale wires with macroscale length is one of the most interesting challenges here. There are a lot of strategies to fabricate long nanoscopic stripes made of metals, polymers or ceramics but none is suitable for mass production of ordered and dense arrangements of wires at large numbers. In this paper, we report on a technique for producing arrays of ordered, flexible and free-standing polymer nano-wires filled with different types of nano-particles. The process utilizes the strong response of photosensitive polymer brushes to irradiation with UV-interference patterns, resulting in a substantial mass redistribution of the polymer material along with local rupturing of polymer chains. The chains can wind up in wires of nano-scale thickness and a length of up to several centimeters. When dispersing nano-particles within the film, the final arrangement is similar to a core-shell geometry with mainly nano-particles found in the core region and the polymer forming a dielectric jacket.

  5. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  6. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  7. Plasmonic graded nano-disks as nano-optical conveyor belt.

    PubMed

    Kang, Zhiwen; Lu, Haifei; Chen, Jiajie; Chen, Kun; Xu, Fang; Ho, Ho-Pui

    2014-08-11

    We propose a plasmonic system consisting of nano-disks (NDs) with graded diameters for the realization of nano-optical conveyor belt. The system contains a couple of NDs with individual elements coded with different resonant wavelengths. By sequentially switching the wavelength and polarization of the excitation source, optically trapped target nano-particle can be transferred from one ND to another. The feasibility of such function is verified based on the three-dimensional finite-difference time-domain technique and the Maxwell stress tensor method. Our design may provide an alternative way to construct nano-optical conveyor belt with which target molecules can be delivered between trapping sites, thus enabling many on-chip optofluidic applications.

  8. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  9. The Effect of Nano Loading and Ultrasonic Compounding of EVA/LDPE/Nano-magnesium Hydroxide on Mechanical Properties and Distribution of Nano Particles

    NASA Astrophysics Data System (ADS)

    Azman, I. A.; Salleh, R. M.; Alauddin, S. M.; Shueb, M. I.

    2018-05-01

    Blends of Ethylene Vinyl Acetate (EVA) and Low-Density Polyethylene (LDPE) are promising composite which have good mechanical properties to environmental stress cracking. However, they lack fire resistant properties, which limits it usage in wire and cable industry. In order to improve flame retardancy ability, a range of nano-magnesium hydroxide (nano-MH) loading which is from 0 phr to maximum of 20 phr with ultrasonic extrusion 0-100 kHz frequencies have been introduced. Ultrasonic extrusion was used to improve the distribution of nano-MH. It was found that, 10 phr of nano loading with 100 kHz ultrasonic assisted has greater tensile strength compared to the nanocomposite without ultrasonication. Further increase of nano MH loading, will decrease the tensile properties. Better elongation at break was observed at10 phr nano-MH with the frequency of 50 kHz. The sample of 20 phr of nanoMH assisted with 50 kHz ultrasonic exhibits good flexural properties while 10 phr of nano-MH without the ultrasonic assisted demonstrates good in izod impact properties. From the evaluation of mechanical properties studied, it was found that 10 phr of nano-MH has shown the best performance among all the samples tested for EVA/LDPE/nano-MH composites. Transmission Electron Microscopy (TEM) has been conducted on 10 phr sample with different frequencies in order to observe the distribution of nano-MH particles. The sample with 100 kHz frequency shows more uniform dispersion of nano-MH in EVA/LDPE composites. This investigation indicates that the ultrasonic technology can enhance the mechanical properties studied as well as the dispersion of nano particles in the composite.

  10. Electrical transport properties of epitaxial titanium nitride nanowire

    NASA Astrophysics Data System (ADS)

    Makise, K.; Shinozaki, B.

    2018-03-01

    We have measured the transport properties of epitaxial titanium nitride (TiN) nanowires. Epitaxial TiN layer, deposited by dc magnetron sputtering on MgO(100) substrates at growth temperature T = 1073 K. Samples of nanowire were fabricated by e-beam lithography and reactive ion etching. Although TiN films with 100 nm-thickness have superconducting transition temperature T C ∼ 5 K, nanowires does not appear resistive transition until 0.15 K. The magnetoresistance (MR) are always negative. Furthermore for MR experimental results, we attempt to fit the data using one-dimensional weak localization theory. In addition we observed oscillations of magnetoresistance below 5 K.

  11. Grouped and Multistep Nanoheteroepitaxy: Toward High-Quality GaN on Quasi-Periodic Nano-Mask.

    PubMed

    Feng, Xiaohui; Yu, Tongjun; Wei, Yang; Ji, Cheng; Cheng, Yutian; Zong, Hua; Wang, Kun; Yang, Zhijian; Kang, Xiangning; Zhang, Guoyi; Fan, Shoushan

    2016-07-20

    A novel nanoheteroepitaxy method, namely, the grouped and multistep nanoheteroepitaxy (GM-NHE), is proposed to attain a high-quality gallium nitride (GaN) epilayer by metal-organic vapor phase epitaxy. This method combines the effects of sub-100 nm nucleation and multistep lateral growth by using a low-cost but unique carbon nanotube mask, which consists of nanoscale growth windows with a quasi-periodic 2D fill factor. It is found that GM-NHE can facilely reduce threading dislocation density (TDD) and modulate residual stress on foreign substrate without any regrowth. As a result, high-quality GaN epilayer is produced with homogeneously low TDD of 4.51 × 10(7) cm(-2) and 2D-modulated stress, and the performance of the subsequent 410 nm near-ultraviolet light-emitting diode is greatly boosted. In this way, with the facile fabrication of nanomask and the one-off epitaxy procedure, GaN epilayer is prominently improved with the assistance of nanotechnology, which demonstrates great application potential for high-efficiency TDD-sensitive optoelectronic and electronic devices.

  12. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  13. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  14. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  15. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  16. Thermal conductivity of ultrathin nano-crystalline diamond films determined by Raman thermography assisted by silicon nanowires

    NASA Astrophysics Data System (ADS)

    Anaya, Julian; Rossi, Stefano; Alomari, Mohammed; Kohn, Erhard; Tóth, Lajos; Pécz, Béla; Kuball, Martin

    2015-06-01

    The thermal transport in polycrystalline diamond films near its nucleation region is still not well understood. Here, a steady-state technique to determine the thermal transport within the nano-crystalline diamond present at their nucleation site has been demonstrated. Taking advantage of silicon nanowires as surface temperature nano-sensors, and using Raman Thermography, the in-plane and cross-plane components of the thermal conductivity of ultra-thin diamond layers and their thermal barrier to the Si substrate were determined. Both components of the thermal conductivity of the nano-crystalline diamond were found to be well below the values of polycrystalline bulk diamond, with a cross-plane thermal conductivity larger than the in-plane thermal conductivity. Also a depth dependence of the lateral thermal conductivity through the diamond layer was determined. The results impact the design and integration of diamond for thermal management of AlGaN/GaN high power transistors and also show the usefulness of the nanowires as accurate nano-thermometers.

  17. Macro-/Nano- Materials Based Ultrasensitive Lateral Flow Nucleic Acid Biosensors

    NASA Astrophysics Data System (ADS)

    Takalkar, Sunitha

    Ultrasensitive detection of nucleic acids plays a very important role in the field of molecular diagnosis for the detection of various diseases. Lateral flow biosensors (LFB) are convenient, easy-to-use, patient friendly forms of detection methods offering rapid and convenient clinical testing in close proximity to the patients thus drawing a lot of attention in different areas of research over the years. In comparison with the traditional immunoassays, the nucleic acid based lateral flow biosensors (NABLFB) has several advantages in terms of stability and interference capabilities. NABLFB utilizes nucleic acid probes as the bio-recognition element. The target analyte typically is the oligonucleotide like the DNA, mRNA, miRNA which are among the nucleic acid secretions by the tumor cells when it comes to detection of cancer. Traditionally gold nanoparticles (GNPs) have been used as labels for conjugating with the detection probes for the qualitative and semi quantitative analysis, the application of GNP-based LFB is limited by its low sensitivity. This dissertation describes the use of different nanomaterials and advanced detection technologies to enhance the sensitivities of the LFB based methods. Silica Nanorods decorated with GNP were synthesized and employed as labels for ultrasensitive detection of miRNA on the LFB. Owing to the biocompatibility and convenience in surface modification of SiNRs, they acted as good carriers to load numerous GNPs. The sensitivity of the GNP-SiNR-based LFSB was enhanced six times compared to the previous GNP-based LFSB. A fluorescent carbon nanoparticle (FCN) was first used as a tag to develop a lateral flow nucleic acid biosensor for ultrasensitive and quantitative detection of nucleic acid samples. Under optimal conditions, the FCN-based LFNAB was capable of detecting minimum 0.4 fM target DNA without complex operations and additional signal amplification. The carbon nanotube was used as a label and carrier of numerous enzyme

  18. Magnetic properties of epitaxial hexagonal HoFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Xiao, Zhuyun; Xu, Xiaoshan; Wang, Wenbin; Keavney, David; Liu, Yaohua; Cheng, X. M.

    2014-03-01

    Multiferroic materials exhibit multiple ferroic orders simultaneously and thus have great potential applications in information technology, sensing and actuation. Epitaxial hexagonal HoFeO3 (h-HFO) films are very promising candidates as multiferroic materials with room temperature ferromagnetism, because magnetic Ho3+ ions are expected to have stronger exchange interactions with Fe3+ ions than the well-studied h-LuFeO3 films. We report study of magnetic properties of epitaxial h-HFO thin films deposited using laser molecular beam epitaxy on Yttria-stabilized zirconia (YSZ) substrates. X-ray diffraction measurements confirmed the epitaxial registry and six-fold symmetry of the film. Temperature dependence of magnetization of the film measured by a Quantum Design SQUID magnetometer shows dominating paramagnetic characteristic. Element specific x-ray magnetic circular dichroism measurements performed at beamline 4-ID-C of the Advanced Photon Source show a ferromagnetic ordering of Fe and an exchange coupling between Ho3+ and Fe3+ ions. Work at BMC is supported by NSF Career award (DMR 1053854). Work at ANL is supported by US-DOE, Office of Science, BES (No. DE-AC02-06CH11357).

  19. Quantitative scanning thermal microscopy of ErAs/GaAs superlattice structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2013-02-01

    A proximal probe-based quantitative measurement of thermal conductivity with ˜100-150 nm lateral and vertical spatial resolution has been implemented. Measurements on an ErAs/GaAs superlattice structure grown by molecular beam epitaxy with 3% volumetric ErAs content yielded thermal conductivity at room temperature of 9 ± 2 W/m K, approximately five times lower than that for GaAs. Numerical modeling of phonon scattering by ErAs nanoparticles yielded thermal conductivities in reasonable agreement with those measured experimentally and provides insight into the potential influence of nanoparticle shape on phonon scattering. Measurements of wedge-shaped samples created by focused ion beam milling provide direct confirmation of depth resolution achieved.

  20. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  1. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  2. Study of thin film growth kinetics of homoepitaxy by molecular beam epitaxy and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Shin, Byungha

    This thesis presents an extensive study of the growth kinetics during low temperature homoepitaxy by Molecular Beam Epitaxy (MBE) and Pulsed Laser Deposition (PLD) of our model system Ge(001). The range of the study covers from the sub-monolayer (sub-ML) regime to the later stage where film thickness amounts to a few thousand MLs; it also covers epitaxial breakdown in which epitaxial growth is no longer sustained and the growing phase becomes amorphous. First, we have conducted a systematic investigation of the phase shift of the RHEED intensity oscillations during Ge(001) homoepitaxy MBE for a wide range of diffraction conditions. We conclude that the phase shift is caused by the overlap of the specular spot and the Kikuchi features, in contrast to models involving dynamical scattering theory for the phase shift. We have studied the sub-ML growth of Ge(001) homoepitaxy by MBE at low temperatures using RHEED intensity oscillations obtained for a range of low incidence angles where the influence of the dynamical nature of electron scattering such as the Kikuchi features is minimized. We have developed a new model for RHEED specular intensity that includes the diffuse scattering off surface steps and the layer interference between terraces of different heights using the kinematic approximation. By using the model to interpret the measured RHEED intensity, we find the evolution of the coverage of the first 2--3 layers, from which we infer the ES barrier height to be 0.077 +/- 0.014 eV. Finally, using a dual MBE-PLD UHV chamber, we have conducted experiments under identical thermal, background, and surface preparation conditions to compare Ge(001) homoepitaxial growth morphology in PLD and MBE at low temperatures. To isolate the effect of kinetic energy of depositing species during PLD, we varied the average kinetic energy: ˜450 eV in PLD-HKE, ˜300 eV in PLD-LKE, and <1 eV in PLD-TH. At 150°C, we find that in PLD-LKE and in MBE the film morphology evolves in a

  3. Improved Boat For Liquid-Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Connolly, John C.

    1991-01-01

    Liquid-phase epitaxial (LPE) growth boat redesigned. Still fabricated from ultra-high-purity graphite, but modified to permit easy disassembly and cleaning, along with improved wiping action for more complete removal of melt to reduce carry-over of gallium. Larger substrates and more uniform composition obtained.

  4. CW Performance of an InGaAs-GaAs-AlGaAs Laterally-Coupled Distributed Feedback (LC-DFB) Ridge Laser Diode

    NASA Technical Reports Server (NTRS)

    Martin, R. D.; Forouhar, S.; Keo, S.; Lang, R. J.; Hunsperger, R. G.; Tiberio, R. C.; Chapman, P. F.

    1995-01-01

    Single-mode distributed feedback (DFB) laser diodes typically require a two-step epitaxial growth or use of a corrugated substrate. We demonstrate InGaAs-GaAs-AlGaAs DFB lasers fabricated from a single epitaxial growth using lateral evanescent coupling of the optical field to a surface grating etehed along the sides of the ridge. A CW threshold current of 25 mA and external quantum efficiency of 0.48 mW/mA per facet were measured for a 1 mm cavity length device with anti-reflection coated facets. Single-mode output powers as high as 11 mW per facet at 935 nm wavelength were attained. A coupling coefficient of at least 5.8/cm was calculated from the subthreshold spectrum taking into account the 2% residual facet reflectivity.

  5. Growth condition dependence of unintentional oxygen incorporation in epitaxial GaN

    PubMed Central

    Schubert, Felix; Wirth, Steffen; Zimmermann, Friederike; Heitmann, Johannes; Mikolajick, Thomas; Schmult, Stefan

    2016-01-01

    Abstract Growth conditions have a tremendous impact on the unintentional background impurity concentration in gallium nitride (GaN) synthesized by molecular beam epitaxy and its resulting chemical and physical properties. In particular for oxygen identified as the dominant background impurity we demonstrate that under optimized growth stoichiometry the growth temperature is the key parameter to control its incorporation and that an increase by 55 °C leads to an oxygen reduction by one order of magnitude. Quantitatively this reduction and the resulting optical and electrical properties are analyzed by secondary ion mass spectroscopy, photoluminescence, capacitance versus voltage measurements, low temperature magneto-transport and parasitic current paths in lateral transistor test structures based on two-dimensional electron gases. At a growth temperature of 665 °C the residual charge carrier concentration is decreased to below 1015 cm−3, resulting in insulating behavior and thus making the material suitable for beyond state-of-the-art device applications. PMID:27877874

  6. Epitaxy: Programmable Atom Equivalents Versus Atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Mary X.; Seo, Soyoung E.; Gabrys, Paul A.

    The programmability of DNA makes it an attractive structure-directing ligand for the assembly of nanoparticle superlattices in a manner that mimics many aspects of atomic crystallization. However, the synthesis of multilayer single crystals of defined size remains a challenge. Though previous studies considered lattice mismatch as the major limiting factor for multilayer assembly, thin film growth depends on many interlinked variables. Here, a more comprehensive approach is taken to study fundamental elements, such as the growth temperature and the thermodynamics of interfacial energetics, to achieve epitaxial growth of nanoparticle thin films. Under optimized equilibrium conditions, single crystal, multilayer thin filmsmore » can be synthesized over 500 × 500 μm2 areas on lithographically patterned templates. Importantly, these superlattices follow the same patterns of crystal growth demonstrated in thin film atomic deposition, allowing for these processes to be understood in the context of well-studied atomic epitaxy, and potentially enabling a nanoscale model to study fundamental crystallization processes.« less

  7. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices.

    PubMed

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-07-26

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to restore nanocrystal stoichiometry can trigger the formation of epitaxial superlattices of PbSe and PbS quantum dots. More specifically, we show that both chalcogen-adding (sodium sulfide) and lead oleate displacing (amines) additives induce small area epitaxial superlattices of PbSe quantum dots. In the latter case, the amine basicity is a sensitive handle to tune the superlattice symmetry, with strong and weak bases yielding pseudohexagonal or quasi-square lattices, respectively. Through density functional theory calculations and in situ titrations monitored by nuclear magnetic resonance spectroscopy, we link this observation to the concomitantly different coordination enthalpy and ligand displacement potency of the amine. Next to that, an initial ∼10% reduction of the initial ligand density prior to monolayer formation and addition of a mild, lead oleate displacing chemical trigger such as aniline proved key to induce square superlattices with long-range, square micrometer order; an effect that is the more pronounced the larger the quantum dots. Because the approach applies to PbS quantum dots as well, we conclude that it offers a reproducible and rational method for the formation of highly ordered epitaxial quantum dot superlattices.

  8. Nano-extrusion: a promising tool for continuous manufacturing of solid nano-formulations.

    PubMed

    Baumgartner, Ramona; Eitzlmayr, Andreas; Matsko, Nadejda; Tetyczka, Carolin; Khinast, Johannes; Roblegg, Eva

    2014-12-30

    Since more than 40% of today's drugs have low stability, poor solubility and/or limited ability to cross certain biological barriers, new platform technologies are required to address these challenges. This paper describes a novel continuous process that converts a stabilized aqueous nano-suspension into a solid oral formulation in a single step (i.e., the NANEX process) in order to improve the solubility of a model drug (phenytoin). Phenytoin nano-suspensions were prepared via media milling using different stabilizers. A stable nano-suspension was obtained using Tween(®) 80 as a stabilizer. The matrix material (Soluplus(®)) was gravimetrically fed into the hot melt extruder. The suspension was introduced through a side feeding device and mixed with the molten polymer to immediately devolatilize the water in the nano-suspension. Phenytoin nano-crystals were dispersed and embedded in the molten polymer. Investigation of the nano-extrudates via transmission electron microscopy and atomic force microscopy showed that the nano-crystals were embedded de-aggregated in the extrudates. Furthermore, no changes in the crystallinity (due to the mechanical and thermal stress) occurred. The dissolution studies confirmed that the prepared nano-extrudates increased the solubility of nano-crystalline phenytoin, regardless of the polymer. Our work demonstrates that NANEX represents a promising new platform technology in the design of novel drug delivery systems to improve drug performance. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  10. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  11. Combinatorial Nano-Bio Interfaces.

    PubMed

    Cai, Pingqiang; Zhang, Xiaoqian; Wang, Ming; Wu, Yun-Long; Chen, Xiaodong

    2018-06-08

    Nano-bio interfaces are emerging from the convergence of engineered nanomaterials and biological entities. Despite rapid growth, clinical translation of biomedical nanomaterials is heavily compromised by the lack of comprehensive understanding of biophysicochemical interactions at nano-bio interfaces. In the past decade, a few investigations have adopted a combinatorial approach toward decoding nano-bio interfaces. Combinatorial nano-bio interfaces comprise the design of nanocombinatorial libraries and high-throughput bioevaluation. In this Perspective, we address challenges in combinatorial nano-bio interfaces and call for multiparametric nanocombinatorics (composition, morphology, mechanics, surface chemistry), multiscale bioevaluation (biomolecules, organelles, cells, tissues/organs), and the recruitment of computational modeling and artificial intelligence. Leveraging combinatorial nano-bio interfaces will shed light on precision nanomedicine and its potential applications.

  12. Rhombohedral Super Hetero Epitaxy of Cubic SiGe on Trigonal c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Choi, Sang H.; Duzik, Adam J.

    2017-01-01

    New rhombohedral super-hetero-epitaxy technology was developed at NASA. This epitaxy technology enables the growth of unprecedented cubic-trigonal hybrid single crystal structures with lattice match on sapphire (Al2O3) substrates, hence with little strain and very few defects at the interface.

  13. Epitaxy of spin injectors and their application toward spin-polarized lasers

    NASA Astrophysics Data System (ADS)

    Holub, Michael A.

    Spintronics is an emerging; multidisciplinary field which examines the role of electron and nuclear spin in solid-state physics. Recent experiments suggest that the spin degree of freedom may be exploited to enhance the functionality of conventional semi conductor devices. Such endeavors require methods for efficient spin injection; spin transport, and spin detection in semiconductor heterostructures. This dissertation investigates the molecular-beam epitaxial growth and properties of ferromagnetic materials for electrical spin injection. Spin-injecting contacts are incorporated into prototype spintronic devices and their performance is examined. Two classes of materials may be used for spin injection into semiconductors: dilute magnetic semiconductor and ferromagnetic metals. The low-temperature growth and properties of (Al)Gal4nAs and In(Ga)MnAs epilayers and nanostructures are investigated, and a technique for the self-organized growth of Mn-doped InAs quantum dots is developed. The epitaxial growth of (Fe,MnAs)/(Al)GaAs Schottky tunnel barriers for electron spin injection is also investigated. The spin-injection efficiency of these contacts is assessed using a spin-valve or spin-polarized light-emitting diode. Lateral MnAs/GaAs spin-valves where Schottky tunnel barriers enable all-electrical spin injection and detection are grown, fabricated, and characterized. The Rowell criteria confirm that tunneling is the dominant, transport mechanism for the Schottky tunnel contacts. A peak magnetoresistance of 3.6% at 10 K and 1.1% at 125 K are observed for a 0.5 pin channel length spin-valve. Measurements using non-local spin-valves and other control devices verify that spurious contributions from anisotropic magnetoresistance and local Hall effects are negligible. Spin-polarized lasers offer inherent polarization control, reduced chirp, and lower threshold currents and are expected to outperform their charge-based counterparts. Initial efforts to realize a spin

  14. Multimodal non-linear optical imaging for the investigation of drug nano-/microcrystal-cell interactions.

    PubMed

    Darville, Nicolas; Saarinen, Jukka; Isomäki, Antti; Khriachtchev, Leonid; Cleeren, Dirk; Sterkens, Patrick; van Heerden, Marjolein; Annaert, Pieter; Peltonen, Leena; Santos, Hélder A; Strachan, Clare J; Van den Mooter, Guy

    2015-10-01

    Drug nano-/microcrystals are being used for sustained parenteral drug release, but safety and efficacy concerns persist as the knowledge of the in vivo fate of long-living particulates is limited. There is a need for techniques enabling the visualization of drug nano-/microcrystals in biological matrices. The aim of this work was to explore the potential of coherent anti-Stokes Raman scattering (CARS) microscopy, supported by other non-linear optical methods, as an emerging tool for the investigation of cellular and tissue interactions of unlabeled and non-fluorescent nano-/microcrystals. Raman and CARS spectra of the prodrug paliperidone palmitate (PP), paliperidone (PAL) and several suspension stabilizers were recorded. PP nano-/microcrystals were incubated with RAW 264.7 macrophages in vitro and their cellular disposition was investigated using a fully-integrated multimodal non-linear optical imaging platform. Suitable anti-Stokes shifts (CH stretching) were identified for selective CARS imaging. CARS microscopy was successfully applied for the selective three-dimensional, non-perturbative and real-time imaging of unlabeled PP nano-/microcrystals having dimensions larger than the optical lateral resolution of approximately 400nm, in relation to the cellular framework in cell cultures and ex vivo in histological sections. In conclusion, CARS microscopy enables the non-invasive and label-free imaging of (sub)micron-sized (pro-)drug crystals in complex biological matrices and could provide vital information on poorly understood nano-/microcrystal-cell interactions in future. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  16. Graphene Substrate for van der Waals Epitaxy of Layer-Structured Bismuth Antimony Telluride Thermoelectric Film.

    PubMed

    Kim, Eun Sung; Hwang, Jae-Yeol; Lee, Kyu Hyoung; Ohta, Hiromichi; Lee, Young Hee; Kim, Sung Wng

    2017-02-01

    Graphene as a substrate for the van der Waals epitaxy of 2D layered materials is utilized for the epitaxial growth of a layer-structured thermoelectric film. Van der Waals epitaxial Bi 0.5 Sb 1.5 Te 3 film on graphene synthesized via a simple and scalable fabrication method exhibits good crystallinity and high thermoelectric transport properties comparable to single crystals. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kunert, B.; Guo, W.; Mols, Y.

    We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an activemore » material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.« less

  18. Are nano-composites and nano-ionomers suitable for orthodontic bracket bonding?

    PubMed

    Uysal, Tancan; Yagci, Ahmet; Uysal, Banu; Akdogan, Gülsen

    2010-02-01

    The aim of this study was to test nano-composite (Filtek Supreme Plus Universal) and a newly introduced nano-ionomer (Ketac N100 Light Curing Nano-Ionomer) restorative to determine their shear bond strength (SBS) and failure site locations in comparison with a conventional light-cure orthodontic bonding adhesive (Transbond XT). Sixty freshly extracted human maxillary premolar teeth were arbitrarily divided into three equal groups. The brackets were bonded to the teeth in each group with different composites, according to the manufacturers' instructions. The SBS values of the brackets were recorded in Megapascals (MPa) using a universal testing machine. Adhesive remnant index scores were determined after failure of the brackets. The data were analysed using analysis of variance, Tukey honestly significant difference, and chi-square tests. The results demonstrated that group 1 (Transbond XT, mean: 12.60 +/- 4.48 MPa) had a higher SBS than that of group 2 (nano-composite, mean: 8.33 +/- 5.16 MPa; P < 0.05) and group 3 (nano-ionomer, mean: 6.14 +/- 2.12 MPa; P < 0.001). No significant differences in debond locations were found among the three groups. Nano-composites and nano-ionomers may be suitable for bonding since they fulfil the previously suggested SBS ranges for clinical acceptability, but they are inferior to a conventional orthodontic composite.

  19. Molecular-Beam-Epitaxy Program

    NASA Technical Reports Server (NTRS)

    Sparks, Patricia D.

    1988-01-01

    Molecular Beam Epitaxy (MBE) computer program developed to aid in design of single- and double-junction cascade cells made of silicon. Cascade cell has efficiency 1 or 2 percent higher than single cell, with twice the open-circuit voltage. Input parameters include doping density, diffusion lengths, thicknesses of regions, solar spectrum, absorption coefficients of silicon (data included for 101 wavelengths), and surface recombination velocities. Results include maximum power, short-circuit current, and open-circuit voltage. Program written in FORTRAN IV.

  20. Trapping and assembling of particles and live cells on large-scale random gold nano-island substrates

    PubMed Central

    Kang, Zhiwen; Chen, Jiajie; Wu, Shu-Yuen; Chen, Kun; Kong, Siu-Kai; Yong, Ken-Tye; Ho, Ho-Pui

    2015-01-01

    We experimentally demonstrated the use of random plasmonic nano-islands for optical trapping and assembling of particles and live cells into highly organized pattern with low power density. The observed trapping effect is attributed to the net contribution due to near-field optical trapping force and long-range thermophoretic force, which overcomes the axial convective drag force, while the lateral convection pushes the target objects into the trapping zone. Our work provides a simple platform for on-chip optical manipulation of nano- and micro-sized objects, and may find applications in physical and life sciences. PMID:25928045

  1. Magnetic Nano-Materials: Truly Sustainable Green Chemistry Nano Catalysis

    EPA Science Inventory

    We envisioned a novel nano-catalyst system, which can bridge the homogenous and heterogeneous system, and simultaneously be cheaper, easily accessible (sustainable) and possibly does not require elaborate work-up. Because of its nano-size, i.e. high surface area, the contact betw...

  2. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    NASA Astrophysics Data System (ADS)

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika; Hong, Hawoong; Marks, Laurence D.; Fong, Dillon D.

    2018-03-01

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. The high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO3 oxide perovskites containing elements from both the metalorganic source and a traditional effusion cell.

  3. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  4. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  5. Lateral cavity photonic crystal surface emitting lasers with ultralow threshold and large power

    NASA Astrophysics Data System (ADS)

    Wang, Yufei; Qu, Hongwei; Zhou, Wenjun; Jiang, Bin; Zhang, Jianxin; Qi, Aiyi; Liu, Lei; Fu, Feiya; Zheng, Wanhua

    2012-03-01

    The Bragg diffraction condition of surface-emitting lasing action is analyzed and Γ2-1 mode is chosen for lasing. Two types of lateral cavity photonic crystal surface emitting lasers (LC-PCSELs) based on the PhC band edge mode lateral resonance and vertical emission to achieve electrically driven surface emitting laser without distributed Bragg reflectors in the long wavelength optical communication band are designed and fabricated. Deep etching techniques, which rely on the active layer being or not etched through, are adopted to realize the LC-PCSELs on the commercial AlGaInAs/InP multi-quantum-well (MQW) epitaxial wafer. 1553.8 nm with ultralow threshold of 667 A/cm2 and 1575 nm with large power of 1.8 mW surface emitting lasing actions are observed at room temperature, providing potential values for mass production with low cost of electrically driven PCSELs.

  6. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  7. Surface conductance of graphene from non-contact resonant cavity.

    PubMed

    Obrzut, Jan; Emiroglu, Caglar; Kirillov, Oleg; Yang, Yanfei; Elmquist, Randolph E

    2016-06-01

    A method is established to reliably determine surface conductance of single-layer or multi-layer atomically thin nano-carbon graphene structures. The measurements are made in an air filled standard R100 rectangular waveguide configuration at one of the resonant frequency modes, typically at TE 103 mode of 7.4543 GHz. Surface conductance measurement involves monitoring a change in the quality factor of the cavity as the specimen is progressively inserted into the cavity in quantitative correlation with the specimen surface area. The specimen consists of a nano-carbon-layer supported on a low loss dielectric substrate. The thickness of the conducting nano-carbon layer does not need to be explicitly known, but it is assumed that the lateral dimension is uniform over the specimen area. The non-contact surface conductance measurements are illustrated for a typical graphene grown by chemical vapor deposition process, and for a high quality monolayer epitaxial graphene grown on silicon carbide wafers for which we performed non-gated quantum Hall resistance measurements. The sequence of quantized transverse Hall resistance at the Landau filling factors ν = ±6 and ±2, and the absence of the Hall plateau at ν = 4 indicate that the epitaxially grown graphene is a high quality mono-layer. The resonant microwave cavity measurement is sensitive to the surface and bulk conductivity, and since no additional processing is required, it preserves the integrity of the conductive graphene layer. It allows characterization with high speed, precision and efficiency, compared to transport measurements where sample contacts must be defined and applied in multiple processing steps.

  8. Exploring Ag(111) Substrate for Epitaxially Growing Monolayer Stanene: A First-Principles Study

    PubMed Central

    Gao, Junfeng; Zhang, Gang; Zhang, Yong-Wei

    2016-01-01

    Stanene, a two-dimensional topological insulator composed of Sn atoms in a hexagonal lattice, is a promising contender to Si in nanoelectronics. Currently it is still a significant challenge to achieve large-area, high-quality monolayer stanene. We explore the potential of Ag(111) surface as an ideal substrate for the epitaxial growth of monolayer stanene. Using first-principles calculations, we study the stability of the structure of stanene in different epitaxial relations with respect to Ag(111) surface, and also the diffusion behavior of Sn adatom on Ag(111) surface. Our study reveals that: (1) the hexagonal structure of stanene monolayer is well reserved on Ag(111) surface; (2) the height of epitaxial stanene monolayer is comparable to the step height of the substrate, enabling the growth to cross the surface step and achieve a large-area stanene; (3) the perfect lattice structure of free-standing stanene can be achieved once the epitaxial stanene monolayer is detached from Ag(111) surface; and finally (4) the diffusion barrier of Sn adatom on Ag(111) surface is found to be only 0.041 eV, allowing the epitaxial growth of stanene monolayer even at low temperatures. Our above revelations strongly suggest that Ag(111) surface is an ideal candidate for growing large-area, high-quality monolayer stanene. PMID:27373464

  9. Photon Transport in One-Dimensional Incommensurately Epitaxial CsPbX 3 Arrays

    DOE PAGES

    Wang, Yiping; Sun, Xin; Shivanna, Ravichandran; ...

    2016-11-16

    One-dimensional nanoscale epitaxial arrays serve as a great model in studying fundamental physics and for emerging applications. With an increasing focus laid on the Cs-based inorganic halide perovskite out of its outstanding material stability, we have applied vapor phase epitaxy to grow well aligned horizontal CsPbX 3 (X: Cl, Br, or I or their mixed) nanowire arrays in large scale on mica substrate. The as-grown nanowire features a triangular prism morphology with typical length ranging from a few tens of micrometers to a few millimeters. Structural analysis reveals that the wire arrays follow the symmetry of mica substrate through incommensuratemore » epitaxy, paving a way for a universally applicable method to grow a broad family of halide perovskite materials. We have studied the unique photon transport in the one-dimensional structure in the all-inorganic Cs-based perovskite wires via temperature dependent and spatially resolved photoluminescence. Furthermore, epitaxy of well oriented wire arrays in halide perovskite would be a promising direction for enabling the circuit-level applications of halide perovskite in high-performance electro-optics and optoelectronics.« less

  10. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  11. Study on Locally Confined Deposition of Si Nanocrystals in High-Aspect-Ratio Si Nano-Pillar Arrays for Nano-Electronic and Nano-Photonic Applications II

    DTIC Science & Technology

    2010-12-03

    photoluminescence characteristics of equivalent-size controlled silicon quantum dots by employing a nano-porous aluminum oxide membrane as the template for growing...synthesis of Si quantum dots (Si-QDs) embedded in low-temperature (500oC) annealed Si-rich SiOx nano-rod deposited in nano-porous anodic aluminum oxide ...characteristics of the equivalent-size controlled Si-QDs by employing the nano-porous AAO membrane as the template for growing Si-rich SiOx nano-rods

  12. Epitaxy of mercury-based high temperature superconducting films on oxide and metal substrates

    NASA Astrophysics Data System (ADS)

    Xie, Yi-Yuan

    High-Tc superconducting (HTS) cuprates are highly anisotropic thus epitaxy along certain crystalline directions is essential to realize high-current-carrying capability at temperatures above 77 K. Hg-based HTS (Hg-HTS) cuprates have the record-high Tc up to 135 K, therefore are of great interest for fundamental research and practical applications. However, growth Of epitaxial Hg-HTS films is extremely difficult in conventional thermal-reaction process since Hg is highly volatile. Motivated by this, we first developed a cation-exchange process for growing epitaxial Hg-HTS films, which involves two steps: selection of precursor matrices with predesigned structure and composition followed by cation-exchange processing. New materials are formed via "atomic surgery" on an existing structure rather than thermal reaction among amorphous oxides in conventional process, thus the structural features of the precursor are inherited by the new material. Using epitaxial Tl-based HTS films as precursor and annealing them in Hg-vapor, epitaxial Hg-HTS films with superior quality have been obtained. This success encouraged us to develop epitaxy on metal tapes for coated conductors and On large-area wafers for electronic devices. For coated conductors, we addressed three critical issues: epitaxy on metal substrates, enhancement of in-field Jcs and scale-up in thickness and length. First, using a fabrication scheme that combines two processes: cation-exchange and fast-temperature-ramping-annealing, epitaxial HgBa2CaCu2O6+delta films were grown on rolling-assisted-biaxially-textured Ni substrates buffered with CeO 2/YSZ/CeO2 for the first time. We fabricated HgBa2CaCu 2O6+delta coated conductors with Tc = 122--124 K and self-field Jc > 1 x 106A/cm2 at 92 K which are record-high for HTS coated conductors. Second, we demonstrated improved in-field J cs via overdoping HgBa2CaCu 2O6+delta films (by means Of charge "overdoped"), heavy-ion-irradiation and substrate engineering. Finally

  13. Recognition of sentinel lymph nodes in patients with papillary thyroid cancer by nano-carbon and methylene blue.

    PubMed

    Liu, Fangzhou; Zhu, Yan; Qian, Yichuan; Zhang, Jia; Zhang, Yu; Zhang, Yuan

    2017-01-01

    To compare the accuracy and feasibility of methylene blue and nano-carbon in clinical tracing of sentinel lymph nodes (SLNs) in patients with papillary thyroid cancer (PTC). Ninety-six PTC patients were selected and randomly divided into a methylene blue group and a nano-carbon group (n=48). During surgery, tracer agent was injected around the tumor, and SLNs were resected and subjected to frozen pathological examination. The results were compared with those of routine pathological examination after surgery. Latent lymph node metastasis (level VI and lateral neck) was detected in both groups, with neck distribution of SLNs. There was no significant difference in the detection rate or accuracy of SLNs between two groups (P>0.05). The incorrect resection rate of parathyroid gland and incidence of temporary hypoparathyroidism in the methylene blue group were significantly higher than those of the nano-carbon group (t=4.137, P<0.05). The state of PTC lymph nodes can be well evaluated by SLN biopsy using both methylene blue and nano-carbon as tracers, but using nano-carbon has a lower incidence rate of parathyroid injury, with great clinical prospects accordingly.

  14. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    NASA Astrophysics Data System (ADS)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (< 200 nm) for the MBE-grown TMDs reported in the literature thus far is unsuitable for high-performance device applications. In this dissertation, the synthesis of TMDs by MBE and their implementation in device structures were investigated. van der Waals epitaxial growth of these TMDs (HfSe2, WTe2, WSe2, WTex Se2-x), due to the relaxed interactions at the interface, have been demonstrated on large lattice-mismatched substrates without strain and misfit dislocations. The fundamental nucleation and growth behavior of WSe2 was investigated through a detailed experimental design, combined with on-lattice, diffusion-based first principles kinetic modeling. Over one order of magnitude improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2

  15. Magnetic properties of Dy nano-islands on graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, Nathaniel A.; Zhang, Qiang; Hupalo, Myron

    Here, we have determined the magnetic properties of epitaxially grown Dy islands on graphene/SiC(0001) that are passivated by a gold film (deposited in the ultra-high vacuum growth chamber) for ex-situ X-ray magnetic circular dichroism (XMCD). Our sum-rule analysis of the Dy M 4,5 XMCD spectra at low temperatures ( T = 15 K) as a function of magnetic field assuming Dy 3+ (spin configuration 6 H 15/2) indicate that the projection of the magnetic moment along an applied magnetic field of 5 T is 3.5(3) μ B. Temperature dependence of the magnetic moment (extracted from the M 5 XMCD spectra)more » shows an onset of a change in magnetic moment at about 175 K in proximity of the transition from paramagnetic to helical magnetic structure at T H = 179 K in bulk Dy. No feature at the vicinity of the ferromagnetic transition of hcp bulk Dy at T c = 88 K is observed. However, below ~130 K, the inverse magnetic moment (extracted from the XMCD) is linear in temperature as commonly expected from a paramagnetic system suggesting different behavior of Dy nano-island than bulk Dy.« less

  16. Magnetic properties of Dy nano-islands on graphene

    DOE PAGES

    Anderson, Nathaniel A.; Zhang, Qiang; Hupalo, Myron; ...

    2017-04-07

    Here, we have determined the magnetic properties of epitaxially grown Dy islands on graphene/SiC(0001) that are passivated by a gold film (deposited in the ultra-high vacuum growth chamber) for ex-situ X-ray magnetic circular dichroism (XMCD). Our sum-rule analysis of the Dy M 4,5 XMCD spectra at low temperatures ( T = 15 K) as a function of magnetic field assuming Dy 3+ (spin configuration 6 H 15/2) indicate that the projection of the magnetic moment along an applied magnetic field of 5 T is 3.5(3) μ B. Temperature dependence of the magnetic moment (extracted from the M 5 XMCD spectra)more » shows an onset of a change in magnetic moment at about 175 K in proximity of the transition from paramagnetic to helical magnetic structure at T H = 179 K in bulk Dy. No feature at the vicinity of the ferromagnetic transition of hcp bulk Dy at T c = 88 K is observed. However, below ~130 K, the inverse magnetic moment (extracted from the XMCD) is linear in temperature as commonly expected from a paramagnetic system suggesting different behavior of Dy nano-island than bulk Dy.« less

  17. Wafer bonded epitaxial templates for silicon heterostructures

    DOEpatents

    Atwater, Jr., Harry A.; Zahler, James M [Pasadena, CA; Morral, Anna Fontcubera I [Paris, FR

    2008-03-11

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  18. Wafer bonded epitaxial templates for silicon heterostructures

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A., Jr. (Inventor); Zahler, James M. (Inventor); Morral, Anna Fontcubera I (Inventor)

    2008-01-01

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  19. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  20. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  1. Graphene nanoribbons epitaxy on boron nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BNmore » substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.« less

  2. To be nano or not to be nano?

    NASA Astrophysics Data System (ADS)

    Joachim, Christian

    2005-02-01

    Nanomaterials, nanostructures, nanostructured materials, nanoimprint, nanobiotechnology, nanophysics, nanochemistry, radical nanotechnology, nanosciences, nanooptics, nanoelectronics, nanorobotics, nanosoldiers, nanomedecine, nanoeconomy, nanobusiness, nanolawyer, nanoethics to name a few of the nanos. We need a clear definition of all these burgeoning fields for the sake of the grant attribution, for the sake of research program definition, and to avoid everyone being lost in so many nanos.

  3. Effect of substrate temperature and V/III flux ratio on In incorporation for InGaN/GaN heterostructures grown by plasma-assisted molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    O'Steen, M. L.; Fedler, F.; Hauenstein, R. J.

    1999-10-01

    Reflection high-energy electron diffraction (RHEED) and laterally spatially resolved high resolution x-ray diffraction (HRXRD) have been used to identify and characterize rf plasma-assisted molecular-beam epitaxial growth factors which strongly affect the efficiency of In incorporation into InxGa1-xN epitaxial materials. HRXRD results for InxGa1-xN/GaN superlattices reveal a particularly strong dependence of average alloy composition x¯ upon both substrate growth temperature and incident V/III flux ratio. For fixed flux ratio, results reveal a strong thermally activated behavior, with over an order-of-magnitude decrease in x¯ with increasing growth temperature within the narrow range 590-670 °C. Within this same range, a further strong dependence upon V/III flux ratio is observed. The decreased In incorporation at elevated substrate temperatures is tentatively attributed to In surface-segregation and desorption processes. RHEED observations support this segregation/desorption interpretation to account for In loss.

  4. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    DOE PAGES

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika; ...

    2018-03-08

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. In conclusion, the high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO 3 oxide perovskites containing elements from both themore » metalorganic source and a traditional effusion cell.« less

  5. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. In conclusion, the high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO 3 oxide perovskites containing elements from both themore » metalorganic source and a traditional effusion cell.« less

  6. High-mobility BaSnO 3 grown by oxide molecular beam epitaxy

    DOE PAGES

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; ...

    2016-01-28

    High-mobility perovskite BaSnO 3 films are of significant interest as newwide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO 3 films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO x. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO 3. We demonstrate room temperature electron mobilities of 150 cm 2 V -1 s -1 in films grownmore » on PrScO 3. Lastly, the results open up a wide range of opportunities for future electronic devices.« less

  7. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  8. Micro-thermocouple on nano-membrane: thermometer for nanoscale measurements.

    PubMed

    Balčytis, Armandas; Ryu, Meguya; Juodkazis, Saulius; Morikawa, Junko

    2018-04-20

    A thermocouple of Au-Ni with only 2.5-μm-wide electrodes on a 30-nm-thick Si 3 N 4 membrane was fabricated by a simple low-resolution electron beam lithography and lift off procedure. The thermocouple is shown to be sensitive to heat generated by laser as well as an electron beam. Nano-thin membrane was used to reach a high spatial resolution of energy deposition and to realise a heat source of sub-1 μm diameter. This was achieved due to a limited generation of secondary electrons, which increase a lateral energy deposition. A low thermal capacitance of the fabricated devices is useful for the real time monitoring of small and fast temperature changes, e.g., due to convection, and can be detected through an optical and mechanical barrier of the nano-thin membrane. Temperature changes up to ~2 × 10 5 K/s can be measured at 10 kHz rate. A simultaneous down-sizing of both, the heat detector and heat source strongly required for creation of thermal microscopy is demonstrated. Peculiarities of Seebeck constant (thermopower) dependence on electron injection into thermocouple are discussed. Modeling of thermal flows on a nano-membrane with presence of a micro-thermocouple was carried out to compare with experimentally measured temporal response.

  9. Effects of incorporation of nano-fluorapatite or nano-fluorohydroxyapatite on a resin-modified glass ionomer cement.

    PubMed

    Lin, Jun; Zhu, Jiajun; Gu, Xiaoxia; Wen, Wenjian; Li, Qingshan; Fischer-Brandies, Helge; Wang, Huiming; Mehl, Christian

    2011-03-01

    This study aimed to investigate the fluoride release properties and the effect on bond strength of two experimental adhesive cements. Synthesized particles of nano-fluorapatite (nano-FA) or nano-fluorohydroxyapatite (nano-FHA) were incorporated into a resin-modified glass ionomer cement (Fuji Ortho LC) and characterized using X-ray diffraction and scanning electron microscopy. Blocks with six different concentrations of nano-FA or nano-FHA were manufactured and their fluoride release properties evaluated by ultraviolet spectrophotometry. The unaltered glass ionomer cement Fuji Ortho LC (GC, control) and the two experimental cements with the highest fluoride release capacities (nano-FA+Fuji Ortho LC (GFA) and nano-FHA+Fuji Ortho LC (GFHA)) were used to bond composite blocks and orthodontic brackets to human enamel. After 24 h water storage all specimens were debonded, measuring the micro-tensile bond strength (μTBS) and the shear bond strength (SBS), respectively. The optimal concentration of added nano-FA and nano-FHA for maximum fluoride release was 25 wt.%, which nearly tripled fluoride release after 70 days compared with the control group. GC exhibited a significantly higher SBS than GFHA/GFA, with GFHA and GFA not differing significantly (P>0.05). The μTBS of GC and GFA were significantly higher than that of GFHA (P≤0.05). The results seem to indicate that the fluoride release properties of Fuji Ortho LC are improved by incorporating nano-FA or nano-FHA, simultaneously maintaining a clinically sufficient bond strength when nano-FA was added. Copyright © 2010 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  10. The relative viscosity of NaNO 3 and NaNO 2 aqueous solutions

    DOE PAGES

    Reynolds, Jacob G.; Mauss, Billie M.; Daniel, Richard C.

    2018-05-09

    In aqueous solution, both nitrate and nitrite are planar, monovalent, and have the same elements but different sizes and charge densities. Comparing the viscosity of NaNO 2 and NaNO 3 aqueous solutions provides an opportunity to determine the relative importance of anion size versus strength of anion interaction with water. The viscosity of aqueous NaNO 2 and NaNO 3 were measured over a temperature and concentration range relevant to nuclear waste processing. The viscosity of NaNO 2 solutions was consistently larger than NaNO 3 under all conditions, even though nitrate is larger than nitrite. This was interpreted in terms ofmore » quantum mechanical charge field molecular dynamics calculations that indicate that nitrite forms more and stronger hydrogen bonds with water per oxygen atom than nitrate. Furthermore, these hydrogen bonds inhibit rotational motion required for fluid flow, thus increasing the nitrite solution viscosity relative to that of an equivalent nitrate solution.« less

  11. The relative viscosity of NaNO 3 and NaNO 2 aqueous solutions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reynolds, Jacob G.; Mauss, Billie M.; Daniel, Richard C.

    In aqueous solution, both nitrate and nitrite are planar, monovalent, and have the same elements but different sizes and charge densities. Comparing the viscosity of NaNO 2 and NaNO 3 aqueous solutions provides an opportunity to determine the relative importance of anion size versus strength of anion interaction with water. The viscosity of aqueous NaNO 2 and NaNO 3 were measured over a temperature and concentration range relevant to nuclear waste processing. The viscosity of NaNO 2 solutions was consistently larger than NaNO 3 under all conditions, even though nitrate is larger than nitrite. This was interpreted in terms ofmore » quantum mechanical charge field molecular dynamics calculations that indicate that nitrite forms more and stronger hydrogen bonds with water per oxygen atom than nitrate. Furthermore, these hydrogen bonds inhibit rotational motion required for fluid flow, thus increasing the nitrite solution viscosity relative to that of an equivalent nitrate solution.« less

  12. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  13. The therapeutic effect of nano-encapsulated and nano-emulsion forms of carvacrol on experimental liver fibrosis.

    PubMed

    Hussein, Jihan; El-Banna, Mona; Mahmoud, Khaled F; Morsy, Safaa; Abdel Latif, Yasmin; Medhat, Dalia; Refaat, Eman; Farrag, Abdel Razik; El-Daly, Sherien M

    2017-06-01

    The present study aimed to compare the therapeutic efficiency of nano-encapsulated and nano-emulsion carvacrol administration on liver injury in thioacetamide (TAA) treated rats. To fulfill our target, we used sixty male albino rats classified into six groups as follow: control, nano-encapsulated carvacrol, nano-emulsion carvacrol, thioacetamide, treated nano-encapsulated carvacrol and treated nano-emulsion carvacrol groups. Blood samples were collected from all groups and the separated serum was used for analysis of the following biochemical parameters; aspartate aminotransferase (AST), alanine aminotransferase (ALT), S100 B protein, alpha fetoprotein (AFP) and caspase-3. The levels of malondialdehyde (MDA), reduced glutathione (GSH), nitric oxide (NO), monocyte chemoattractant protein-1(MCP-1) and hydroxyproline content were all evaluated in liver tissue homogenate. Histopathological examinations for liver tissues were also performed. Thioacetamide induced hepatic damage in rats as revealed by the significant increase in the levels of serum ALT, AST and produced oxidative stress as displayed by the significant elevation in the levels of hepatic MDA and NO concomitant with a significant decrease in GSH. In addition, thioacetamide significantly increased serum S100B protein, alpha fetoprotein and caspase-3 along with hepatic MCP-1 and hydroxyproline; these results were confirmed by the histopathological investigation. In contrast, nano-encapsulated and nano-emulsion carvacrol were able to ameliorate these negative changes in the thioacetamide injected rats. However, the effect of the nano-encapsulated form of carvacrol was more prominent than the nano-emulsion form. Nano-encapsulated and nano-emulsion carvacrol can ameliorate thioacetamide induced liver injury. These results could be attributed to the potential anti-inflammatory, antioxidant, and anti-apoptotic activities of carvacrol in addition to the effectiveness of the encapsulation technique that can protect

  14. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  15. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE PAGES

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun; ...

    2018-01-17

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  16. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  17. Micro and nano devices in passive millimetre wave imaging systems

    NASA Astrophysics Data System (ADS)

    Appleby, R.

    2013-06-01

    The impact of micro and nano technology on millimetre wave imaging from the post war years to the present day is reviewed. In the 1950s whisker contacted diodes in mixers and vacuum tubes were used to realise both radiometers and radars but required considerable skill to realise the performance needed. Development of planar semiconductor devices such as Gunn and Schottky diodes revolutionised mixer performance and provided considerable improvement. The next major breakthrough was high frequency transistors based on gallium arsenide which were initially used at intermediate frequencies but later after further development at millimeter wave frequencies. More recently Monolithic Microwave Integrated circuits(MMICs) offer exceptional performance and the opportunity for innovative design in passive imaging systems. In the future the use of micro and nano technology will continue to drive system performance and we can expect to see integration of antennae, millimetre wave and sub millimetre wave circuits and signal processing.

  18. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  19. Differential effect of hydroxyapatite nano-particle versus nano-rod decorated titanium micro-surface on osseointegration.

    PubMed

    Bai, Long; Liu, Yanlian; Du, Zhibin; Weng, Zeming; Yao, Wei; Zhang, Xiangyu; Huang, Xiaobo; Yao, Xiaohong; Crawford, Ross; Hang, Ruiqiang; Huang, Di; Tang, Bin; Xiao, Yin

    2018-06-15

    Coating materials applied for intraosseous implants must be optimized to stimulate osseointegration. Osseointegration is a temporal and spatial physiological process that not only requires interactions between osteogenesis and angiogenesis but also necessitates a favorable immune microenvironment. It is now well-documented that hierarchical nano-micro surface structures promote the long-term stability of implants, the interactions between nano-micro structure and the immune response are largely unknown. Here, we report the effects of microporous titanium (Ti) surfaces coated with nano-hydroxyapatite (HA) produced by micro-arc oxidation and steam-hydrothermal treatment (SHT) on multiple cell behavior and osseointegration. By altering the processing time of SHT it was possible to shift HA structures from nano-particles to nano-rods on the microporous Ti surfaces. Ti surfaces coated with HA nano-particles were found to modulate the inflammatory response resulting in an osteoimmune microenvironment more favorable for osteo-/angio-genesis, most likely via the activation of certain key signaling pathways (TGF-β, OPG/RANKL, and VEGF). By contrast, Ti surfaces coated with nano-rod shaped HA particles had a negative impact on osteo-/angio-genesis and osteoimmunomodulation. In vivo results further demonstrated that Ti implant surfaces decorated with HA nano-particles can stimulate new bone formation and osseointegration with enhanced interaction between osteocytes and implant surfaces. This study demonstrated that Ti implants with micro-surfaces coated with nano-particle shaped HA have a positive impact on osseointegration. Osteo-/angio-genesis are of importance during osteointegration of the implants. Recent advances unravel that immune response of macrophages and its manipulated osteoimmunomodulation also exerts a pivotal role to determine the fate of the implant. Surface nano-micro modification has evidenced to be efficient to influence osteogenesis, however, little is

  20. Lateral spin valves with two-different Heusler-alloy electrodes on the same platform

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oki, S.; Yamada, S.; Tanikawa, K.

    2013-11-18

    Using room-temperature molecular beam epitaxy on Si(111), we demonstrate Heusler-alloy bilayers consisting of L2{sub 1}-Co{sub 2}FeSi (CFS) and D0{sub 3}-Fe{sub 3}Si (FS). By fabricating lateral spin valves with L2{sub 1}-CFS and D0{sub 3}-FS electrodes, we can see ideal spin signals even though we use one L2{sub 1}-CFS as a spin injector and another D0{sub 3}-FS as a spin detector. The difference in the spin absorption between L2{sub 1}-CFS and D0{sub 3}-FS can also be examined, and we find that the spin resistance of D0{sub 3}-FS is larger than that of L2{sub 1}-CFS. This work will be useful for understanding spinmore » transport in lateral spin-valve devices with different Heusler-alloy electrodes.« less

  1. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  2. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  3. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    NASA Astrophysics Data System (ADS)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  4. Multiple period s-p hybridization in nano-strip embedded photonic crystal.

    PubMed

    Han, Seunghoon; Lee, Il-Min; Kim, Hwi; Lee, Byoungho

    2005-04-04

    We report and analyze hybridization of s-state and p-state modes in photonic crystal one-dimensional defect cavity array. When embedding a nano-strip into a dielectric rod photonic crystal, an effective cavity array is made, where each cavity possesses two cavity modes: s-state and p-state. The two modes are laterally even versus the nano-strip direction, and interact with each other, producing defect bands, of which the group velocity becomes zero within the first Brillouin zone. We could model and describe the phenomena by using the tight-binding method, well agreeing with the plane-wave expansion method analysis. We note that the reported s- and p-state mode interaction corresponds to the hybridization of atomic orbital in solid-state physics. The concept of multiple period s-p hybridization and the proposed model can be useful for analyzing and developing novel photonic crystal waveguides and devices.

  5. Ferroelectric properties of YMnO3 epitaxial films for ferroelectric-gate field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ito, Daisuke; Fujimura, Norifumi; Yoshimura, Takeshi; Ito, Taichiro

    2003-05-01

    Ferroelectric properties of YMnO3 epitaxial films were studied. The ferroelectric properties of epitaxially grown (0001) YMnO3 films on (111)Pt/(0001)sapphire (epi-YMO/Pt) with an excellent crystallinity were compared to (0001)-oriented poly crystalline films on (111)Pt/ZrO2/SiO2/Si. The epi-YMO/Pt had saturated polarization-electric-field (P-E) hysteresis loops, with a remanent polarization (Pr) of 1.7 μC/cm2 and a coercive field (Ec) of 80 kV/cm. The fatigue property showed no degradation up to 1010 measured cycles. These results suggested that the YMnO3 epitaxial films were suitable ferroelectric material for the ferroelectric-gate field-effect transistors. Consequently, epitaxially grown (0001)YMnO3 films on epitaxial Y2O3/Si (epi-YMO/Si) were fabricated. The epi-YMO/Si capacitor had almost equivalent crystallinity compared to epi-YMO/Pt. It was recognized that the epi-YMO/Si capacitor exhibited the ferroelectric type C-V hysteresis loop with the width of the memory window of 4.8 V, which was almost identical to the value of twice coercive voltage of the P-E hysteresis loops of the epi-YMO/Pt. A retention time exceeding 104 s was obtained in the epi-YMO/Si capacitor.

  6. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  7. Domain structure of epitaxial SrRu O3 thin films

    NASA Astrophysics Data System (ADS)

    Herranz, G.; Sánchez, F.; Fontcuberta, J.; García-Cuenca, M. V.; Ferrater, C.; Varela, M.; Angelova, T.; Cros, A.; Cantarero, A.

    2005-05-01

    Growth of multidomains in epitaxial thin-film oxides is known to have a detrimental effect on some functional properties, and, thus, efforts are done to suppress them. It is commonly accepted that optimal properties of the metallic and ferromagnetic SrRuO3 (SRO) epitaxies can only be obtained if vicinal SrTiO3 (001) (STO) substrates are used. It is believed that this results from the suppression of multidomain structure in the SRO film. Here we revise this important issue. Nanometric films of SRO have been grown on STO(001) vicinal substrates with miscut (θV) angles in the ˜0.04°-4° range. Extensive structural analysis by x-ray-reciprocal space maps and μ -Raman spectroscopy indicates that single-domain, orthorhombic, SRO films are already obtained on the almost singular (θV≈0.1°) substrate, and, thus, substrates with large miscut angles are not required to grow twin-free films. In spite of this, transport properties are found to be optimized for films grown on vicinal substrates (θV⩾2°) . We claim that this is the result of the change of the growth mode and the resulting film morphology rather than the change of the domain structure. These findings drive the attention to the relevance of the growth mechanism at the initial stages of film growth, and we discuss its implications in other areas of oxide epitaxies. Moreover, we show that in clamped epitaxies on cubic substrates, in spite of isotropic biaxial substrate-induced strains, films may have an in-plane orthorhombic symmetry which results from the internal degree of freedom defined by rotations of the oxygen octahedrons.

  8. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    NASA Technical Reports Server (NTRS)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  9. Formation of Au nano-patterns on various substrates using simplified nano-transfer printing method

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Woo; Yang, Ki-Yeon; Hong, Sung-Hoon; Lee, Heon

    2008-06-01

    For future device applications, fabrication of the metal nano-patterns on various substrates, such as Si wafer, non-planar glass lens and flexible plastic films become important. Among various nano-patterning technologies, nano-transfer print method is one of the simplest techniques to fabricate metal nano-patterns. In nano-transfer printing process, thin Au layer is deposited on flexible PDMS mold, containing surface protrusion patterns, and the Au layer is transferred from PDMS mold to various substrates due to the difference of bonding strength of Au layer to PDMS mold and to the substrate. For effective transfer of Au layer, self-assembled monolayer, which has strong bonding to Au, is deposited on the substrate as a glue layer. In this study, complicated SAM layer coating process was replaced to simple UV/ozone treatment, which can activates the surface and form the -OH radicals. Using simple UV/ozone treatments on both Au and substrate, Au nano-pattern can be successfully transferred to as large as 6 in. diameter Si wafer, without SAM coating process. High fidelity transfer of Au nano-patterns to non-planar glass lens and flexible PET film was also demonstrated.

  10. Pinhole-free growth of epitaxial CoSi.sub.2 film on Si(111)

    NASA Technical Reports Server (NTRS)

    Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor); Grunthaner, Paula J. (Inventor)

    1991-01-01

    Pinhole-free epitaxial CoSi.sub.2 films (14') are fabricated on (111)-oriented silicon substrates (10) with a modified solid phase epitaxy technique which utilizes (1) room temperature stoichiometric (1:2) codeposition of Co and Si followed by (2) room temperature deposition of an amorphous silicon capping layer (16), and (3) in situ annealing at a temperature ranging from about 500.degree. to 750.degree. C.

  11. Site-Competition Epitaxy for N-Type and P-Type Dopant Control in CVD Sic Epilayers

    NASA Technical Reports Server (NTRS)

    Larkin, D. J.

    1995-01-01

    The use of site-competition epitaxy, which is based on intentional variation of the Si/C ratio during epitaxy, has now been reproduced in numerous national and international laboratories. However, previous reports have only considered dopant incorporation control for epitaxy on the Si-face 6H-SiC(OOO1) substrates. Presented in this paper is the extension of this technique for control of phosphorous incorporation and also a comparison of controlled doping on C-face 6H-SiC(OOO1) versus Si-face 6H-SiC(OOO1) substrates for aluminum, boron, nitrogen, and phosphorous.

  12. Decoupling of epitaxial graphene via gold intercalation probed by dispersive Raman spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pillai, P. B., E-mail: p.pillai@sheffield.ac.uk, E-mail: m.desouza@sheffield.ac.uk; DeSouza, M., E-mail: p.pillai@sheffield.ac.uk, E-mail: m.desouza@sheffield.ac.uk; Narula, R.

    Signatures of a superlattice structure composed of a quasi periodic arrangement of atomic gold clusters below an epitaxied graphene (EG) layer are examined using dispersive Raman spectroscopy. The gold-graphene system exhibits a laser excitation energy dependant red shift of the 2D mode as compared to pristine epitaxial graphene. The phonon dispersions in both the systems are mapped using the experimentally observed Raman signatures and a third-nearest neighbour tight binding electronic band structure model. Our results reveal that the observed excitation dependent Raman red shift in gold EG primarily arise from the modifications of the phonon dispersion in gold-graphene and showsmore » that the extent of decoupling of graphene from the underlying SiC substrate can be monitored from the dispersive nature of the Raman 2D modes. The intercalated gold atoms restore the phonon band structure of epitaxial graphene towards free standing graphene.« less

  13. Hybrid bandgap engineering for super-hetero-epitaxial semiconductor materials, and products thereof

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang H. (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2012-01-01

    "Super-hetero-epitaxial" combinations comprise epitaxial growth of one material on a different material with different crystal structure. Compatible crystal structures may be identified using a "Tri-Unity" system. New bandgap engineering diagrams are provided for each class of combination, based on determination of hybrid lattice constants for the constituent materials in accordance with lattice-matching equations. Using known bandgap figures for previously tested materials, new materials with lattice constants that match desired substrates and have the desired bandgap properties may be formulated by reference to the diagrams and lattice matching equations. In one embodiment, this analysis makes it possible to formulate new super-hetero-epitaxial semiconductor systems, such as systems based on group IV alloys on c-plane LaF.sub.3; group IV alloys on c-plane langasite; Group III-V alloys on c-plane langasite; and group II-VI alloys on c-plane sapphire.

  14. Optical and electro-optic anisotropy of epitaxial PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  15. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    PubMed

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  16. Columnar epitaxy of hexagonal and orthorhombic silicides on Si(111)

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; Nieh, C. W.; Xiao, Q. F.; Hashimoto, Shin

    1990-01-01

    Columnar grains of PtSi and CrSi2 surrounded by high-quality epitaxial silicon are obtained by ultrahigh vacuum codeposition of Si and metal in an approximately 10:1 ratio on Si(111) substrates heated to 610-840 C. This result is similar to that found previously for CoSi2 (a nearly-lattice-matched cubic-fluorite crystal) on Si(111), in spite of the respective orthorhombic and hexagonal structures of PtSi and CrSi2. The PtSi grains are epitaxial and have one of three variants of the relation defined by PtSi(010)/Si(111), with PtSi 001 line/Si 110 line type.

  17. NanoStringNormCNV: pre-processing of NanoString CNV data.

    PubMed

    Sendorek, Dorota H; Lalonde, Emilie; Yao, Cindy Q; Sabelnykova, Veronica Y; Bristow, Robert G; Boutros, Paul C

    2018-03-15

    The NanoString System is a well-established technology for measuring RNA and DNA abundance. Although it can estimate copy number variation, relatively few tools support analysis of these data. To address this gap, we created NanoStringNormCNV, an R package for pre-processing and copy number variant calling from NanoString data. This package implements algorithms for pre-processing, quality-control, normalization and copy number variation detection. A series of reporting and data visualization methods support exploratory analyses. To demonstrate its utility, we apply it to a new dataset of 96 genes profiled on 41 prostate tumour and 24 matched normal samples. NanoStringNormCNV is implemented in R and is freely available at http://labs.oicr.on.ca/boutros-lab/software/nanostringnormcnv. paul.boutros@oicr.on.ca. Supplementary data are available at Bioinformatics online.

  18. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  19. Cellular-level surgery using nano robots.

    PubMed

    Song, Bo; Yang, Ruiguo; Xi, Ning; Patterson, Kevin Charles; Qu, Chengeng; Lai, King Wai Chiu

    2012-12-01

    The atomic force microscope (AFM) is a popular instrument for studying the nano world. AFM is naturally suitable for imaging living samples and measuring mechanical properties. In this article, we propose a new concept of an AFM-based nano robot that can be applied for cellular-level surgery on living samples. The nano robot has multiple functions of imaging, manipulation, characterizing mechanical properties, and tracking. In addition, the technique of tip functionalization allows the nano robot the ability for precisely delivering a drug locally. Therefore, the nano robot can be used for conducting complicated nano surgery on living samples, such as cells and bacteria. Moreover, to provide a user-friendly interface, the software in this nano robot provides a "videolized" visual feedback for monitoring the dynamic changes on the sample surface. Both the operation of nano surgery and observation of the surgery results can be simultaneously achieved. This nano robot can be easily integrated with extra modules that have the potential applications of characterizing other properties of samples such as local conductance and capacitance.

  20. Controllable growth of layered selenide and telluride heterostructures and superlattices using molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vishwanath, Suresh; Liu, Xinyu; Rouvimov, Sergei

    2016-01-06

    Layered materials are an actively pursued area of research for realizing highly scaled technologies involving both traditional device structures as well as new physics. Lately, non-equilibrium growth of 2D materials using molecular beam epitaxy (MBE) is gathering traction in the scientific community and here we aim to highlight one of its strengths, growth of abrupt heterostructures, and superlattices (SLs). In this work we present several of the firsts: first growth of MoTe 2 by MBE, MoSe 2 on Bi 2Se 3 SLs, transition metal dichalcogenide (TMD) SLs, and lateral junction between a quintuple atomic layer of Bi 2Te 3 andmore » a triple atomic layer of MoTe 2. In conclusion, reflected high electron energy diffraction oscillations presented during the growth of TMD SLs strengthen our claim that ultrathin heterostructures with monolayer layer control is within reach.« less

  1. Free-standing epitaxial graphene.

    PubMed

    Shivaraman, Shriram; Barton, Robert A; Yu, Xun; Alden, Jonathan; Herman, Lihong; Chandrashekhar, Mvs; Park, Jiwoong; McEuen, Paul L; Parpia, Jeevak M; Craighead, Harold G; Spencer, Michael G

    2009-09-01

    We report on a method to produce free-standing graphene sheets from epitaxial graphene on silicon carbide (SiC) substrate. Doubly clamped nanomechanical resonators with lengths up to 20 microm were patterned using this technique and their resonant motion was actuated and detected optically. Resonance frequencies of the order of tens of megahertz were measured for most devices, indicating that the resonators are much stiffer than expected for beams under no tension. Raman spectroscopy suggests that the graphene is not chemically modified during the release of the devices, demonstrating that the technique is a robust means of fabricating large-area suspended graphene structures.

  2. Self-assembly of vertically aligned quantum ring-dot structure by Multiple Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Elborg, Martin; Noda, Takeshi; Mano, Takaaki; Kuroda, Takashi; Yao, Yuanzhao; Sakuma, Yoshiki; Sakoda, Kazuaki

    2017-11-01

    We successfully grow vertically aligned quantum ring-dot structures by Multiple Droplet Epitaxy technique. The growth is achieved by depositing GaAs quantum rings in a first droplet epitaxy process which are subsequently covered by a thin AlGaAs barrier. In a second droplet epitaxy process, Ga droplets preferentially position in the center indentation of the ring as well as attached to the edge of the ring in [ 1 1 bar 0 ] direction. By designing the ring geometry, full selectivity for the center position of the ring is achieved where we crystallize the droplets into quantum dots. The geometry of the ring and dot as well as barrier layer can be controlled in separate growth steps. This technique offers great potential for creating complex quantum molecules for novel quantum information technologies.

  3. 3D nano-structures for laser nano-manipulation

    PubMed Central

    Seniutinas, Gediminas; Gervinskas, Gediminas; Brasselet, Etienne; Juodkazis, Saulius

    2013-01-01

    Summary The resputtering of gold films from nano-holes defined in a sacrificial PMMA mask, which was made by electron beam lithography, was carried out with a dry plasma etching tool in order to form well-like structures with a high aspect ratio (height/width ≈ 3–4) at the rims of the nano-holes. The extraordinary transmission through the patterns of such nano-wells was investigated experimentally and numerically. By doing numerical simulations of 50-nm and 100-nm diameter polystyrene beads in water and air, we show the potential of such patterns for self-induced back-action (SIBA) trapping. The best trapping conditions were found to be a trapping force of 2 pN/W/μm2 (numerical result) exerted on a 50-nm diameter bead in water. The simulations were based on the analytical Lorentz force model. PMID:24062979

  4. Van der Waals Epitaxy of Functional Oxide Heterostructures

    NASA Astrophysics Data System (ADS)

    Chu, Ying-Hao

    In the diligent pursuit of low-power consumption, multifunctional, and environmentally friendly electronics, more sophisticated requirements on functional materials are on demand. Recently, the discovery of 2D layered materials has created a revolution to this field. Pioneered by graphene, these new 2D materials exhibit abundant unusual physical phenomena that is undiscovered in bulk forms. These materials are characterized with their layer form and almost pure 2D electronic behavior. The confinement of charge and heat transport at such ultrathin planes offers possibilities to overcome the bottleneck of present device development in thickness limitation, and thus push the technologies into next generation. Van der Waals epitaxy, an epitaxial growth method to combine 2D and 3D materials, is one of current reliable manufacturing processes to fabricate 2D materials by growing these 2D materials epitaxially on 3D materials. Then, transferring the 2D materials to the substrates for practical applications. In the mean time, van der Waals epitaxy has also been used to create free-standing 3D materials by growing 3D materials on 2D materials and then removing them from 2D materials since the interfacial boding between 2D and 3D materials should be weak van der Waals bonds. In this study, we intend to take the same concept, but to integrate a family of functional materials in order to open new avenue to flexible electronics. Due to the interplay of lattice, charge, orbital, and spin degrees of freedom, correlated electrons in oxides generate a rich spectrum of competing phases and physical properties. Recently, lots of studies have suggested that oxide heterostructures provide a powerful route to create and manipulate the degrees of freedom and offer new possibilities for next generation devices, thus create a new playground for researchers to investigate novel physics and the emergence of fascinating states of condensed matter. In this talk, we use a 2D layered material as

  5. Synthesis of Silver Embedded Poly(o-Anisidine) Molybdophosphate Nano Hybrid Cation-Exchanger Applicable for Membrane Electrode

    PubMed Central

    Khan, Anish; Khan, Aftab Aslam Parwaz; Asiri, Abdullah M.; Rub, Malik Abdul

    2014-01-01

    Poly(o-anisidine) molybdophosphate was expediently obtained by sol-gel mixing of Poly(o-anisidine) into the inorganic matrices of molybdophosphate, which was allowed to react with silver nitrate to the formation of poly(o-anisidine) molybdophosphate embedded silver nano composite. The composite was characterized by Fourier Transform Infrared Spectroscopy, X-ray powder diffraction, UV-Vis Spectrophotometry, Fluorescence Spectroscopy, Scanning Electron Microscopy/Energy-dispersive X-ray Spectroscopy and Thermogravimertic Analysis. Ion exchange capacity and distribution studies were carried out to understand the ion-exchange capabilities of the nano composite. On the basis of highest distribution studies, this nano composite cation exchanger was used as preparation of heavy metal ion selective membrane. Membrane was characterized for its performance as porosity and swelling later on was used for the preparation of membrane electrode for Hg(II), having better linear range, wide working pH range (2–4.5) with fast response in the real environment. PMID:24805257

  6. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  7. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  8. Sustainable nano-catalysis

    EPA Science Inventory

    A novel nano-catalyst system which bridges the homogenous and heterogeneous system is described that is cheaper, easily accessible (sustainable) and requires no need of catalyst filtration during the work-up. Because of its nano-size, i.e. high surface area, the contact between r...

  9. Instrumentation for Nano-porous, Nano-particulate Geopolymeric Materials Research

    DTIC Science & Technology

    2008-11-04

    and their composites . This grant was used to procure equipment to synthesize and characterize the nano- and meso-porous geopolymers , and study their...and meso-porosity and microstructure of geopolymers and their composites is part of an ongoing research project in the PIs research group, which has...the synthesis and processing of geopolymers and geopolymer composites . The attritor mill enables synthesis Technical Report of nano-sized high

  10. Instrumentation for Nano-porous, Nano-particulate Geopolymeric Materials Research

    DTIC Science & Technology

    2008-11-04

    working on tailoring the nano- and meso-porosity, and the microstructure of geopolymers and their composites . This grant was used to procure equipment...and tailor the nano and meso-porosity and microstructure of geopolymers and their composites is part of an ongoing research project in the Pis...purchased to improve the synthesis and processing of geopolymers and geopolymer composites . The attritor mill enables synthesis Technical Report of

  11. Electrical, thermal, catalytic and magnetic properties of nano-structured materials and their applications

    NASA Astrophysics Data System (ADS)

    Liu, Zuwei

    Nanotechnology is a subject that studies the fabrication, properties, and applications of materials on the nanometer-scale. Top-down and bottom-up approaches are commonly used in nano-structure fabrication. The top-down approach is used to fabricate nano-structures from bulk materials by lithography, etching, and polishing etc. It is commonly used in mechanical, electronic, and photonic devices. Bottom-up approaches fabricate nano-structures from atoms or molecules by chemical synthesis, self-assembly, and deposition, such as sol-gel processing, molecular beam epitaxy (MBE), focused ion beam (FIB) milling/deposition, chemical vapor deposition (CVD), and electro-deposition etc. Nano-structures can have several different dimensionalities, including zero-dimensional nano-structures, such as fullerenes, nano-particles, quantum dots, nano-sized clusters; one-dimensional nano-structures, such as carbon nanotubes, metallic and semiconducting nanowires; two-dimensional nano-structures, such as graphene, super lattice, thin films; and three-dimensional nano-structures, such as photonic structures, anodic aluminum oxide, and molecular sieves. These nano-structured materials exhibit unique electrical, thermal, optical, mechanical, chemical, and magnetic properties in the quantum mechanical regime. Various techniques can be used to study these properties, such as scanning probe microscopy (SPM), scanning/transmission electron microscopy (SEM/TEM), micro Raman spectroscopy, etc. These unique properties have important applications in modern technologies, such as random access memories, display, solar energy conversion, chemical sensing, and bio-medical devices. This thesis includes four main topics in the broad area of nanoscience: magnetic properties of ferro-magnetic cobalt nanowires, plasmonic properties of metallic nano-particles, photocatalytic properties of titanium dioxide nanotubes, and electro-thermal-optical properties of carbon nanotubes. These materials and their

  12. Structural Characterization of Lateral-grown 6H-SiC am-plane Seed Crystals by Hot Wall CVD Epitaxy

    NASA Technical Reports Server (NTRS)

    Goue, Ouloide Yannick; Raghothamachar, Balaji; Dudley, Michael; Trunek, Andrew J.; Neudeck, Philip G.; Woodworth, Andrew A.; Spry, David J.

    2014-01-01

    The performance of commercially available silicon carbide (SiC) power devices is limited due to inherently high density of screw dislocations (SD), which are necessary for maintaining polytype during boule growth and commercially viable growth rates. The NASA Glenn Research Center (GRC) has recently proposed a new bulk growth process based on axial fiber growth (parallel to the c-axis) followed by lateral expansion (perpendicular to the c-axis) for producing multi-faceted m-plane SiC boules that can potentially produce wafers with as few as one SD per wafer. In order to implement this novel growth technique, the lateral homoepitaxial growth expansion of a SiC fiber without introducing a significant number of additional defects is critical. Lateral expansion is being investigated by hot wall chemical vapor deposition (HWCVD) growth of 6H-SiC am-plane seed crystals (0.8mm x 0.5mm x 15mm) designed to replicate axially grown SiC single crystal fibers. The post-growth crystals exhibit hexagonal morphology with approximately 1500 m (1.5 mm) of total lateral expansion. Preliminary analysis by synchrotron white beam x-ray topography (SWBXT) confirms that the growth was homoepitaxial, matching the polytype of the respective underlying region of the seed crystal. Axial and transverse sections from the as grown crystal samples were characterized in detail by a combination of SWBXT, transmission electron microscopy (TEM) and Raman spectroscopy to map defect types and distribution. X-ray diffraction analysis indicates the seed crystal contained stacking disorders and this appears to have been reproduced in the lateral growth sections. Analysis of the relative intensity for folded transverse acoustic (FTA) and optical (FTO) modes on the Raman spectra indicate the existence of stacking faults. Further, the density of stacking faults is higher in the seed than in the grown crystal. Bundles of dislocations are observed propagating from the seed in m-axis lateral directions

  13. Multifunctional carbon nano-paper composite

    NASA Astrophysics Data System (ADS)

    Zhang, Zhichun; Chu, Hetao; Wang, Kuiwen; Liu, Yanjv; Leng, Jinsong

    2013-08-01

    Carbon Nanotube (CNT), for its excellent mechanical, electrical properties and nano size, large special surface physical property, become the most promising material. But carbon nanotube can still fabricated in micro dimension, and can't be made into macro size, so to the carbon nanotube filled composite can't explore the properties of the CNT. Carbon nano-paper is made of pure CNT, with micro pore, and it turn micro sized CNT into macro shaped membrane. Based on the piezo-resistivity and electrical conductivity of the carbon nano-paper, we used the carbon nano-paper as functional layers fabricate functional composite, and studies its strain sensing, composite material deicing and shape memory polymer (SMP) material electric actuation performance. The results shown that the resin can pregnant the nano paper, and there was good bond for nano paper and composite. The functional composite can monitoring the strain with high sensitivity comparing to foil strain gauge. The functional composite can be heated via the carbon nano paper with low power supply and high heating rate. The composite has good deicing and heat actuation performance to composite material. For the good strain sensing, electric conductivity and self-heating character of the carbon nano-paper composite, it can be used for self sensing, anti lightning strike and deicing of composite materials in aircrafts and wind turbine blades.

  14. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  15. Process for forming epitaxial perovskite thin film layers using halide precursors

    DOEpatents

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  16. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  17. Quantum Hall effect in epitaxial graphene with permanent magnets.

    PubMed

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  18. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  19. Cosine (Cobalt Silicide Growth Through Nitrogen-Induced Epitaxy) Process For Epitaxial Cobalt Silicide Formation For High Performance Sha

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Chong Wee; Shin, Chan Soo; Gall, Daniel

    A method for forming an epitaxial cobalt silicide layer on a MOS device includes sputter depositing cobalt in an ambient to form a first layer of cobalt suicide on a gate and source/drain regions of the MOS device. Subsequently, cobalt is sputter deposited again in an ambient of argon to increase the thickness of the cobalt silicide layer to a second thickness.

  20. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  1. Nano-graphene oxide carboxylation for efficient bioconjugation applications: a quantitative optimization approach

    NASA Astrophysics Data System (ADS)

    Imani, Rana; Emami, Shahriar Hojjati; Faghihi, Shahab

    2015-02-01

    A method for carboxylation of graphene oxide (GO) with chloroacetic acid that precisely optimizes and controls the efficacy of the process for bioconjugation applications is proposed. Quantification of COOH groups on nano-graphene oxide sheets (NGOS) is performed by novel colorimetric methylene blue (MB) assay. The GO is synthesized and carboxylated by chloroacetic acid treatment under strong basic condition. The size and morphology of the as-prepared NGOS are characterized by scanning electron microscopy, transmission electron microscopy (TEM), and atomic force microscopy (AFM). The effect of acid to base molar ratio on the physical, chemical, and morphological properties of NGOS is analyzed by Fourier-transformed infrared spectrometry (FTIR), UV-Vis spectroscopy, X-ray diffraction (XRD), AFM, and zeta potential. For evaluation of bioconjugation efficacy, the synthesized nano-carriers with different carboxylation ratios are functionalized by octaarginine peptide sequence (R8) as a biomolecule model containing amine groups. The quantification of attached R8 peptides to graphene nano-sheets' surface is performed with a colorimetric-based assay which includes the application of 2,4,6-Trinitrobenzene sulfonic acid (TNBS). The results show that the thickness and lateral size of nano-sheets are dramatically decreased to 0.8 nm and 50-100 nm after carboxylation process, respectively. X-ray analysis shows the nano-sheets interlaying space is affected by the alteration of chloroacetic acid to base ratio. The MB assay reveals that the COOH groups on the surface of NGOS are maximized at the acid to base ratio of 2 which is confirmed by FTIR, XRD, and zeta potential. The TNBS assay also shows that bioconjugation of the optimized carboxylated NGOS sample with octaarginine peptide is 2.5 times more efficient compared to bare NGOS. The present work provides evidence that treatment of GO by chloroacetic acid under an optimized condition would create a functionalized high surface

  2. Ultrathin IBAD MgO films for epitaxial growth on amorphous substrates and sub-50 nm membranes

    DOE PAGES

    Wang, Siming; Antonakos, C.; Bordel, C.; ...

    2016-11-07

    Here, a fabrication process has been developed for high energy ion beam assisted deposition (IBAD) biaxial texturing of ultrathin (~1 nm) MgO films, using a high ion-to-atom ratio and post-deposition annealing instead of a homoepitaxial MgO layer. These films serve as the seed layer for epitaxial growth of materials on amorphous substrates such as electron/X-ray transparent membranes or nanocalorimetry devices. Stress measurements and atomic force microscopy of the MgO films reveal decreased stress and surface roughness, while X-ray diffraction of epitaxial overlayers demonstrates the improved crystal quality of films grown epitaxially on IBAD MgO. The process simplifies the synthesis ofmore » IBAD MgO, fundamentally solves the “wrinkle” issue induced by the homoepitaxial layer on sub-50 nm membranes, and enables studies of epitaxial materials in electron/X-ray transmission and nanocalorimetry.« less

  3. NanoTopoChip: High-throughput nanotopographical cell instruction.

    PubMed

    Hulshof, Frits F B; Zhao, Yiping; Vasilevich, Aliaksei; Beijer, Nick R M; de Boer, Meint; Papenburg, Bernke J; van Blitterswijk, Clemens; Stamatialis, Dimitrios; de Boer, Jan

    2017-10-15

    Surface topography is able to influence cell phenotype in numerous ways and offers opportunities to manipulate cells and tissues. In this work, we develop the Nano-TopoChip and study the cell instructive effects of nanoscale topographies. A combination of deep UV projection lithography and conventional lithography was used to fabricate a library of more than 1200 different defined nanotopographies. To illustrate the cell instructive effects of nanotopography, actin-RFP labeled U2OS osteosarcoma cells were cultured and imaged on the Nano-TopoChip. Automated image analysis shows that of many cell morphological parameters, cell spreading, cell orientation and actin morphology are mostly affected by the nanotopographies. Additionally, by using modeling, the changes of cell morphological parameters could by predicted by several feature shape parameters such as lateral size and spacing. This work overcomes the technological challenges of fabricating high quality defined nanoscale features on unprecedented large surface areas of a material relevant for tissue culture such as PS and the screening system is able to infer nanotopography - cell morphological parameter relationships. Our screening platform provides opportunities to identify and study the effect of nanotopography with beneficial properties for the culture of various cell types. The nanotopography of biomaterial surfaces can be modified to influence adhering cells with the aim to improve the performance of medical implants and tissue culture substrates. However, the necessary knowledge of the underlying mechanisms remains incomplete. One reason for this is the limited availability of high-resolution nanotopographies on relevant biomaterials, suitable to conduct systematic biological studies. The present study shows the fabrication of a library of nano-sized surface topographies with high fidelity. The potential of this library, called the 'NanoTopoChip' is shown in a proof of principle HTS study which

  4. In situ fabrication of quasi-free-standing epitaxial graphene nanoflakes on gold.

    PubMed

    Leicht, Philipp; Zielke, Lukas; Bouvron, Samuel; Moroni, Riko; Voloshina, Elena; Hammerschmidt, Lukas; Dedkov, Yuriy S; Fonin, Mikhail

    2014-04-22

    Addressing the multitude of electronic phenomena theoretically predicted for confined graphene structures requires appropriate in situ fabrication procedures yielding graphene nanoflakes (GNFs) with well-defined geometries and accessible electronic properties. Here, we present a simple strategy to fabricate quasi-free-standing GNFs of variable sizes, performing temperature programmed growth of graphene flakes on the Ir(111) surface and subsequent intercalation of gold. Using scanning tunneling microscopy (STM), we show that epitaxial GNFs on a perfectly ordered Au(111) surface are formed while maintaining an unreconstructed, singly hydrogen-terminated edge structure, as confirmed by the accompanying density functional theory (DFT) calculations. Using tip-induced lateral displacement of GNFs, we demonstrate that GNFs on Au(111) are to a large extent decoupled from the Au(111) substrate. The direct accessibility of the electronic states of a single GNF is demonstrated upon analysis of the quasiparticle interference patterns obtained by low-temperature STM. These findings open up an interesting playground for diverse investigations of graphene nanostructures with possible implications for device fabrication.

  5. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers.

    PubMed

    Jana, Dipankar; Porwal, S; Sharma, T K; Kumar, Shailendra; Oak, S M

    2014-04-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates.

  6. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  7. Growth of high-quality AlN epitaxial film by optimizing the Si substrate surface

    NASA Astrophysics Data System (ADS)

    Huang, Liegen; Li, Yuan; Wang, Wenliang; Li, Xiaochan; zheng, Yulin; Wang, Haiyan; Zhang, Zichen; Li, Guoqiang

    2018-03-01

    High-quality AlN epitaxial films have been grown on Si substrates by optimizing the hydrofluoric acid (HF) solution for cleaning of Si substrates. Effect of the Si substrate surface on the surface morphology and structural property of AlN epitaxial films is investigated in detail. It is revealed that as the concentration of HF solution increases from 0 to 2.0%, the surface morphology and the crystalline quality are initially improved and then get worse, and show an optimized value at 1.5%. The as-grown ∼200 nm-thick AlN epitaxial films on Si substrates grown with HF solution of 1.5% reveal the root-mean-square (RMS) surface roughness of 0.49 nm and the full-width at half-maximum for AlN(0002) X-ray rocking curve of 0.35°, indicating the smooth surface morphology and the high crystalline quality. The corresponding mechanism is proposed to interpret the effect of Si substrate surface on surface morphology and structural property of AlN epitaxial films, and provides an effective approach for the perspective fabrication of AlN-based devices.

  8. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  9. Epitaxy of advanced nanowire quantum devices

    NASA Astrophysics Data System (ADS)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  10. Photovoltaic cell with nano-patterned substrate

    DOEpatents

    Cruz-Campa, Jose Luis; Zhou, Xiaowang; Zubia, David

    2016-10-18

    A photovoltaic solar cell comprises a nano-patterned substrate layer. A plurality of nano-windows are etched into an intermediate substrate layer to form the nano-patterned substrate layer. The nano-patterned substrate layer is positioned between an n-type semiconductor layer composed of an n-type semiconductor material and a p-type semiconductor layer composed of a p-type semiconductor material. Semiconductor material accumulates in the plurality of nano-windows, causing a plurality of heterojunctions to form between the n-type semiconductor layer and the p-type semiconductor layer.

  11. Aligned Layers of Silver Nano-Fibers.

    PubMed

    Golovin, Andrii B; Stromer, Jeremy; Kreminska, Liubov

    2012-02-01

    We describe a new dichroic polarizers made by ordering silver nano-fibers to aligned layers. The aligned layers consist of nano-fibers and self-assembled molecular aggregates of lyotropic liquid crystals. Unidirectional alignment of the layers is achieved by means of mechanical shearing. Aligned layers of silver nano-fibers are partially transparent to a linearly polarized electromagnetic radiation. The unidirectional alignment and density of the silver nano-fibers determine degree of polarization of transmitted light. The aligned layers of silver nano-fibers might be used in optics, microwave applications, and organic electronics.

  12. Large-area growth of multi-layer hexagonal boron nitride on polished cobalt foils by plasma-assisted molecular beam epitaxy

    PubMed Central

    Xu, Zhongguang; Tian, Hao; Khanaki, Alireza; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin

    2017-01-01

    Two-dimensional (2D) hexagonal boron nitride (h-BN), which has a similar honeycomb lattice structure to graphene, is promising as a dielectric material for a wide variety of potential applications based on 2D materials. Synthesis of high-quality, large-size and single-crystalline h-BN domains is of vital importance for fundamental research as well as practical applications. In this work, we report the growth of h-BN films on mechanically polished cobalt (Co) foils using plasma-assisted molecular beam epitaxy. Under appropriate growth conditions, the coverage of h-BN layers can be readily controlled by growth time. A large-area, multi-layer h-BN film with a thickness of 5~6 nm is confirmed by Raman spectroscopy, scanning electron microscopy, X-ray photoelectron spectroscopy and transmission electron microscopy. In addition, the size of h-BN single domains is 20~100 μm. Dielectric property of as-grown h-BN film is evaluated by characterization of Co(foil)/h-BN/Co(contact) capacitor devices. Breakdown electric field is in the range of 3.0~3.3 MV/cm, which indicates that the epitaxial h-BN film has good insulating characteristics. In addition, the effect of substrate morphology on h-BN growth is discussed regarding different domain density, lateral size, and thickness of the h-BN films grown on unpolished and polished Co foils. PMID:28230178

  13. Self-aligned periodic Ni nano dots embedded in nano-oxide layer

    NASA Astrophysics Data System (ADS)

    Doi, M.; Izumi, M.; Kawasaki, S.; Miyake, K.; Sahashi, M.

    The Ni nano constriction dots embedded in the Ta-nano-oxide layer (NOL) was prepared by the ion beam sputtering (IBS) method. After the various conditions of the oxidations, the structural analyses of the NOL were performed by RHEED, AES and in situ STM/AFM observations. From the current image of the conductive AFM for NOL, the periodically aligned metallic dots with the size around 5-10 nm were successfully observed. The mechanism of the formation of the self-organized aligned Ni nano constriction dots is discussed from the standpoint of the grain size, the crystal orientation, the preferred oxidation of Ta at the diffused interface.

  14. Nanostructural origin of semiconductivity and large magnetoresistance in epitaxial NiCo2O4/Al2O3 thin films

    NASA Astrophysics Data System (ADS)

    Zhen, Congmian; Zhang, XiaoZhe; Wei, Wengang; Guo, Wenzhe; Pant, Ankit; Xu, Xiaoshan; Shen, Jian; Ma, Li; Hou, Denglu

    2018-04-01

    Despite low resistivity (~1 mΩ cm), metallic electrical transport has not been commonly observed in inverse spinel NiCo2O4, except in certain epitaxial thin films. Previous studies have stressed the effect of valence mixing and the degree of spinel inversion on the electrical conduction of NiCo2O4 films. In this work, we studied the effect of nanostructural disorder by comparing the NiCo2O4 epitaxial films grown on MgAl2O4 (1 1 1) and on Al2O3 (0 0 1) substrates. Although the optimal growth conditions are similar for the NiCo2O4 (1 1 1)/MgAl2O4 (1 1 1) and the NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, they show metallic and semiconducting electrical transport, respectively. Post-growth annealing decreases the resistivity of NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, but the annealed films are still semiconducting. While the semiconductivity and the large magnetoresistance in NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films cannot be accounted for in terms of non-optimal valence mixing and spinel inversion, the presence of anti-phase boundaries between nano-sized crystallites, generated by the structural mismatch between NiCo2O4 and Al2O3, may explain all the experimental observations in this work. These results reveal nanostructural disorder as being another key factor for controlling the electrical transport of NiCo2O4, with potentially large magnetoresistance for spintronics applications.

  15. Nano-JASMINE Data Analysis and Publication

    NASA Astrophysics Data System (ADS)

    Yamada, Y.; Hara, T.; Yoshioka, S.; Kobayashi, Y.; Gouda, N.; Miyashita, H.; Hatsutori, Y.; Lammers, U.; Michalik, D.

    2012-09-01

    The core data reduction for the Nano-JASMINE mission is planned to be done with Gaia's Astrometric Global Iterative Solution (AGIS). A collaboration between the Gaia AGIS and Nano-JASMINE teams on the Nano-JASMINE data reduction started in 2007. The Nano-JASMINE team writes codes to generate AGIS input, and this is called Initial Data Treament (IDT). Identification of observed stars and their observed field of view, getting color index, are different from those of Gaia because Nano-JASMINE is ultra small satellite. For converting centroiding results on detector to the celestial sphere, orbit and attitude data of the satellite are used. In Nano-JASMINE, orbit information is derived from on board GPS data and attitude is processed from on-board star sensor data and on-ground Kalman filtering. We also show the Nano-JASMINE goals, status of the data publications and utilizations, and introduce the next Japanese space astrometric mission.

  16. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  17. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    NASA Astrophysics Data System (ADS)

    Yang, Y.-B.; Seewald, L.; Mohanty, Dibyajyoti; Wang, Y.; Zhang, L. H.; Kisslinger, K.; Xie, Weiyu; Shi, J.; Bhat, I.; Zhang, Shengbai; Lu, T.-M.; Wang, G.-C.

    2017-08-01

    Single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (∼21-55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [1 bar2 1 bar]CdTe//[ 1 bar100]CdS//[010]mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. The use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.

  18. Applications of Nano palm oil fuel ash and Nano fly ash in concrete

    NASA Astrophysics Data System (ADS)

    Hamada, Hussein M.; Jokhio, Gul Ahmed; Mat Yahaya, Fadzil; Humada, Ali M.

    2018-04-01

    This paper discusses the applications of Nano waste materials including palm oil fuel ash and fly ash in the concrete production. The implementation of nanotechnology has been instrumental in the development of significant interest among the stakeholders to improve the mechanical and chemical properties of materials involved in the production of concrete. Although many researchers have shown the potential of nanomaterials to increase strength and durability of concrete and improve its physical and chemical properties, there is still a knowledge gap regarding the preparation of Nano waste materials from agricultural waste to use as cement replacement instead of non-renewable materials. Therefore, it should be focused on to study Nano- waste materials to benefit from these characteristics during preparation of concrete mixtures. Therefore, this paper highlights the potential of waste materials in the Nano size to partially replace cement in concrete and achieve the same or better result than the traditional concrete. This paper recommends to conduct further experimental works to improve the concrete material properties by investigating the properties of waste materials in Nano size.

  19. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  20. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  1. Comparative study of textured and epitaxial ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Wrobel, J. M.; Jeong, H. M.; Miceli, P. F.; White, H. W.

    2000-06-01

    ZnO films were synthesized by pulsed laser deposition (PLD) on GaAs and α-Al 2O 3 substrates. The properties of ZnO films on GaAs and α-Al 2O 3 have been investigated to determine the differences between epitaxial and textured ZnO films. ZnO films on GaAs show very strong emission features associated with exciton transitions as do ZnO films on α-Al 2O 3, while the crystalline structural qualities for ZnO films on α-Al 2O 3 are much better than those for ZnO films on GaAs. The properties of ZnO films are studied by comparing highly oriented, textured ZnO films on GaAs with epitaxial ZnO films on α-Al 2O 3 synthesized along the c-axis.

  2. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  3. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE PAGES

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R; ...

    2017-08-12

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  4. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  5. The effect of brushing with nano calcium carbonate and calcium carbonate toothpaste on the surface roughness of nano-ionomer

    NASA Astrophysics Data System (ADS)

    Anisja, D. H.; Indrani, D. J.; Herda, E.

    2017-08-01

    Nanotechnology developments in dentistry have resulted in the development of nano-ionomer, a new restorative material. The surface roughness of restorative materials can increase bacteria adhesion and lead to poor oral hygiene. Abrasive agents in toothpaste can alter tooth and restorative material surfaces. The aim of this study is to identify the effect of brushing with nano calcium carbonate, and calcium carbonate toothpaste on surface roughness of nano-ionomer. Eighteen nano-ionomer specimens were brushed with Aquabidest (doubledistilled water), nano calcium carbonate and calcium carbonate toothpaste. Brushing lasted 30 minutes, and the roughness value (Ra) was measured after each 10 minute segment using a surface roughness tester. The data was analyzed using repeated ANOVA and one-way ANOVA test. The value of nano-ionomer surface roughness increased significantly (p<0.05) after 20 minutes of brushing with the nano calcium carbonate toothpaste. Brushing with calcium carbonate toothpaste leaves nano-ionomer surfaces more rugged than brushing with nano calcium carbonate toothpaste.

  6. Chemical beam epitaxy for high efficiency photovoltaic devices

    NASA Technical Reports Server (NTRS)

    Bensaoula, A.; Freundlich, A.; Vilela, M. F.; Medelci, N.; Renaud, P.

    1994-01-01

    InP-based multijunction tandem solar cells show great promise for the conversion efficiency (eta) and high radiation resistance. InP and its related ternary and quanternary compound semiconductors such as InGaAs and InGaAsP offer desirable combinations for energy bandgap values which are very suitable for multijunction tandem solar cell applications. The monolithically integrated InP/In(0.53)Ga(0.47)As tandem solar cells are expected to reach efficiencies above 30 percent. Wanlass, et.al., have reported AMO efficiencies as high as 20.1% for two terminal cells fabricated using atmospheric-pressure metalorganic vapor phase epitaxy (APMOVPE). The main limitations in their technique are first related to the degradation of the intercell ohmic contact (IOC), in this case the In(0.53)Ga(0.47)As tunnel junction during the growth of the top InP subcell structure, and second to the current matching, often limited by the In(0.53)Ga(0.47)As bottom subcell. Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450 C - 530 C). In a recent report it was shown that cost-wise CBE is a breakthrough technology for photovoltaic (PV) solar energy progress in the energy conversion efficiency of InP-based solar cells fabricated using chemical beam epitaxy. This communication summarizes our recent results on PV devices and demonstrates the strength of this new technology.

  7. Instrumentation for Epitaxial Growth of Complex Oxides

    DTIC Science & Technology

    2015-12-17

    synthesis of complex oxide heterostructures. A RF oxygen plasma source was acquired to increase the oxidizing ability of the growth environment, an...improvement that will prove critical in stabilizing materials with high oxidization states. The plasma source and accompanying electronics were purchased...2014 14-Aug-2015 Approved for Public Release; Distribution Unlimited Final Report: Instrumentation for Epitaxial Growth of Complex Oxides The views

  8. Structural Properties of Alternate Monatomic Layered [Fe/Co]n Epitaxial Films on MgO Substrate

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Saki, Yoshinobu; Kawasaki, Shohei; Doi, Masaaki; Sahashi, Masashi

    2008-06-01

    Body-centered-cubic (bcc) Fe50Co50 material is reported to show a high bulk spin scattering coefficient on current perpendicular to plane-giant magneto-resistance (CPP-GMR) system. But the origin of that phenomenon does not make sure yet. We prepared artificially alternate monatomic layered (AML) [Fe/Co] 41 MLs epitaxial films (Ts: 75, 250 °C) by monatomic deposition method and investigated the topology of AML [Fe/Co]n epitaxial films on MgO substrate with different orientation (001), (011) by the scanning tunnel microscopy (STM) and reflection high energy electron diffraction (RHEED), which we could confirm Frank-van der Merwe (FM) growth mode for AML [Fe/Co]n on MgO(001) and Volmer-Weber (VW) growth mode for that on Mg(011). The roughness of surface, Ra (0.20 nm) of AML [Fe/Co] 41 MLs epitaxial film grown at 75 °C on MgO(001) is smaller than that (0.46 nm) of AML [Fe/Co] grown at 250 °C on MgO(001), which has the large terraces of over 50 nm (Ra: 0.17 nm), even though there are some valleys between large terraces. Moreover we confirmed the structural properties of trilayered epitaxial films with AML [Fe/Co]n (Ra: 0.18 nm) and Fe50Co50 alloy epitaxial film on Au electrode by RHEED before confirming the characteristics of CPP-GMR devices.

  9. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  10. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    NASA Astrophysics Data System (ADS)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  11. Applications of Nano-optics.

    PubMed

    Zhou, Changhe; Fainman, Yeshaiahu; Sheng, Yunlong

    2011-11-01

    As nanoscale fabrication techniques advance, nano-optics continues to offer enabling solutions to numerous practical applications for information optics. This Applied Optics feature issue focuses on the Application of Nano-optics. © 2011 Optical Society of America

  12. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2015-01-06

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  13. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2013-02-19

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  14. Research on surface modification of nano-zirconia

    NASA Astrophysics Data System (ADS)

    Chen, Wen; Zhang, Cun-Lin; Yang, Xiao-Yi

    2005-02-01

    The mechanisms about the aggregation and dispersibility of nano-zirconia were analyzed in detail. And nano-zirconia powders which were surface-modified with silane coupling reagent WD70 were prepared in order to disperse homogeneously in ethanol in this investigation. The grain size and grain phase of nano-zirconia were obtained by XRD. Research and characterization on the structure and surface characteristic of surface-modified nano-zirconia were achieved by XPS, TG-DSC, TEM and FT-IR. The results given by FT-IR and XPS showed WD70 was jointed on the surface of nano-zirconia through both physical adsorption and chemical binding after the de-methanol reaction between the methoxyl groups of WD70 and the hydroxy groups on the surface of nano-zirconia. And the corresponding model of surface-modified nano-zirconia was given. The images provided by TEM presented intuitionistic effect of surface modification on the dispersibility of nano-zirconia in ethanol. And TG-DSC analysis ascertained the amount of WD70 that was jointed on the surface of nano-zirconia and the amount was about 6.21 percent.

  15. Increase in the diffusion length of minority carriers in Al{sub x}Ga{sub 1–x}N alloys ({sub x} = 0–0.1) fabricated by ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malin, T. V., E-mail: mal-tv@mail.ru; Gilinsky, A. M.; Mansurov, V. G.

    2015-10-15

    The room-temperature diffusion length of minority carriers in n-Al{sub 0.1}Ga{sub 0.9}N layers grown by ammonia molecular beam epitaxy on sapphire (0001) substrates used in structures for ultraviolet photodetectors is studied. Measurements were performed using the spectral dependence of the photocurrent recorded in a built-in p–n junction for thin samples and using the induced electron-current procedure for films up to 2 µm thick. The results show that the hole diffusion length in n-AlGaN films is 120–150 nm, which is larger than in GaN films grown under similar growth conditions by a factor of 3–4. This result can be associated with themore » larger lateral sizes characteristic of hexagonal columns in AlGaN layers grown by molecular beam epitaxy. No increase in the hole diffusion length is observed for thicker films.« less

  16. PREFACE: Nano- and microfluidics Nano- and microfluidics

    NASA Astrophysics Data System (ADS)

    Jacobs, Karin

    2011-05-01

    The field of nano- and microfluidics emerged at the end of the 1990s parallel to the demand for smaller and smaller containers and channels for chemical, biochemical and medical applications such as blood and DNS analysis [1], gene sequencing or proteomics [2, 3]. Since then, new journals and conferences have been launched and meanwhile, about two decades later, a variety of microfluidic applications are on the market. Briefly, 'the small flow becomes mainstream' [4]. Nevertheless, research in nano- and microfluidics is more than downsizing the spatial dimensions. For liquids on the nanoscale, surface and interface phenomena grow in importance and may even dominate the behavior in some systems. The studies collected in this special issue all concentrate on these type of systems and were part ot the priority programme SPP1164 'Nano- and Microfluidics' of the German Science Foundation (Deutsche Forschungsgemeinschaft, DFG). The priority programme was initiated in 2002 by Hendrik Kuhlmann and myself and was launched in 2004. Friction between a moving liquid and a solid wall may, for instance, play an important role so that the usual assumption of a no-slip boundary condition is no longer valid. Likewise, the dynamic deformations of soft objects like polymers, vesicles or capsules in flow arise from the subtle interplay between the (visco-)elasticity of the object and the viscous stresses in the surrounding fluid and, potentially, the presence of structures confining the flow like channels. Consequently, new theories were developed ( see articles in this issue by Münch and Wagner, Falk and Mecke, Bonthuis et al, Finken et al, Almenar and Rauscher, Straube) and experiments were set up to unambiguously demonstrate deviations from bulk, or 'macro', behavior (see articles in this issue by Wolff et al, Vinogradova and Belyaev, Hahn et al, Seemann et al, Grüner and Huber, Müller-Buschbaum et al, Gutsche et al, Braunmüller et al, Laube et al, Brücker, Nottebrock et al

  17. Silicon Carbide Epitaxial Films Studied by Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Silicon carbide (SiC) holds great potential as an electronic material because of its wide band gap energy, high breakdown electric field, thermal stability, and resistance to radiation damage. Possible aerospace applications of high-temperature, high-power, or high-radiation SiC electronic devices include sensors, control electronics, and power electronics that can operate at temperatures up to 600 C and beyond. Commercially available SiC devices now include blue light-emitting diodes (LED's) and high-voltage diodes for operation up to 350 C, with other devices under development. At present, morphological defects in epitaxially grown SiC films limit their use in device applications. Research geared toward reducing the number of structural inhomogeneities can benefit from an understanding of the type and nature of problems that cause defects. The Atomic Force Microscope (AFM) has proven to be a useful tool in characterizing defects present on the surface of SiC epitaxial films. The in-house High-Temperature Integrated Electronics and Sensors (HTIES) Program at the NASA Lewis Research Center not only extended the dopant concentration range achievable in epitaxial SiC films, but it reduced the concentration of some types of defects. Advanced structural characterization using the AFM was warranted to identify the type and structure of the remaining film defects and morphological inhomogeneities. The AFM can give quantitative information on surface topography down to molecular scales. Acquired, in part, in support of the Advanced High Temperature Engine Materials Technology Program (HITEMP), the AFM had been used previously to detect partial fiber debonding in composite material cross sections. Atomic force microscopy examination of epitaxial SiC film surfaces revealed molecular-scale details of some unwanted surface features. Growth pits propagating from defects in the substrate, and hillocks due, presumably, to existing screw dislocations in the substrates, were

  18. In situ microscopy of rapidly heated nano-Al and nano-Al/WO{sub 3} thermites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sullivan, Kyle T.; Zachariah, Michael R.; Chiou, Wen-An

    2010-09-27

    The initiation and reaction mechanism of nano-Al and nano-Al thermites in rapid heating environments is investigated in this work. A semiconductor-based grid/stage was used, capable of in situ heating of a sample from room temperature to 1473 K, and at a rate of 10{sup 6} K/s, inside an electron microscope. Nano-Al was rapidly heated in a transmission electron microscope, and before and after images indicate that the aluminum migrates through the shell, consistent with a diffusion-based mechanism. A nano-Al/WO{sub 3} composite was then heated in a scanning electron microscope. The results indicate that a reactive sintering mechanism is occurring formore » the nano-Al/WO{sub 3} thermite, as the products are found to be in surface contact and significantly deformed after the heating pulse.« less

  19. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  20. InGaAsP-based uni-travelling carrier photodiode structure grown by solid source molecular beam epitaxy.

    PubMed

    Natrella, Michele; Rouvalis, Efthymios; Liu, Chin-Pang; Liu, Huiyun; Renaud, Cyril C; Seeds, Alwyn J

    2012-08-13

    We report the first InGaAsP-based uni-travelling carrier photodiode structure grown by Solid Source Molecular Beam Epitaxy; the material contains layers of InGaAsP as thick as 300 nm and a 120 nm thick InGaAs absorber. Large area vertically illuminated test devices have been fabricated and characterised; the devices exhibited 0.1 A/W responsivity at 1550 nm, 12.5 GHz -3 dB bandwidth and -5.8 dBm output power at 10 GHz for a photocurrent of 4.8 mA. The use of Solid Source Molecular Beam Epitaxy enables the major issue associated with the unintentional diffusion of zinc in Metal Organic Vapour Phase Epitaxy to be overcome and gives the benefit of the superior control provided by MBE growth techniques without the costs and the risks of handling toxic gases of Gas Source Molecular Beam Epitaxy.

  1. Molecular Beam Epitaxial Growth of Iron Nitrides on Zinc-Blende Gallium Nitride(001)

    NASA Astrophysics Data System (ADS)

    Pak, Jeongihm; Lin, Wenzhi; Chinchore, Abhijit; Wang, Kangkang; Smith, Arthur R.

    2008-03-01

    Iron nitrides are attractive materials for their high magnetic moments, corrosion, and oxidation resistance. We present the successful epitaxial growth of iron nitride on zinc-blende gallium nitride (c-GaN) in order to develop a novel magnetic transition metal nitride/semiconductor system. First, GaN is grown on magnesium oxide (MgO) substrates having (001) orientation using rf N2-plasma molecular beam epitaxy. Then we grow FeN at substrate temperature of ˜ 210 ^oC up to a thickness of ˜ 10.5 nm. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the surface during growth. Initial results suggest that the epitaxial relationship is FeN[001] || GaN[001] and FeN[100] || GaN[100]. Work in progress is to investigate the surface using in-situ scanning tunneling microscopy (STM) to reveal the surface structure at atomic scale, as well as to explore more Fe-rich magnetic phases.

  2. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  3. A novel diamond micro-/nano-machining process for the generation of hierarchical micro-/nano-structures

    NASA Astrophysics Data System (ADS)

    Zhu, Zhiwei; To, Suet; Ehmann, Kornel F.; Xiao, Gaobo; Zhu, Wule

    2016-03-01

    A new mechanical micro-/nano-machining process that combines rotary spatial vibrations (RSV) of a diamond tool and the servo motions of the workpiece is proposed and applied for the generation of multi-tier hierarchical micro-/nano-structures. In the proposed micro-/nano-machining system, the servo motion, as the primary cutting motion generated by a slow-tool-servo, is adopted for the fine generation of the primary surfaces with complex shapes. The RSV, as the tertiary cutting operation, is superimposed on the secondary fundamental rotary cutting motion to construct secondary nano-structures on the primary surface. Since the RSV system generally works at much higher frequencies and motion resolution than the primary and secondary motions, it leads to an inherent hierarchical cutting architecture. To investigate the machining performance, complex micro-/nano-structures were generated and explored by both numerical simulations and actual cutting tests. Rotary vibrations of the diamond tool at a constant rotational distance offer an inherent constant cutting velocity, leading to the ability for the generation of homogeneous micro-/nano-structures with fixed amplitudes and frequencies of the vibrations, even over large-scale surfaces. Furthermore, by deliberately combining the non-resonant three-axial vibrations and the servo motion, the generation of a variety of micro-/nano-structures with complex shapes and with flexibly tunable feature sizes can be achieved.

  4. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE PAGES

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti; ...

    2017-03-31

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  5. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  6. Label it or ban it? Public perceptions of nano-food labels and propositions for banning nano-food applications

    NASA Astrophysics Data System (ADS)

    Chuah, Agnes S. F.; Leong, Alisius D.; Cummings, Christopher L.; Ho, Shirley S.

    2018-02-01

    The future of nano-food largely hinges on public perceptions and willingness to accept this novel technology. The present study utilizes the scientific literacy model and psychometric paradigm as the key theoretical frameworks to examine the factors influencing public support for labeling and banning of nano-food in Singapore. Using data collected from a nationally representative survey of 1001 respondents, the findings demonstrated that attitudes toward technology, preference for natural product, science knowledge, and risk perception were found to substantially affect public support for both labeling and banning of nano-food. Conversely, attention to food safety news on traditional media and attention to nano-news on new media were only associated with public support for labeling of nano-food. Similarly, benefit perception was only significantly associated with public support for banning of nano-food. Theoretically, these findings support the growing body of literature that argues for the significant role played by predispositions, media use, science knowledge, and risk and benefit perceptions on attitude formation toward nano-food. It serves as the pioneering piece to address the aspect of banning in the field of nano-food. Practically, insights drawn from this study could aid relevant stakeholders in enlisting effecting strategies to convey the benefits of nano-food while mitigating the risk perceptions among the public.

  7. Size-dependent nonlinear bending of micro/nano-beams made of nanoporous biomaterials including a refined truncated cube cell

    NASA Astrophysics Data System (ADS)

    Sahmani, S.; Aghdam, M. M.

    2017-12-01

    Morphology and pore size plays an essential role in the mechanical properties as well as the associated biological capability of a porous structure made of biomaterials. The objective of the current study is to predict the Young's modulus and Poisson's ratio of nanoporous biomaterials including refined truncated cube cells based on a hyperbolic shear deformable beam model. Analytical relationships for the mechanical properties of nanoporous biomaterials are given as a function of the refined cell's dimensions. After that, the size dependency in the nonlinear bending behavior of micro/nano-beams made of such nanoporous biomaterials is analyzed using the nonlocal strain gradient elasticity theory. It is assumed that the micro/nano-beam has one movable end under axial compression in conjunction with a uniform distributed lateral load. The Galerkin method together with an improved perturbation technique is employed to propose explicit analytical expression for nonlocal strain gradient load-deflection curves of the micro/nano-beams made of nanoporous biomaterials subjected to uniform transverse distributed load. It is found that through increment of the pore size, the micro/nano-beam will undergo much more deflection corresponding to a specific distributed load due to the reduction in the stiffness of nanoporous biomaterial. This pattern is more prominent for lower value of applied axial compressive load at the free end of micro/nano-beam.

  8. Reactive oxygen species-related activities of nano-iron metal and nano-iron oxides.

    PubMed

    Wu, Haohao; Yin, Jun-Jie; Wamer, Wayne G; Zeng, Mingyong; Lo, Y Martin

    2014-03-01

    Nano-iron metal and nano-iron oxides are among the most widely used engineered and naturally occurring nanostructures, and the increasing incidence of biological exposure to these nanostructures has raised concerns about their biotoxicity. Reactive oxygen species (ROS)-induced oxidative stress is one of the most accepted toxic mechanisms and, in the past decades, considerable efforts have been made to investigate the ROS-related activities of iron nanostructures. In this review, we summarize activities of nano-iron metal and nano-iron oxides in ROS-related redox processes, addressing in detail the known homogeneous and heterogeneous redox mechanisms involved in these processes, intrinsic ROS-related properties of iron nanostructures (chemical composition, particle size, and crystalline phase), and ROS-related bio-microenvironmental factors, including physiological pH and buffers, biogenic reducing agents, and other organic substances. Copyright © 2014. Published by Elsevier B.V.

  9. Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.

    PubMed

    Wilson, M; Barrientos-Palomo, S N; Stevens, P C; Mitchell, N L; Oswald, G; Nagaraja, C M; Badyal, J P S

    2018-01-31

    Plasmachemical deposition is a substrate-independent method for the conformal surface functionalization of solid substrates. Structurally well-defined pulsed plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal-organic frameworks (MOFs) at room temperature. For the case of microporous [Zn (benzene-1,4-dicarboxylate)-(4,4'-bipyridine) 0.5 ] (MOF-508), the MOF-508a polymorph containing two interpenetrating crystal lattice frameworks undergoes orientated Volmer-Weber growth and displays CO 2 gas capture behavior at atmospheric concentrations in proportion to the number of epitaxially grown MOF-508 layers.

  10. Spatial inhomogeneities in Al x Ga1-x N quantum wells induced by the surface morphology of AlN/sapphire templates

    NASA Astrophysics Data System (ADS)

    Zeimer, Ute; Jeschke, Joerg; Mogilatenko, Anna; Knauer, Arne; Kueller, Viola; Hoffmann, Veit; Kuhn, Christian; Simoneit, Tino; Martens, Martin; Wernicke, Tim; Kneissl, Michael; Weyers, Markus

    2015-11-01

    The effects of the template on the optical and structural properties of Al0.75Ga0.25N/Al0.8Ga0.2N multiple quantum well (MQWs) laser active regions have been investigated. The laser structures for optical pumping were grown on planar c-plane AlN/sapphire as well as on thick epitaxially laterally overgrown (ELO) AlN layers on patterned AlN/sapphire. Two ELO AlN/sapphire templates were investigated, one with a miscut of the sapphire surface to the m-direction with an angle of 0.25°, the other with a miscut angle of 0.25° to the sapphire a-direction. The MQWs are studied by atomic force microscopy, plan-view cathodoluminescence (CL) at room temperature and 83 K as well as transmission electron microscopy using high-angle annular dark-field imaging and energy-dispersive x-ray spectroscopy. The results are compared to optical pumping measurements. It was found that the surface morphology of the templates determines the lateral wavelength distribution in the MQWs observed by spectral CL mappings. The lateral wavelength spread is largest for the laser structures grown on ELO AlN with miscut to sapphire a-direction caused by the local variation of the MQW thicknesses and the Ga incorporation at macrosteps on the ELO-AlN. A CL peak wavelength spread of up to 7 nm has been found. The MQWs grown on planar AlN/sapphire templates show a homogeneous wavelength distribution. However, due to the high threading dislocation density and the resulting strong nonradiative recombination, laser operation could not be achieved. The laser structures grown on ELO AlN/sapphire show optically pumped lasing with a record short wavelength of 237 nm.

  11. Epitaxial bain paths and metastable phases of tetragonal iron and manganese

    NASA Astrophysics Data System (ADS)

    Ma, Hong

    2002-04-01

    Epitaxial Bain paths and metastable states of tetragonal Fe and Mn have been studied by first-principles total-energy calculations using the full-potential linearized-augmented-plane-wave method. The main accomplishments are as follows. (1) We have performed the first ever EBP calculation of tetragonal antiferromagnetic (AF) Mn showing that when grown epitaxially on Pd(001), the AF Mn film is strained gamma-Mn, but grown on V(001) the film is strained delta-Mn, which could not be determined using the available crystallographic and elastic data because they were obtained from unstrained states. (2) We have calculated the EBP's of Fe at zero pressure in four magnetic phases, i.e., ferromagnetic (FM), nonmagnetic (NM), type-I antiferromagnetic (AF1), and type-II antiferromagnetic (AF2), which show that the AF2 is the phase of the bulk of epitaxial Fe films on Cu(001) and it is unstable for [110] and [010] shears in the (001) plane, but it can be stabilized by epitaxy on Cu(001). (3)We have unified and simplified the theory of elasticity under hydrostatic pressure p at zero temperature using the Gibbs free energy G, rather than the energy E. The minima of G, but not E, with respect to strains at the equilibrium structure give the zero temperature elastic constants; the stability of a phase at p is then determined by the same Born stability conditions used at p = 0 when applied to the elastic constants from G. The EBP's of FM Fe under hydrostatic pressure show that the bcc phase exists up to 1500 kbar. A bct phase is shown to come into existence at 1300 kbar and becomes stable at 1825 kbar and above. (4) Based on this dissertation research five papers have been published in refereed journals.

  12. Lateral Growth Expansion of 4H/6H-SiC m-plane Pseudo Fiber Crystals by Hot Wall CVD Epitaxy

    NASA Technical Reports Server (NTRS)

    Trunek, Andrew J.; Neudeck, Philip G.; Woodworth, Andrew A.; Powell, J. A.; Spry, David J.; Raghothamachar, Balaji; Dudley, Michael

    2011-01-01

    Lateral expansion of small mixed polytype 4H/6H-SiC slivers were realized by hot wall chemical vapor deposition (HWCVD). Small slivers cut from m-oriented ..11..00.. SiC boule slices containing regions of 4H and 6H SiC were exposed to HWCVD conditions using standard silane/propane chemistry for a period of up to eight hours. The slivers exhibited approximately 1500 microns (1.5 mm) of total lateral expansion. Initial analysis by synchrotron white beam x-ray topography (SWBXT) confirms, that the lateral growth was homoepitaxial, matching the polytype of the respective underlying region of the seed sliver.

  13. Molecular modeling in structural nano-toxicology: interactions of nano-particles with nano-machinery of cells.

    PubMed

    Yanamala, Naveena; Kagan, Valerian E; Shvedova, Anna A

    2013-12-01

    Over the past two decades, nanotechnology has emerged as a key player in various disciplines of science and technology. Some of the most exciting applications are in the field of biomedicine - for theranostics (for combined diagnostic and therapeutic purposes) as well as for exploration of biological systems. A detailed understanding of the molecular interactions between nanoparticles and biological nano-machinery - macromolecules, membranes, and intracellular organelles - is crucial for obtaining adequate information on mechanisms of action of nanomaterials as well as a perspective on the long term effects of these materials and their possible toxicological outcomes. This review focuses on the use of structure-based computational molecular modeling as a tool to understand and to predict the interactions between nanomaterials and nano-biosystems. We review major approaches and provide examples of computational analysis of the structural principles behind such interactions. A rationale on how nanoparticles of different sizes, shape, structure and chemical properties can affect the organization and functions of nano-machinery of cells is also presented. Published by Elsevier B.V.

  14. Growth and characterization of epitaxial silver indium diselenide

    NASA Astrophysics Data System (ADS)

    Pena Martin, Pamela

    Photovoltaics (solar cells) are a key player in the renewable energy frontier, and will become increasingly important as their cost per watt continues to drop, especially if fossil fuel costs increase. One particularly promising photovoltaic technology is based on chalcopyrite-structure semiconductors. Within the chalcopyrite compounds the highest efficiency thin film solar cell absorber material to date is Cu(In,Ga)Se2 (CIGS). While current efficiency records are over 21% for single-junction cells, there is still room for improvement. Replacing some of the Cu with Ag has been shown to be beneficial in CIGS devices. However, the Ag- containing chalcopyrites are still relatively unknown in terms of their growth mechanism, energetics, and surface atomic and electronic properties. These are best inferred through study of epitaxial films, yet they have little mention in literature and have not been the subject of a detailed study. This work describes the growth of epitaxial AgInSe2 (AIS) on GaAs substrates, studying the morphology, structure, and surface properties to understand how growth takes place. It also seeks to experimentally determine the surface electronic and atomic structure at the atomic scale to gain insight into the part of the material that forms the heterojunction that collects photon energy in the device. Finally, this work seeks to compare and contrast these findings with what is known about CIGS to determine where similarities and, more importantly, the differences may lie. This study has found that single phase tetragonal AIS can be epitaxially grown on GaAs, as illustrated by x-ray diffraction (XRD), transmission electron microscope (TEM), and surface morphology data. Like CIGS, the close packed polar (112) planes have the lowest energy. The morphology points to a difference in step dynamics, leading to less faceted, straight edged island shapes compared to CIGS. Epitaxial temperature as a function of growth direction shows a different trend in

  15. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  16. Molecular beam epitaxy growth of SmB6+/-δ thin films

    NASA Astrophysics Data System (ADS)

    Hoffman, Jason; Saleem, Muhammad; Day, James; Bonn, Doug; Hoffman, Jennifer

    SmB6 has emerged as a leading candidate in the search for exotic topological states generated by strong interactions. The synthesis of epitaxial SmB6 thin films presents new avenues to control surface termination, thickness, and strain in this system. In this work, we use molecular beam epitaxy (MBE) to deposit SmB6+/-δ films on insulating (001)-oriented MgO substrates. We use ex-situ x-ray diffraction and magnetotransport measurements to assess the properties of the samples and compare them to previously reported values for single crystals. We also discuss the prospects of using rare-earth substitution to control the correlation strength and alter the topology of the bulk and surface electronic states.

  17. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    PubMed

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  18. Epitaxial crystals of Bi₂Pt₂O₇ pyrochlore through the transformation of δ–Bi₂O₃ fluorite

    DOE PAGES

    Gutiérrez–Llorente, Araceli; Joress, Howie; Woll, Arthur; ...

    2015-03-01

    Bi₂Pt₂O₇ pyrochlore is thought to be one of the most promising oxide catalysts for application in fuel cell technology. Unfortunately, direct film growth of Bi₂Pt₂O₇ has not yet been achieved, owing to the difficulty of oxidizing platinum metal in the precursor material to Pt⁴⁺. In this work, in order to induce oxidation of the platinum, we annealed pulsed laser deposited films consisting of epitaxial δ–Bi₂O₃ and co-deposited, comparatively disordered platinum. We present synchrotron x-ray diffraction results that show the nonuniform annealed films contain the first epitaxial crystals of Bi₂Pt₂O₇. We also visualized the pyrochlore structure by scanning transmission electron microscopy,more » and observed ordered cation vacancies in the epitaxial crystals formed in a bismuth-rich film but not in those formed in a platinum-rich film. The similarity between the δ–Bi₂O₃ and Bi₂Pt₂O₇ structures appears to facilitate the pyrochlore formation. These results provide the only route to date for the formation of epitaxial Bi₂Pt₂O₇.« less

  19. Emitter Choice for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2016-11-21

    High-quality epitaxial CdTe layers with low defect density and high carrier concentration have been demonstrated by several research groups. Nevertheless, one primary challenge for high-performance epitaxial CdTe solar cells is how to choose a suitable emitter partner for the junction formation. The numerical simulations show that a type I heterojunction with small conduction band offset (0.1 eV = ..delta..Ec = 0.3 eV) is necessary to maintain a good cell efficiency even with large interface recombination. Otherwise, a small 'cliff' can assist interface recombination causing smaller Voc, and a large 'spike' (..delta..Ec = 0.4 eV) can impede the photo current andmore » lead to a reduction of JSC and FF. Among the three possible emitters, CdS, CdMgTe, and MgZnO, CdMgTe (with ~30% Mg) and MgZnO (with ~ 20% Mg) are likely to be a better choice since their type-I junction can tolerate a larger density of interface defects.« less

  20. Growth and characterization of III-V epitaxial films

    NASA Astrophysics Data System (ADS)

    Tripathi, A.; Adamski, J.

    1991-11-01

    Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.

  1. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    NASA Astrophysics Data System (ADS)

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W.; Lippert, Thomas; Traversa, Enrico; Kilner, John A.

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  2. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-12-01

    As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic-inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I-V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI2 and CH3NH3I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell.

  3. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    PubMed Central

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic–inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I-V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI2 and CH3NH3I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell. PMID

  4. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy.

    PubMed

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic-inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH 3 NH 3 I) and inorganic halide (B-site: PbI 2 ) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I - V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI 2 and CH 3 NH 3 I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell.

  5. Defect-free fabrication of nano-disk and nano-wire by fusion of bio-template and neutral beam etching

    NASA Astrophysics Data System (ADS)

    Samukawa, S.; Noda, Shuichi; Higo, Akio; Yasuda, Manabu; Wada, Kazumi

    2016-11-01

    We have developed an innovated fabrication technology of Si, GaAs, and Ge nano-structures, i.e., we called defect-free neutral beam etching. The technology has been successfully applied to prototype the quantum nano-disks and nano-wires with ferritin based bio-templates. SEM observation verifies that the designed structures are prototyped. Photoluminescence measurements demonstrates high optical quality of nano-structures based on the technology.

  6. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  7. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  8. Nano-encapsulation of fish oil in nano-liposomes and its application in fortification of yogurt.

    PubMed

    Ghorbanzade, Tahere; Jafari, Seid Mahdi; Akhavan, Sahar; Hadavi, Roxana

    2017-02-01

    Fish oils have many dietary benefits, but due to their strong odors and rapid deterioration, their application in food formulations is limited. For these reasons, nano-liposome was used to nano-encapsulate fish oil in this study and encapsulated fish oil was utilized in fortifying yogurt. Physicochemical properties of produced yogurt including pH, acidity, syneresis, fatty acid composition, peroxide value as well as sensory tests were investigated during three weeks storage at 4°C. Nano-liposome encapsulation resulted in a significant reduction in acidity, syneresis and peroxide value. The results of gas chromatography analyses revealed that after 21days storage, yogurt fortified with nano-encapsulated fish oil had a higher DHA and EPA contents than yogurt containing free fish oil. Overall, the results of this study indicates that adding nano-encapsulated fish oil into yogurt gave closer characteristics to control sample in terms of sensory characteristics than yogurt fortified with free fish oil. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. Superconducting properties of Nb-Cu nano-composites and nano-alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parab, Pradnya, E-mail: pradnyaprb@gmail.com; Kumar, Sanjeev; Bhui, Prabhjyot

    The evolution of the superconducting transition temperature (T{sub c}) in nano-composite and nano-alloys of Nb-Cu, grown by DC magnetron co-sputtering are investigated. Microstructure of these films depends less strongly on the ratio of Nb:Cu but more on the growth temperature. At higher growth temperature, phase separated granular films of Nb and Cu were formed which showed superconducting transition temperatures (T{sub c}) of ~ 7.2±0.5 K, irrespective of the composition. Our results show that this is primarily influenced by the microstructure of the films determined during growth which rules out the superconducting proximity effect expected in these systems. At room temperaturemore » growth, films with nano-scale alloying were obtained at the optimal compositional range of 45-70 atomic% (At%) of Nb. These were also superconducting with a T{sub c} of 3.2 K.« less

  10. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    NASA Astrophysics Data System (ADS)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  11. Mechano-micro/nano systems

    NASA Astrophysics Data System (ADS)

    Horie, Mikio

    2004-10-01

    In recent years, the researches about Micro/Nano Systems are down actively in the bio-medical research fields, DNA research fields, chemical analysis systems fields, etc. In the results, a new materials and new functions in the systems are developed. In this invited paper, Mechano-Micro/Nano Systems, especially, motion systems are introduced. First, the research activities concerning the Mechano-Micro/Nano Systems in the world(MST2003, MEMS2003 and MEMS2004) and in Japan(Researech Projects on Nanotechnology and Materials in Ministry of Education, Culture, Sports, Science and Technology) are shown. Secondary, my research activities are introduced. As my research activities, (1) a comb-drive static actuator for the motion convert mechanisms, (2) a micro-nano fabrication method by use of FAB(Fast Atom Beam) machines, (3) a micro optical mirror manipulator for inputs-outputs optical switches, (4) a miniature pantograph mechanism with large-deflective hinges and links made of plastics are discussed and their performances are explained.

  12. Epitaxial Fe/Y2O3 interfaces as a model system for oxide-dispersion-strengthened ferritic alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Bowden, Mark E.; Wang, Chong M.

    2015-02-01

    The fundamental mechanisms underlying the superior radiation tolerance properties of oxide-dispersion-strengthened ferritic steels and nanostructured ferritic alloys are poorly understood. Thin film heterostructures of Fe/Y2O3 can serve as a model system for fundamental studies of radiation damage. Epitaxial thin films of Y2O3 were deposited by pulsed laser deposition on 8% Y:ZrO2 (YSZ) substrates with (100), (110), and (111) orientation. Metallic Fe was subsequently deposited by molecular beam epitaxy. Characterization by x-ray diffraction and Rutherford backscattering spectrometry in the channeling geometry revealed a degree of epitaxial or axiotaxial ntation for Fe(211) deposited on Y2O3(110)/YSZ(110). In contrast, Fe on Y2O3(111)/YSZ(111) was fullymore » polycrystalline, and Fe on Y2O3(100)/YSZ(100) exhibited out-of-plane texture in the [110] direction with little or no preferential in-plane orientation. Scanning transmission electron microscopy imaging of Fe(211)/Y2O3(110)/YSZ(110) revealed a strongly islanded morphology for the Fe film, with no epitaxial grains visible in the cross-sectional sample. Well-ordered Fe grains with no orientation to the underlying Y2O3 were observed. Well-ordered crystallites of Fe with both epitaxial and non-epitaxial orientations on Y2O3 are a promising model system for fundamental studies of radiation damage phenomena. This is illustrated with preliminary results of He bubble formation following implantation with a helium ion microscope. He bubble formation is shown to preferentially occur at the Fe/Y2O3 interface.« less

  13. Nano-composite materials

    DOEpatents

    Lee, Se-Hee; Tracy, C. Edwin; Pitts, J. Roland

    2010-05-25

    Nano-composite materials are disclosed. An exemplary method of producing a nano-composite material may comprise co-sputtering a transition metal and a refractory metal in a reactive atmosphere. The method may also comprise co-depositing a transition metal and a refractory metal composite structure on a substrate. The method may further comprise thermally annealing the deposited transition metal and refractory metal composite structure in a reactive atmosphere.

  14. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Lourdudoss, Sebastian; Junesand, Carl; Kataria, Himanshu; Metaferia, Wondwosen; Omanakuttan, Giriprasanth; Sun, Yan-Ting; Wang, Zhechao; Olsson, Fredrik

    2017-02-01

    We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.

  15. Synthesis of Multishell Nanoplates by Consecutive Epitaxial Growth of Bi2Se3 and Bi2Te3 Nanoplates and Enhanced Thermoelectric Properties.

    PubMed

    Min, Yuho; Park, Gyeongbae; Kim, Bongsoo; Giri, Anupam; Zeng, Jie; Roh, Jong Wook; Kim, Sang Il; Lee, Kyu Hyoung; Jeong, Unyong

    2015-07-28

    We herein demonstrate the successive epitaxial growth of Bi2Te3 and Bi2Se3 on seed nanoplates for the scalable synthesis of heterostructured nanoplates (Bi2Se3@Bi2Te3) and multishell nanoplates (Bi2Se3@Bi2Te3@Bi2Se3, Bi2Se3@Bi2Te3@Bi2Se3@Bi2Te3). The relative dimensions of the constituting layers are controllable via the molar ratios of the precursors added to the seed nanoplate solution. Reduction of the precursors produces nanoparticles that attach preferentially to the sides of the seed nanoplates. Once attached, the nanoparticles reorganize epitaxially on the seed crystal lattices to form single-crystalline core-shell nanoplates. The nanoplates, initially 100 nm wide, grew laterally to 620 nm in the multishell structure, while their thickness increased more moderately, from 5 to 20 nm. The nanoplates were pelletized into bulk samples by spark plasma sintering and their thermoelectric properties are compared. A peak thermoelectric figure of merit (ZT) ∼0.71 was obtained at 450 K for the bulk of Bi2Se3@Bi2Te3 nanoplates by simultaneous modulation of electronic and thermal transport in the presence of highly dense grain and phase boundaries.

  16. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, Scott A.; Killeen, Kevin P.; Lear, Kevin L.

    1995-01-01

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time.

  17. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, S.A.; Killeen, K.P.; Lear, K.L.

    1995-01-10

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time. 9 figures.

  18. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  19. Nanos genes and their role in development and beyond.

    PubMed

    De Keuckelaere, Evi; Hulpiau, Paco; Saeys, Yvan; Berx, Geert; van Roy, Frans

    2018-06-01

    The hallmark of Nanos proteins is their typical (CCHC) 2 zinc finger motif (zf-nanos). Animals have one to four nanos genes. For example, the fruit fly and demosponge have only one nanos gene, zebrafish and humans have three, and Fugu rubripes has four. Nanos genes are mainly known for their evolutionarily preserved role in germ cell survival and pluripotency. Nanos proteins have been reported to bind the C-terminal RNA-binding domain of Pumilio to form a post-transcriptional repressor complex. Several observations point to a link between the miRNA-mediated repression complex and the Nanos/Pumilio complex. Repression of the E2F3 oncogene product is, indeed, mediated by cooperation between the Nanos/Pumilio complex and miRNAs. Another important interaction partner of Nanos is the CCR4-NOT deadenylase complex. Besides the tissue-specific contribution of Nanos proteins to normal development, their ectopic expression has been observed in several cancer cell lines and various human cancers. An inverse correlation between the expression levels of human Nanos1 and Nanos3 and E-cadherin was observed in several cancer cell lines. Loss of E-cadherin, an important cell-cell adhesion protein, contributes to tumor invasion and metastasis. Overexpression of Nanos3 induces epithelial-mesenchymal transition in lung cancer cell lines partly by repressing E-cadherin. Other than some most interesting data from Nanos knockout mice, little is known about mammalian Nanos proteins, and further research is needed. In this review, we summarize the main roles of Nanos proteins and discuss the emerging concept of Nanos proteins as oncofetal antigens.

  20. Development of novel nano-composite membranes as introduction systems for mass spectrometers: Contrasting nano-composite membranes and conventional inlet systems

    NASA Astrophysics Data System (ADS)

    Miranda, Luis Diego

    This dissertation presents the development of novel nano-composite membranes as introduction systems for mass spectrometers. These nano-composite membranes incorporate anodic aluminum oxide (AAO) membranes as templates that can be used by themselves or modified by a variety of chemical deposition processes. Two types of nano-composite membranes are presented. The first nano-composite membrane has carbon deposited within the pores of an AAO membrane. The second nano-composite membrane is made by coating an AAO membrane with a thin polymer film. The following chapters describe the transmission properties these nano-composite membranes and compare them to conventional mass spectrometry introduction systems. The nano- composite membranes were finally coupled to the inlet system of an underwater mass spectrometer revealing their utility in field deployments.

  1. Dynamic probabilistic material flow analysis of nano-SiO2, nano iron oxides, nano-CeO2, nano-Al2O3, and quantum dots in seven European regions.

    PubMed

    Wang, Yan; Nowack, Bernd

    2018-04-01

    Static environmental exposure assessment models based on material flow analysis (MFA) have previously been used to estimate flows of engineered nanomaterials (ENMs) to the environment. However, such models do not account for changes in the system behavior over time. Dynamic MFA used in this study includes the time-dependent development of the modelling system by considering accumulation of ENMs in stocks and the environment, and the dynamic release of ENMs from nano-products. In addition, this study also included regional variations in population, waste management systems, and environmental compartments, which subsequently influence the environmental release and concentrations of ENMs. We have estimated the flows and release concentrations of nano-SiO 2 , nano-iron oxides, nano-CeO 2 , nano-Al 2 O 3 , and quantum dots in the EU and six geographical sub-regions in Europe (Central Europe, Northern Europe, Southern Europe, Eastern Europe, South-eastern Europe, and Switzerland). The model predicts that a large amount of ENMs are accumulated in stocks (not considering further transformation). For example, in the EU 2040 Mt of nano-SiO 2 are stored in the in-use stock, 80,400 tonnes have been accumulated in sediments and 65,600 tonnes in natural and urban soil from 1990 to 2014. The magnitude of flows in waste management processes in different regions varies because of differences in waste handling. For example, concentrations in landfilled waste are lowest in South-eastern Europe due to dilution by the high amount of landfilled waste in the region. The flows predicted in this work can serve as improved input data for mechanistic environmental fate models and risk assessment studies compared to previous estimates using static models. Copyright © 2018 Elsevier Ltd. All rights reserved.

  2. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition.

    PubMed

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-12-21

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr(2+) act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth.

  3. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition

    PubMed Central

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-01-01

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr2+ act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth. PMID:26710210

  4. Structural and thermoelectric properties of epitaxially grown Bi2Te3 thin films and superlattices

    NASA Astrophysics Data System (ADS)

    Peranio, N.; Eibl, O.; Nurnus, J.

    2006-12-01

    Multi-quantum-well structures of Bi2Te3 are predicted to have a high thermoelectric figure of merit ZT. Bi2Te3 thin films and Bi2Te3/Bi2(Te0.88Se0.12)3 superlattices (SLs) were grown epitaxially by molecular beam epitaxy on BaF2 substrates with periods of 12 and 6nm, respectively. Reflection high-energy electron diffraction confirmed a layer-by-layer growth, x-ray diffraction yielded the lattice parameters and SL periods and proved epitaxial growth. The in-plane transport coefficients were measured and the thin films and SL had power factors between 28 and 35μW /cmK2. The lattice thermal conductivity varied between 1.60W/mK for Bi2Te3 thin films and 1.01W/mK for a 10nm SL. The best figures of merit ZT were achieved for the SL; however, the values are slightly smaller than those in bulk materials. Thin films and superlattices were investigated in plan view and cross section by transmission electron microscopy. In the Bi2Te3 thin film and SL the dislocation density was found to be 2×1010cm-2. Bending of the SL with amplitudes of 30nm (12nm SL) and 15nm (6nm SL) and a wavelength of 400nm was determined. Threading dislocations were found with a density greater than 2×109cm-2. The superlattice interfaces are strongly bent in the region of the threading dislocations, undisturbed regions have a maximum lateral sie of 500nm. Thin films and SL showed a structural modulation [natural nanostructure (nns)] with a wavelength of 10nm and a wave vector parallel to (1,0,10). This nns was also observed in Bi2Te3 bulk materials and turned out to be of general character for Bi2Te3. The effect of the microstructure on the thermoelectric properties is discussed. The microstructure is governed by the superlattice, the nns, and the dislocations that are present in the films. Our results indicate that the microstructure directly affects the lattice thermal conductivity. Thermopower and electrical conductivity were found to be negatively correlated and no clear dependence of the two

  5. The microstructure of laterally seeded silicon-on-oxide

    NASA Astrophysics Data System (ADS)

    Pinizzotto, R. F.; Lam, H. W.; Vaandrager, B. L.

    1982-03-01

    The production of large scale integrated circuits in thin silicon films on insulating substrates is currently of much interest in the electronics industry. One of the most promising techniques of forming this composite structure is by lateral seeding. We have used optical microscopy and transmission electron microscopy to characterize the microstructure of silicon-on-oxide formed by scanning CW laser induced lateral epitaxy. The primary defects are dislocations. Dislocation rearrangement leads to the formation of both small angle boundaries (stable, regular dislocation arrays) and grain boundaries. The grains were found to be misoriented to the <100> direction perpendicular to the film plane by ≤ 4° and to the <100> directions in the plane of the film by ≤ 2°. Internal reflection twins are a common defect. Microtwinning was found to occur at the vertical step caused by the substrate-oxide interface if the substrate to oxide step height was > 120 nm. The microstructure is continuous across successive scan lines. Microstructural defects are found to initiate at the same topographical location in different oxide pads. We propose that this is due to the meeting of two crystallization growth fronts. The liquid silicon between the fronts causes large stresses in this area because of the 9% volume increase during solidification. The defects observed in the bulk may form by a similar mechanism or by dislocation generation at substrate-oxide interface irregularities. The models predict that slower growth leads to improved material quality. This has been observed experimentally.

  6. Minimum ignition energy of nano and micro Ti powder in the presence of inert nano TiO₂ powder.

    PubMed

    Chunmiao, Yuan; Amyotte, Paul R; Hossain, Md Nur; Li, Chang

    2014-06-15

    The inerting effect of nano-sized TiO2 powder on ignition sensitivity of nano and micro Ti powders was investigated with a Mike 3 apparatus. "A little is not good enough" is also suitable for micro Ti powders mixed with nano-sized solid inertants. MIE of the mixtures did not significantly increase until the TiO2 percentage exceeded 50%. Nano-sized TiO2 powders were ineffective as an inertant when mixed with nano Ti powders, especially at higher dust loadings. Even with 90% nano TiO2 powder, mixtures still showed high ignition sensitivity because the statistic energy was as low as 2.1 mJ. Layer fires induced by ignited but unburned metal particles may occur for micro Ti powders mixed with nano TiO2 powders following a low level dust explosion. Such layer fires could lead to a violent dust explosion after a second dispersion. Thus, additional attention is needed to prevent metallic layer fires even where electric spark potential is low. In the case of nano Ti powder, no layer fires were observed because of less flammable material involved in the mixtures investigated, and faster flame propagation in nanoparticle clouds. Copyright © 2014 Elsevier B.V. All rights reserved.

  7. Nucleation of uniform mono- and bilayer epitaxial graphene on SiC(0001)

    NASA Astrophysics Data System (ADS)

    Wu, Xiaosong; Zhang, Rui; Dong, Yunliang; Guo, Shuai; Kong, Wenjie; Liao, Zhimin; Yu, Dapeng

    2012-02-01

    Early stage of epitaxial graphene growth on SiC(0001) has been investigated. Using the confinement controlled sublimation (CCS) method, we has achieved well controlled growth and been able to see the formation of mono- and bilayer graphene islands. The growth features reveal the intriguing growth mechanism. In particular, a new ``stepdown'' growth mode has been identified. Graphene can propagate tens of micrometers across many SiC steps, while, most importantly, step bunching is avoided and the initial regular stepped SiC surface morphology is preserved. The stepdown growth demonstrates a route towards uniform epitaxial graphene in wafer size without sacrificing the initial substrate surface morphology.

  8. Epitaxial growth and characterization of Si/NiSi 2/Si(111) heterostructures

    NASA Astrophysics Data System (ADS)

    Rizzi, Angela; Förster, A.; Lüth, H.; Slijkerman, W.

    1989-04-01

    Si/NiSi 2/Si(111) heterostructures are grown under UHV conditions. The well known "template" method is used to produce the epitaxial NiSi 2 interlayer. On top of the suicide, the silicon epitaxial growth is obtained by means of gas phase reaction of SiH 4 at a surface temperature of 500° C. The Si growth rate is strongly enhanced by predissociation of SiH 4 using a hot tungsten filament in the vicinity of the surface. The single steps of the growth are followed in-situ by means of AES, HREELS and LEED analysis. Ex-situ high resolution RBS analysis is also applied for characterization.

  9. In vacancies in InN grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Reurings, Floris; Tuomisto, Filip; Gallinat, Chad S.; Koblmüller, Gregor; Speck, James S.

    2010-12-01

    The authors have applied positron annihilation spectroscopy to study the effect of different growth conditions on vacancy formation in In- and N-polar InN grown by plasma-assisted molecular beam epitaxy. The results suggest that the structural quality of the material and limited diffusion of surface adatoms during growth dictate the In vacancy formation in low electron-density undoped epitaxial InN, while growth conditions and thermodynamics have a less important role, contrary to what is observed in, e.g., GaN. Furthermore, the results imply that in high quality InN, the electron mobility is likely limited not by ionized point defect scattering, but rather by threading dislocations.

  10. Sub-diffraction nano manipulation using STED AFM.

    PubMed

    Chacko, Jenu Varghese; Canale, Claudio; Harke, Benjamin; Diaspro, Alberto

    2013-01-01

    In the last two decades, nano manipulation has been recognized as a potential tool of scientific interest especially in nanotechnology and nano-robotics. Contemporary optical microscopy (super resolution) techniques have also reached the nanometer scale resolution to visualize this and hence a combination of super resolution aided nano manipulation ineluctably gives a new perspective to the scenario. Here we demonstrate how specificity and rapid determination of structures provided by stimulated emission depletion (STED) microscope can aid another microscopic tool with capability of mechanical manoeuvring, like an atomic force microscope (AFM) to get topological information or to target nano scaled materials. We also give proof of principle on how high-resolution real time visualization can improve nano manipulation capability within a dense sample, and how STED-AFM is an optimal combination for this job. With these evidences, this article points to future precise nano dissections and maybe even to a nano-snooker game with an AFM tip and fluorospheres.

  11. Improved process for epitaxial deposition of silicon on prediffused substrates

    NASA Technical Reports Server (NTRS)

    Clarke, M. G.; Halsor, J. L.; Word, J. C.

    1968-01-01

    Process for fabricating integrated circuits uniformly deposits silicon epitaxially on prediffused substrates without affecting the sublayer diffusion pattern. Two silicon deposits from different sources, and deposited at different temperatures, protect the sublayer pattern from the silicon tetrachloride reaction.

  12. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  13. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  14. Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Yu; Meng, Dechao; Wang, Jianlin

    2015-07-06

    There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less

  15. Nano-Fiber Reinforced Enhancements in Composite Polymer Matrices

    NASA Technical Reports Server (NTRS)

    Chamis, Christos C.

    2009-01-01

    Nano-fibers are used to reinforce polymer matrices to enhance the matrix dependent properties that are subsequently used in conventional structural composites. A quasi isotropic configuration is used in arranging like nano-fibers through the thickness to ascertain equiaxial enhanced matrix behavior. The nano-fiber volume ratios are used to obtain the enhanced matrix strength properties for 0.01,0.03, and 0.05 nano-fiber volume rates. These enhanced nano-fiber matrices are used with conventional fiber volume ratios of 0.3 and 0.5 to obtain the composite properties. Results show that nano-fiber enhanced matrices of higher than 0.3 nano-fiber volume ratio are degrading the composite properties.

  16. Nano-based PCMs for building energy efficiency

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Biswas, Kaushik

    Thermal storage using phase change materials (PCMs) is seen as a viable method for improving the energy efficiency of buildings. PCMs have been used in building applications in various forms PCM slurries in heat exchangers, macro- or microencapsulated PCMs in building envelopes, bulk PCM for modulating photovoltaic temperatures, etc. In the last decade a new class of PCMs, called nano-enhanced PCM (or nanoPCM), has been extensively investigated with the goal of improving the heat transfer and thermal storage properties of PCMs. NanoPCMs can primarily be categorized as nano-encapsulated PCMs and nanoparticle-PCM composites. The former are nano-sized capsules in which themore » PCM forms the core and is surrounded by a high-conductivity membrane or shell. The latter consist of PCM supported within nanostructures or nanoparticles dispersed in PCMs. This article reviews the current state of nanoPCM synthesis and characterization of their heat transfer and thermal storage properties. Further, a critical review of nanoPCM applications and their potential energy benefits is performed. Nano-enhanced PCMs exhibit higher thermal conductivities than regular PCM. However, whether the higher conductivity is desirable in all applications and if the property enhancements are worth the cost and effort needed to create nanoPCMs are questions that still need to be answered.« less

  17. Suppression of Rotational Twins in Epitaxial B 12P 2 on 4H-SiC

    DOE PAGES

    Frye, C. D.; Saw, C. K.; Padavala, Balabalaji; ...

    2017-12-22

    B 12P2 was grown epitaxially on (0001) 4H-SiC using two different substrate miscuts: a standard 4° miscut toward the [more » $$11\\bar{20}$$] and a custom miscut 4° toward the [$$1\\bar{10}0$$]. Epitaxy on substrates miscut to the [$$11\\bar{20}$$] resulted in highly twinned B 12P 2 films with a rotational twin density of approximately 70% twin orientation I and 30% twin orientation II. In contrast, epitaxy on substrates tilted toward the [$$1\\bar{10}0$$] produced films of >99% twin orientation I. A H 2 etch model is used to explain the 4H-SiC surface morphology for each miscut prior to epitaxy and demonstrate how the surface steps influence the nucleation of B 12P 2 twin orientations. Surface steps on substrates miscut to the [$$11\\bar{20}$$] tend to be zig-zagged with steps rotated 60° from one another producing B 12P 2 crystals that nucleate in orientations rotated by 60°, hence forming rotationally twinned films. In conclusion, steps on substrates tilted to the [$$1\\bar{10}0$$] tend to be parallel resulting in crystallographically aligned B 12P 2 nucleation.« less

  18. Suppression of Rotational Twins in Epitaxial B 12P 2 on 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frye, C. D.; Saw, C. K.; Padavala, Balabalaji

    B 12P2 was grown epitaxially on (0001) 4H-SiC using two different substrate miscuts: a standard 4° miscut toward the [more » $$11\\bar{20}$$] and a custom miscut 4° toward the [$$1\\bar{10}0$$]. Epitaxy on substrates miscut to the [$$11\\bar{20}$$] resulted in highly twinned B 12P 2 films with a rotational twin density of approximately 70% twin orientation I and 30% twin orientation II. In contrast, epitaxy on substrates tilted toward the [$$1\\bar{10}0$$] produced films of >99% twin orientation I. A H 2 etch model is used to explain the 4H-SiC surface morphology for each miscut prior to epitaxy and demonstrate how the surface steps influence the nucleation of B 12P 2 twin orientations. Surface steps on substrates miscut to the [$$11\\bar{20}$$] tend to be zig-zagged with steps rotated 60° from one another producing B 12P 2 crystals that nucleate in orientations rotated by 60°, hence forming rotationally twinned films. In conclusion, steps on substrates tilted to the [$$1\\bar{10}0$$] tend to be parallel resulting in crystallographically aligned B 12P 2 nucleation.« less

  19. Epitaxial Ni-Mn-Ga-Co thin films on PMN-PT substrates for multicaloric applications

    NASA Astrophysics Data System (ADS)

    Schleicher, B.; Niemann, R.; Diestel, A.; Hühne, R.; Schultz, L.; Fähler, S.

    2015-08-01

    Multicaloric stacks consisting of a magnetocaloric film on a piezoelectric substrate promise improved caloric properties as the transition temperature can be controlled by both magnetic and electric fields. We present epitaxially grown magnetocaloric Ni-Mn-Ga-Co thin films on ferroelectric Pb(Mg1/3Nb2/3)0.72Ti0.28O3 substrates. Structure and microstructure of two samples, being in the austenitic and martensitic state at room temperature, are investigated by X-ray diffraction in two- and four-circle geometry and by atomic force microscopy. In addition, high temperature magnetometry was performed on the latter sample. The combination of these methods allows separating the influence of epitaxial growth and martensitic transformation. A preferential alignment of twin boundaries is observed already in the as-deposited state, which indicates the presence of prestress, without applying an electric field to the substrate. A temperature-magnetic field phase diagram is presented, which demonstrates the inverse magnetocaloric effect of the epitaxial Ni-Mn-Ga-Co film.

  20. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, Darrell G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong; Singh, Rakesh K.; Xi, Xiaoxing

    2017-12-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+δ, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy significantly advances the state of the art in constructing oxide materials with atomic layer precision and control over stoichiometry. With atomic layer-by-layer laser molecular-beam epitaxy we have produced conducting LaAlO3/SrTiO3 interfaces at high oxygen pressures that show no evidence of oxygen vacancies, a capability not accessible by existing techniques. The carrier density of the interfacial two-dimensional electron gas thus obtained agrees quantitatively with the electronic reconstruction mechanism.

  1. Replication of surface nano-structure of the wing of dragonfly ( Pantala Flavescens) using nano-molding and UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Cho, Joong-Yeon; Kim, Gyutae; Kim, Sungwook; Lee, Heon

    2013-07-01

    The hydrophobicity of a dragonfly's wing originates from the naturally occurring nano-structure on its surface. The nano-structure on a dragonfly's wing consists of an array of nano-sized pillars, 100 nm in diameter. We re-create this hydrophobicity on various substrates, such as Si, glass, curved acrylic polymer, and flexible PET film, by replicating the nano-structure using UV curable nano-imprint lithography (NIL) and PDMS molding. The success of the nano-structure duplication was confirmed using scanning electron microscopy (SEM). The hydrophobicity was measured by water-based contact angle measurements. The water contact angle of the replica made of UV cured polymer was 135° ± 2°, which was slightly lower than that of the original dragonfly's wing (145° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (80°). The hydrophobicity was further improved by applying a coating of Teflon-like material.

  2. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  3. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  4. Performance study of thin epitaxial silicon PIN detectors for thermal neutron measurements with reduced γ sensitivity

    NASA Astrophysics Data System (ADS)

    Singh, Arvind; Desai, Shraddha; Kumar, Arvind; Topkar, Anita

    2018-05-01

    A novel approach of using thin epitaxial silicon PIN detectors for thermal neutron measurements with reduced γ sensitivity has been presented. Monte Carlo simulations showed that there is a significant reduction in the gamma sensitivity for thin detectors with the thickness of 10- 25 μm compared to a detector of thickness of 300 μm. Epitaxial PIN silicon detectors with the thickness of 10 μm, 15 μm and 25 μm were fabricated using a custom process. The detectors exhibited low leakage currents of a few nano-amperes. The gamma sensitivity of the detectors was experimentally studied using a 33 μCi, 662 keV, 137Cs source. Considering the count rates, compared to a 300 μm thick detector, the gamma sensitivity of the 10 μm, 15 μm and 25 μm thick detectors was reduced by factors of 1874, 187 and 18 respectively. The detector performance for thermal neutrons was subsequently investigated with a thermal neutron beam using an enriched 10B film as a neutron converter layer. The thermal neutron spectra for all three detectors exhibited three distinct regions corresponding to the 4He and 7Li charge products released in the 10B-n reaction. With a 10B converter, the count rates were 1466 cps, 3170 cps and 2980 cps for the detectors of thicknesses of 10 μm, 25 μm and 300 μm respectively. The thermal neutron response of thin detectors with 10 μm and 25 μm thickness showed significant reduction in the gamma sensitivity compared to that observed for the 300 μm thick detector. Considering the total count rate obtained for thermal neutrons with a 10B converter film, the count rate without the converter layer were about 4%, 7% and 36% for detectors with thicknesses of 10 μm, 25 μm and 300 μm respectively. The detector with 10 μm thickness showed negligible gamma sensitivity of 4 cps, but higher electronic noise and reduced pulse heights. The detector with 25 μm thickness demonstrated the best performance with respect to electronic noise, thermal neutron response and

  5. Comparison on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fibre lasers

    NASA Astrophysics Data System (ADS)

    Yang, Chun-Yu; Lin, Yung-Hsiang; Wu, Chung-Lun; Cheng, Chih-Hsien; Tsai, Din-Ping; Lin, Gong-Ru

    2018-06-01

    Comparisons on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fiber lasers (EDFLs) are performed. As opposed to the graphite nano-particles obtained by physically triturating the graphite foil, the tri-layer graphene nano-sheets is obtained by electrochemically exfoliating the graphite foil. To precisely control the size dispersion and the layer number of the exfoliated graphene nano-sheet, both the bias of electrochemical exfoliation and the speed of centrifugation are optimized. Under a threshold exfoliation bias of 3 volts and a centrifugation at 1000 rpm, graphene nano-sheets with an average diameter of 100  ±  40 nm can be obtained. The graphene nano-sheets with an area density of 15 #/µm2 are directly imprinted onto the end-face of a single-mode fiber made patchcord connector inside the EDFL cavity. Such electrochemically exfoliated graphene nano-sheets show comparable saturable absorption with standard single-graphene and perform the self-amplitude modulation better than physically triturated graphite nano-particles. The linear transmittance and modulation depth of the inserted graphene nano-sheets are 92.5% and 53%, respectively. Under the operation with a power gain of 21.5 dB, the EDFL can be passively mode-locked to deliver a pulsewidth of 454.5 fs with a spectral linewidth of 5.6 nm. The time-bandwidth product of 0.31 is close to the transform limit. The Kelly sideband frequency spacing of 1.34 THz is used to calculate the chirp coefficient as  ‑0.0015.

  6. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    NASA Astrophysics Data System (ADS)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  7. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    NASA Astrophysics Data System (ADS)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  8. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  9. Metal-Insulator Transitions in Epitaxial LaVO(3) and LaTiO(3) Films

    DTIC Science & Technology

    2012-08-01

    epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When LaTiO3 films are compressively...secondarily to interface electronic reconstruction at the LaTiO3 /SrTiO3 interface. However, when LaVO3 films are compressively strained on SrTiO3...ABSTRACT We have demonstrated that epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When

  10. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  11. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  12. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  13. Nano-Satellite Avionics

    NASA Technical Reports Server (NTRS)

    Culver, Harry

    1999-01-01

    Abstract NASA's Goddard Space Flight Center (GSFC) is currently developing a new class of satellites called the nano-satellite (nano-sat). A major objective of this development effort is to provide the technology required to enable a constellation of tens to hundreds of nano-satellites to make both remote and in-situ measurements from space. The Nano-sat will be a spacecraft weighing a maximum of 10 kg, including the propellant mass, and producing at least 5 Watts of power to operate the spacecraft. The electronics are required to survive a total radiation dose rate of 100 krads for a mission lifetime of two years. There are many unique challenges that must be met in order to develop the avionics for such a spacecraft. The first challenge is to develop an architecture that will operate on the allotted 5 Watts and meet the diverging requirements of multiple missions. This architecture will need to incorporate a multitude of new advanced microelectronic technologies. The microelectronics developed must be a modular and scalable packaging of technology to solve the problem of developing a solution to both reduce cost and meet the requirements of various missions. This development will utilize the most cost effective approach, whether infusing commercially driven semiconductor devices into spacecraft applications or partnering with industry to design and develop low cost, low power, low mass, and high capacity data processing devices. This paper will discuss the nano-sat architecture and the major technologies that will be developed. The major technologies that will be covered include: (1) Light weight Low Power Electronics Packaging, (2) Radiation Hard/Tolerant, Low Power Processing Platforms, (3) High capacity Low Power Memory Systems (4) Radiation Hard reconfiguragble field programmable gate array (rFPGA)

  14. Micro/nano moire methods

    NASA Astrophysics Data System (ADS)

    Asundi, Anand K.; Shang, Haixia; Xie, Huimin; Li, Biao

    2003-10-01

    Two novel micro/nano moire method, SEM scanning moiré and AFM scanning moire techniques are discussed in this paper. The principle and applications of two scanning moire methods are described in detail. The residual deformation in a polysilicon MEMS cantilever structure with a 5000 lines/mm grating after removing the SiO2 sacrificial layer is accurately measured by SEM scanning moire method. While AFM scanning moire method is used to detect thermal deformation of electronic package components, and formation of nano-moire on a freshly cleaved mica crystal. Experimental results demonstrate the feasibility of these two moire methods, and also show they are effective methods to measure the deformation from micron to nano-scales.

  15. Biological effects of a nano red elemental selenium.

    PubMed

    Zhang, J S; Gao, X Y; Zhang, L D; Bao, Y P

    2001-01-01

    A novel selenium form, nano red elemental selenium (Nano-Se) was prepared by adding bovine serum albumin to the redox system of selenite and glutathione. Nano-Se has a 7-fold lower acute toxicity than sodium selenite in mice (LD(50) 113 and 15 mg Se/kg body weight respectively). In Se-deficient rat, both Nano-Se and selenite can increase tissue selenium and GPx activity. The biological activities of Nano-Se and selenite were compared in terms of cell proliferation, enzyme induction and protection against free racial-mediated damage in human hepatoma HepG2 cells. Nano-Se and selenite are similarly cell growth inhibited and stimulated synthesis of glutathione peroxidase (GPx), phospholipid hydroperoxide glutathione peroxidase (PHGPx) and thioredoxin reductase (TR). When HepG2 cells were co-treated with selenium and glutathione, Nano-Se showed less pro-oxidative effects than selenite, as measured by cell growth. These results demonstrate that Nano-Se has a similar bioavailability in the rat and antioxidant effects on cells.

  16. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  17. Plasma-assisted MBE growth kinetics and characterization studies of wide bandgap III-V epitaxial materials

    NASA Astrophysics Data System (ADS)

    O'Steen, Mark Lee

    2000-10-01

    Scope and method of study. The purpose of this research was to understand the physics of RF plasma-assisted molecular beam epitaxial growth of GaN epitaxial films and InGaN/GaN superlattice structures grown on Al2O3 (0001) substrates. The techniques used to characterize the RF-MBE grown samples include in situ reflection high energy electron diffraction (RHEED) and optical pyrometry, and ex situ spatially-resolved high resolution X-ray diffraction, spatially-resolved reflectance spectroscopy, atomic force microscopy, and low-temperature photoluminescence (PL) spectroscopy. Findings and conclusions. RF plasma-assisted molecular beam epitaxy (RF-MBE) has been used to grow GaN epitaxial films and InGaN/GaN superlattice structures. The most important growth parameters in the growth of GaN epitaxial films were identified as the substrate temperature, incident N*/Ga flux ratio, and GaN growth rate. The effect of these growth parameters on GaN growth and quality of GaN epitaxial films is discussed. Additionally, an interpretation of the effects of growth conditions on the underlying microscopic growth processes occurring is presented. All of the observed GaN growth results may be understood in terms of these microscopic growth processes. InGaN/GaN superlattice samples are grown to identify and quantitatively access the InGaN growth phenomenology. It is inferred that InN requires a higher N*/III flux ratio than does GaN for stoichiometric growth. At substrate temperatures below 590°C, the In composition of the superlattice samples is nominally constant. However, in the narrow temperature range 590--670°C, the In composition decreases by more than an order-or-magnitude at the lowest N*/III flux ratio of this study. Additionally, the incident N*/III flux ratio is found to strongly influence the In composition as well. Nearly an order-of-magnitude increase in In composition is observed despite only a 20% increase in the N*/III flux ratio at the highest temperature of this

  18. Sub-toxic concentrations of nano-ZnO and nano-TiO2 suppress neurite outgrowth in differentiated PC12 cells.

    PubMed

    Irie, Tomohiko; Kawakami, Tsuyoshi; Sato, Kaoru; Usami, Makoto

    2017-01-01

    Nanomaterials have been extensively used in our daily life, and may also induce health effects and toxicity. Nanomaterials can translocate from the outside to internal organs, including the brain. For example, both nano-ZnO and nano-TiO 2 translocate into the brain via the olfactory pathway in rodents, possibly leading to toxic effects on the brain. Although the effects of nano-ZnO and nano-TiO 2 on neuronal viability or neuronal excitability have been studied, no work has focused on how these nanomaterials affect neuronal differentiation and development. In this study, we investigated the effects of nano-ZnO and nano-TiO 2 on neurite outgrowth of PC12 cells, a useful model system for neuronal differentiation. Surprisingly, the number, length, and branching of differentiated PC12 neurites were significantly suppressed by the 7-day exposure to nano-ZnO (in the range of 1.0 × 10 -4 to 1.0 × 10 -1 µg/mL), at which the cell viability was not affected. The number and length were also significantly inhibited by the 7-day exposure to nano-TiO 2 (1.0 × 10 -3 to 1.0 µg/mL), which did not have cytotoxic effects. These results demonstrate that the neurite outgrowth in differentiated PC12 cells was suppressed by sub-cytotoxic concentrations of nano-ZnO or nano-TiO 2 .

  19. Reverse micelle-loaded lipid nano-emulsions: new technology for nano-encapsulation of hydrophilic materials.

    PubMed

    Anton, Nicolas; Mojzisova, Halina; Porcher, Emilien; Benoit, Jean-Pierre; Saulnier, Patrick

    2010-10-15

    This study presents novel, recently patented technology for encapsulating hydrophilic species in lipid nano-emulsions. The method is based on the phase-inversion temperature method (the so-called PIT method), which follows a low-energy and solvent-free process. The nano-emulsions formed are stable for months, and exhibit droplet sizes ranging from 10 to 200 nm. Hydrophilic model molecules of fluorescein sodium salt are encapsulated in the oily core of these nano-emulsion droplets through their solubilisation in the reverse micellar system. As a result, original, multi-scaled nano-objects are generated with a 'hydrophilic molecule in a reverse-micelles-in-oil-in-water' structure. Once fluorescein has been encapsulated it remains stable, for thermodynamic reasons, and the encapsulation yields can reach 90%. The reason why such complex objects can be formed is due to the soft method used (PIT method) which allows the conservation of the structure of the reverse micelles throughout the formulation process, up to their entrapment in the nano-emulsion droplets. In this study, we focus the investigation on the process itself, revealing its potential and limits. Since the formulation of nanocarriers for the encapsulation of hydrophilic substances still remains a challenge, this study may constitute a significant advance in this field. Copyright 2010 Elsevier B.V. All rights reserved.

  20. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    NASA Astrophysics Data System (ADS)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.