[Determination of terbium (III) with EHPG-Tb (III) system by fluorescence spectroscopy].
Zhao, Chun-gui; Li, Xiao-li; Yang, Bin-sheng
2007-12-01
The fluorescence of terbium was sensitized after addition of terbium to the ethylene-N, N'-bis (o-hydioxyphenylglycine) (EHPG) solution. A novel and simple method used for the determination of Tb (III) was developed by means of fluorescence spectroscopy in the presence of EHPG. It was showed that the relative fluorescence intensity is proportional to the concentration of terbium ions, while the molar ratio of terbium to EHPG is less than 1.0 in the system. The maximum wavelengths of excitation and emission are 295 and 547 nm respectively. The optimal range of pH is 7-9. The linear range of detection of the concentration of terbium is from 1.0 x 10(-8) mol x L(-1) to 1.0 x 10(-5) mol x L(-1), with a detection limit of 1.18 x 10(-9) mol x L(-1). The relative standard deviation is still within +/-3% in the presence of other lanthanide ions. The method was applied to the determination of the recoveries of synthetic samples and a rare earth sample with satisfactory results.
Li, Aiyun; Song, Zhiqiang
2014-01-01
α-Keto acid of pefloxacin mesylate (PFLX) can form the complex with Terbium(III). The intramolecular energy from PFLX to Terbium(III) ion takes place when excited, and thus Terbium(III) excited state is formed and then emits the characteristic fluorescence of Terbium(III), locating at 490, 545, 580, and 620 nm. The second-order scattering (SOS) peak at 545 nm also appears for the complex with the exciting wavelength of 273 nm. When the silver nanoparticles are added to the system, the luminescence intensity at 545 nm greatly increased. So, with the adding of nanoparticles to the Terbium(III)-PFLX complex, not only is the intramolecular energy promoted but also the SOS intensity is enhanced. The experimental results show that it is the silver nanoparticles with certain size and certain concentration which can greatly enhance the fluorescence-SOS intensity, and the relative intensity at 545 nm is proportional to the amount of PFLX. Based on this phenomenon, a novel method for the determination of PFLX has been developed and applied to the determination of PFLX in capsule and serum samples. PMID:24892083
A new macrocyclic terbium(III) complex for use in RNA footprinting experiments
Belousoff, Matthew J.; Ung, Phuc; Forsyth, Craig M.; Tor, Yitzhak; Spiccia, Leone; Graham, Bim
2009-01-01
Reaction of terbium triflate with a heptadentate ligand derivative of cyclen, L1 = 2-[7-ethyl-4,10-bis(isopropylcarbamoylmethyl)-1,4,7,10-tetraazacyclododec-1-yl]-N-isopropylacetamide, produced a new synthetic ribonuclease, [Tb(L1)(OTf)(OH2)](OTf)2·MeCN (C1). X-ray crystal structure analysis indicates that the terbium(III) centre in C1 is 9-coordinate, with a capped square-antiprism geometry. Whilst the terbium(III) center is tightly bound by the L1 ligand, two of the coordination sites are occupied by labile water and triflate ligands. In water, the triflate ligand is likely to be displaced, forming [Tb(L1)(OH2)2]3+, which is able to effectively promote RNA cleavage. This complex greatly accelerates the rate of intramolecular transesterification of an activated model RNA phosphodiester, uridine-3′-p-nitrophenylphosphate (UpNP), with kobs = 5.5(1) × 10-2 s-1 at 21°C and pH 7.5, corresponding to an apparent second-order rate constant of 277(5) M-1s-1. By contrast, the analogous complex of an octadentate derivative of cyclen featuring only a single labile coordination site, [Tb(L2)(OH2)](OTf)3 (C2), where L2 = 2-[4,7,10-tris(isopropylcarbamoylmethyl)-1,4,7,10-tetraazacyclododec-1-yl]-N-isopropyl-acetamide, is inactive. [Tb(L1)(OH2)2]3+ is also capable of hydrolyzing short transcripts of the HIV-1 transactivation response (TAR) element, HIV-1 dimerization initiation site (DIS) and ribosomal A-site, as well as formyl methionine transfer RNA (tRNAfMet), albeit at a considerably slower rate than UpNP transesterification (kobs = 2.78(8) × 10-5 M-1s-1 for TAR cleavage at 37°C, pH 6.5, corresponding to an apparent second-order rate constant of 0.56(2) M-1s-1). Cleavage is concentrated at the single-stranded “bulge” regions of these RNA motifs. Exploiting this selectivity, [Tb(L1)(OH2)23+ was successfully employed in footprinting experiments, in which binding of the Tat peptide and neomycin B to the bulge region of the TAR stem-loop was confirmed. PMID:19119812
Self-assembly of Terbium(III)-based metal-organic complexes with two-photon absorbing active
NASA Astrophysics Data System (ADS)
Li, Dandan; Shao, Nanqi; Sun, Xianshun; Zhang, Guocui; Li, Shengli; Zhou, Hongping; Wu, Jieying; Tian, Yupeng
2014-12-01
Hybrid complexes based on D-π-A type dyes p-aminostyryl-pyridinum and Terbium(III) complex anion (1, 2) have been synthesized by ionic exchange reaction. Meanwhile two different alkyl-substituted amino groups were used as electron donors in organic dyes cations. The synthesized complexes were characterized by element analysis. In addition, the structural features of them were systematic studied by single crystal X-ray diffraction analysis. Their linear properties have been systematically investigated by absorption spectra and fluorescence, the results show that the energy transfer takes place from the trans-4-[4‧-(N,N-diethylamino)styryl]-N-methyl pyridinium (2‧) cation to Tb(III). In addition, complex 2 exhibit a large two-photon absorption coefficient β: 0.044 cm/GW at 710 nm.
Self-assembly of Terbium(III)-based metal-organic complexes with two-photon absorbing active.
Li, Dandan; Shao, Nanqi; Sun, Xianshun; Zhang, Guocui; Li, Shengli; Zhou, Hongping; Wu, Jieying; Tian, Yupeng
2014-12-10
Hybrid complexes based on D-π-A type dyes p-aminostyryl-pyridinum and Terbium(III) complex anion (1, 2) have been synthesized by ionic exchange reaction. Meanwhile two different alkyl-substituted amino groups were used as electron donors in organic dyes cations. The synthesized complexes were characterized by element analysis. In addition, the structural features of them were systematic studied by single crystal X-ray diffraction analysis. Their linear properties have been systematically investigated by absorption spectra and fluorescence, the results show that the energy transfer takes place from the trans-4-[4'-(N,N-diethylamino)styryl]-N-methyl pyridinium (2') cation to Tb(III). In addition, complex 2 exhibit a large two-photon absorption coefficient β: 0.044cm/GW at 710nm. Copyright © 2014 Elsevier B.V. All rights reserved.
Direct determination of graphene quantum dots based on terbium-sensitized luminescence
NASA Astrophysics Data System (ADS)
Llorent-Martínez, Eulogio J.; Molina-García, Lucía; Durán, Gema M.; Ruiz-Medina, Antonio; Ríos, Ángel
2018-06-01
Graphene quantum dots (GQD) were determined in water samples using terbium-sensitized luminescence (TSL). Terbium ions complex with GQD due to the carboxylic groups that are usually present in these nanomaterials, increasing the luminescence signal of terbium. In Tb(III)-GQD complexes, GQD absorb energy at their characteristic excitation wavelength and transfer it to terbium ion, which emits at its particular emission wavelength. The analytical signal, measured at λexc = 257 nm and λem = 545 nm, increases proportionally to GQD concentration between 50 and 500 μg L-1. Under optimum conditions, the proposed method presents a detection limit of 15 μg L-1 and is selective to GQD in the presence of other nanomaterials of similar size. As GQD are highly water-soluble, they are potential contaminants in environmental or drinking waters water samples, and hence the method was applied to the analysis of different drinking waters which were the target samples for the application of the developed method.
Direct determination of graphene quantum dots based on terbium-sensitized luminescence.
Llorent-Martínez, Eulogio J; Molina-García, Lucía; Durán, Gema M; Ruiz-Medina, Antonio; Ríos, Ángel
2018-06-05
Graphene quantum dots (GQD) were determined in water samples using terbium-sensitized luminescence (TSL). Terbium ions complex with GQD due to the carboxylic groups that are usually present in these nanomaterials, increasing the luminescence signal of terbium. In Tb(III)-GQD complexes, GQD absorb energy at their characteristic excitation wavelength and transfer it to terbium ion, which emits at its particular emission wavelength. The analytical signal, measured at λ exc =257nm and λ em =545nm, increases proportionally to GQD concentration between 50 and 500μgL -1 . Under optimum conditions, the proposed method presents a detection limit of 15μgL -1 and is selective to GQD in the presence of other nanomaterials of similar size. As GQD are highly water-soluble, they are potential contaminants in environmental or drinking waters water samples, and hence the method was applied to the analysis of different drinking waters which were the target samples for the application of the developed method. Copyright © 2018 Elsevier B.V. All rights reserved.
Gregório, Thaiane; Giese, Siddhartha O K; Nunes, Giovana G; Soares, Jaísa F; Hughes, David L
2017-02-01
Two new mononuclear cationic complexes in which the Tb III ion is bis-chelated by the tripodal alcohol 1,1,1-tris-(hy-droxy-meth-yl)propane (H 3 L Et , C 6 H 14 O 3 ) were prepared from Tb(NO 3 ) 3 ·5H 2 O and had their crystal and mol-ecular structures solved by single-crystal X-ray diffraction analysis after data collection at 100 K. Both products were isolated in reasonable yields from the same reaction mixture by using different crystallization conditions. The higher-symmetry complex dinitratobis[1,1,1-tris-(hy-droxy-meth-yl)propane]-terbium(III) nitrate di-meth-oxy-ethane hemisolvate, [Tb(NO 3 ) 2 (H 3 L Et ) 2 ]NO 3 ·0.5C 4 H 10 O 2 , 1 , in which the lanthanide ion is 10-coordinate and adopts an s -bicapped square-anti-prismatic coordination geometry, contains two bidentate nitrate ions bound to the metal atom; another nitrate ion functions as a counter-ion and a half-mol-ecule of di-meth-oxy-ethane (completed by a crystallographic twofold rotation axis) is also present. In product aqua-nitratobis[1,1,1-tris-(hy-droxy-meth-yl)propane]-terbium(III) dinitrate, [Tb(NO 3 )(H 3 L Et ) 2 (H 2 O)](NO 3 ) 2 , 2 , one bidentate nitrate ion and one water mol-ecule are bound to the nine-coordinate terbium(III) centre, while two free nitrate ions contribute to charge balance outside the tricapped trigonal-prismatic coordination polyhedron. No free water mol-ecule was found in either of the crystal structures and, only in the case of 1 , di-meth-oxy-ethane acts as a crystallizing solvent. In both mol-ecular structures, the two tripodal ligands are bent to one side of the coordination sphere, leaving room for the anionic and water ligands. In complex 2 , the methyl group of one of the H 3 L Et ligands is disordered over two alternative orientations. Strong hydrogen bonds, both intra- and inter-molecular, are found in the crystal structures due to the number of different donor and acceptor groups present.
Vasylechko, Volodymyr O; Gryshchouk, Galyna V; Zakordonskiy, Victor P; Vyviurska, Olga; Pashuk, Andriy V
2015-01-01
In spite of the fact that terbium is one of the rarest elements in the Earth's crust, it is frequently used for the production of high technological materials. At the result, an effective combination of sample preparation procedure and detection method for terbium ions in different matrices is highly required. The solid-phase extraction procedure with natural Transcarpathian clinoptilolite thermally activated at 350 °C was used to preconcentrate trace amounts of terbium ions in aqueous solutions for a final spectrophotometric determination with arsenazo III. Thermogravimetric investigations confirmed the existence of relations between changes that appeared during dehydratation of calcined zeolite and its sorption affinity. Since the maximum of sorption capacity towards terbium was observed at pH 8.25, a borate buffer medium (2.5 · 10(-4) М) was used to maintain ionic force and solution acidity. Terbium was quantitatively removed from the solid-phase extraction column with a 1.0 M solution of sodium chloride (pH 2.5). The linearity of the proposed method was evaluated in the range of 2.5-200 ng · mL(-1) with detection limit 0.75 ng · mL(-1). Due to acceptable recoveries (93.3-102.0 %) and RSD values (6-7.1) from spiked tap water, the developed method can be successfully applied for the determination of trace amounts of terbium ions in the presence of major components of water. Graphical abstractSorption of terbium(III) ions on clinoptilolite.
NASA Astrophysics Data System (ADS)
Ubink, J.; Enache, M.; Stöhr, M.
2018-05-01
Using the tip of a scanning tunneling microscope, an electric field-induced reversible phase transition between two planar porous structures ("chickenwire" and "flower") of trimesic acid was accomplished at the nonanoic acid/highly oriented pyrolytic graphite interface. The chickenwire structure was exclusively observed for negative sample bias, while for positive sample bias only the more densely packed flower structure was found. We suggest that the slightly negatively charged carboxyl groups of the trimesic acid molecule are the determining factor for this observation: their adsorption behavior varies with the sample bias and is thus responsible for the switching behavior.
Qi, Yan-Xia; Zhang, Min; Zhu, Anwei; Shi, Guoyue
2015-08-21
In this work, a novel ratiometric fluorescent probe was developed for rapid, highly accurate, sensitive and selective detection of mercury(II) (Hg(2+)) based on terbium(III)/gold nanocluster conjugates (Tb(3+)/BSA-AuNCs), in which bovine serum albumin capped gold nanoclusters (BSA-AuNCs) acted as the signal indicator and terbium(III) (Tb(3+)) was used as the build-in reference. Our proposed ratiometric fluorescent probe exhibited unique specificity toward Hg(2+) against other common environmentally and biologically important metal ions, and had high accuracy and sensitivity with a low detection limit of 1 nM. In addition, our proposed probe was effectively employed to detect Hg(2+) in the biological samples from the artificial Hg(2+)-infected rats. More significantly, an appealing paper-based visual sensor for Hg(2+) was designed by using filter paper embedded with Tb(3+)/BSA-AuNC conjugates, and we have further demonstrated its feasibility for facile fluorescent sensing of Hg(2+) in a visual format, in which only a handheld UV lamp is used. In the presence of Hg(2+), the paper-based visual sensor, illuminated by a handheld UV lamp, would undergo a distinct fluorescence color change from red to green, which can be readily observed with naked eyes even in trace Hg(2+) concentrations. The Tb(3+)/BSA-AuNC-derived paper-based visual sensor is cost-effective, portable, disposable and easy-to-use. This work unveiled a facile approach for accurate, sensitive and selective measuring of Hg(2+) with self-calibration.
Optimizing Cr(VI) and Tc(VII) remediation through nano-scale biomineral engineering
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cutting, R. S.; Coker, V. S.; Telling, N. D.
2009-09-09
To optimize the production of biomagnetite for the bioremediation of metal oxyanion contaminated waters, the reduction of aqueous Cr(VI) to Cr(III) by two biogenic magnetites and a synthetic magnetite was evaluated under batch and continuous flow conditions. Results indicate that nano-scale biogenic magnetite produced by incubating synthetic schwertmannite powder in cell suspensions of Geobacter sulfurreducens is more efficient at reducing Cr(VI) than either biogenic nano-magnetite produced from a suspension of ferrihydrite 'gel' or synthetic nano-scale Fe{sub 3}O{sub 4} powder. Although X-ray Photoelectron Spectroscopy (XPS) measurements obtained from post-exposure magnetite samples reveal that both Cr(III) and Cr(VI) are associated with nanoparticlemore » surfaces, X-ray Magnetic Circular Dichroism (XMCD) studies indicate that some Cr(III) has replaced octahedrally coordinated Fe in the lattice of the magnetite. Inductively Coupled Plasma-Atomic Emission Spectrometry (ICP-AES) measurements of total aqueous Cr in the associated solution phase indicated that, although the majority of Cr(III) was incorporated within or adsorbed to the magnetite samples, a proportion ({approx}10-15 %) was released back into solution. Studies of Tc(VII) uptake by magnetites produced via the different synthesis routes also revealed significant differences between them as regards effectiveness for remediation. In addition, column studies using a {gamma}-camera to obtain real time images of a {sup 99m}Tc(VII) radiotracer were performed to visualize directly the relative performances of the magnetite sorbents against ultra-trace concentrations of metal oxyanion contaminants. Again, the magnetite produced from schwertmannite proved capable of retaining more ({approx}20%) {sup 99m}Tc(VII) than the magnetite produced from ferrihydrite, confirming that biomagnetite production for efficient environmental remediation can be fine-tuned through careful selection of the initial Fe(III) mineral
Gregório, Thaiane; Giese, Siddhartha O. K.; Nunes, Giovana G.; Soares, Jaísa F.; Hughes, David L.
2017-01-01
Two new mononuclear cationic complexes in which the TbIII ion is bis-chelated by the tripodal alcohol 1,1,1-tris(hydroxymethyl)propane (H3 L Et, C6H14O3) were prepared from Tb(NO3)3·5H2O and had their crystal and molecular structures solved by single-crystal X-ray diffraction analysis after data collection at 100 K. Both products were isolated in reasonable yields from the same reaction mixture by using different crystallization conditions. The higher-symmetry complex dinitratobis[1,1,1-tris(hydroxymethyl)propane]terbium(III) nitrate dimethoxyethane hemisolvate, [Tb(NO3)2(H3 L Et)2]NO3·0.5C4H10O2, 1, in which the lanthanide ion is 10-coordinate and adopts an s-bicapped square-antiprismatic coordination geometry, contains two bidentate nitrate ions bound to the metal atom; another nitrate ion functions as a counter-ion and a half-molecule of dimethoxyethane (completed by a crystallographic twofold rotation axis) is also present. In product aquanitratobis[1,1,1-tris(hydroxymethyl)propane]terbium(III) dinitrate, [Tb(NO3)(H3 L Et)2(H2O)](NO3)2, 2, one bidentate nitrate ion and one water molecule are bound to the nine-coordinate terbium(III) centre, while two free nitrate ions contribute to charge balance outside the tricapped trigonal-prismatic coordination polyhedron. No free water molecule was found in either of the crystal structures and, only in the case of 1, dimethoxyethane acts as a crystallizing solvent. In both molecular structures, the two tripodal ligands are bent to one side of the coordination sphere, leaving room for the anionic and water ligands. In complex 2, the methyl group of one of the H3 L Et ligands is disordered over two alternative orientations. Strong hydrogen bonds, both intra- and intermolecular, are found in the crystal structures due to the number of different donor and acceptor groups present. PMID:28217359
Miao, Yu; Qiu, Yanxuan; Cai, Jiawei; Wang, Zizhou; Yu, Xinwei; Dong, Wen
2016-01-01
The in-situ nano-crystal-to-crystal transformation (SCCT) synthesis provides a powerful approach for tailoring controllable feature shapes and sizes of nano crystals. In this work, three nitrogen-rich energetic nano-crystals based on 5,5′-azotetrazolate(AZT2−) Cr(III) salts were synthesized by means of SCCT methodology. SEM and TEM analyses show that the energetic nano-crystals feature a composition- and structure-dependent together with size-dependent thermal stability. Moreover, nano-scale decomposition products can be obtained above 500 °C, providing a new method for preparing metallic oxide nano materials. PMID:27869221
Seleem, Amin A; Hussein, Belal H M
2018-03-25
The objective of this study was to synthesize Gibberellic lanthanide complex and evaluate its biological activity to reduce the Gibberellic acid toxicity on liver and kidney. The new bis(Gibberellic)-nitro-terbium(III) complex was characterized by different analytical methods: elemental analyses, UV-Vis, molar ratio, fluorescence, FT-IR, and TGA-DTA measurements. Thirty newborns were classified into three groups control, Gibberellic acid, and Terbium gibberellic acid complex. Livers and kidneys of studied groups proceed for general histology and immunohistochemical staining of Cyr61, cytochrome C, and TNFR2. From the absorption titration measurements, the binding constants of DNA with Tb(III)-(GA) 2 complex and free ligand were found to be 3.9 × 10 4 and 2.1 × 10 3 m -1 , respectively, with the stoichiometry of 1:1. Hypochromism was observed from the absorption titration experiment which indicates the intercalation of Tb(III)-(GA) 2 complex between the base pairs of DNA. Gibberellic acid-treated group showed alteration in the histological picture of livers and kidneys that accompanied with the reduction in the expression of Cyr61, cyt C, and TNFR2. The amelioration was observed in Gibberellic acid complex with Terbium group. The study concluded that Terbium gibberellic complex is less dangerous effects than Gibberellic acid alone. © 2018 John Wiley & Sons A/S.
NASA Astrophysics Data System (ADS)
Poonam; Kumar, Rajesh; Boora, Priti; Khatkar, Anurag; Khatkar, S. P.; Taxak, V. B.
2016-01-01
The ternary terbium(III) complexes [Tb(HDAP)3ṡbiq], [Tb(HDAP)3ṡdmph] and [Tb(HDAP)3ṡbathophen] were prepared by using methoxy substituted hydroxyketone ligand HDAP (2-hydroxy-4,6-dimethoxyacetophenone) and an ancillary ligand 2,2-biquinoline or 5,6-dimethyl-1,10-phenanthroline or bathophenanthroline respectively. The ligand and synthesized complexes were characterised based on elemental analysis, FT-IR and 1H NMR. Thermal behaviour of the synthesized complexes illustrates the general decomposition patterns of the complexes by thermogravimetric analysis. Photophysical properties such as excitation spectra, emission spectra and luminescence decay curves of the complexes were investigated in detail. The main green emitting peak at 548 nm can be attributed to 5D4 → 7F5 of Tb3+ ion. Thus, these complexes might be used to make a bright green light-emitting diode for display purpose. In addition the in vitro antibacterial activities of HDAP and its Tb(III) complexes against Bacillus subtilis, Staphylococcus aureus, Escherichia coli and antifungal activities against Candida albicans and Aspergillus niger are reported. The Tb3+ complexes were found to be more potent antimicrobial agent as compared to the ligand. Among all these complexes, [Tb(HDAP)3ṡbathophen] exhibited excellent antimicrobial activity which proves its potential usefulness as an antimicrobial agent. Furthermore, in vitro antioxidant activity tests were carried out by using DPPH method which indicates that the complexes have considerable antioxidant activity when compared with the standard ascorbic acid.
Chen, Yuxiang; Liu, Chao; Ma, Fang; Qi, Dongdong; Liu, Qingyun; Sun, Hao-Ling; Jiang, Jianzhuang
2018-04-23
The non-peripherally substituted 1,4,8,11,15,18,22,25-octa(butoxy)-phthalocyanine-involved unsymmetrical heteroleptic bis(phthalocyaninato) terbium double-decker, Tb(Pc){H[Pc(α-OC 4 H 9 ) 8 ]} (Pc=unsubstituted phthalocyanine) (1), was revealed to exhibit typical single ion magnet (SIM) behavior with effective energy barrier, 180 K (125 cm -1 ), and blocking temperature, 2 K, due to the severe deviation of the terbium coordination polyhedron from square-antiprismatic geometry. Fabrication of this double-decker compound into the novel tetrakis(phthalocyaninato) terbium pseudo-quadruple-decker Na 2 {Tb(Pc)[Pc(α-OC 4 H 9 ) 8 ]} 2 (2) single molecule magnet (SMM) not only optimizes the coordination polyhedron of terbium ion towards the square-antiprismatic geometry and intensifies the coordination field strength, but more importantly significantly enhances the molecular magnetic anisotropy in the unsymmetrical bis(phthalocyaninato) double-decker unit, along with the change of the counter cation from H + of 1 to Na + of 2, leading to an significantly enhanced magnetic behavior with spin-reversal energy barrier, 528 K (367 cm -1 ), and blocking temperature, 25 K. The present result is surely helpful towards developing novel tetrapyrrole lanthanide SMMs through rational design and self-assembly from bis(tetrapyrrole) lanthanide single ion magnet (SIM) building block. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Seitz, Michael; Do, King; Ingram, Andrew J.; Moore, Evan G.; Muller, Gilles; Raymond, Kenneth N.
2009-01-01
Abstract: Circulaly polarized luminescence from terbium(III) complexed and excited by chiral antenna ligands gives strong emission The modular synthesis of three new octadentate, enantiopure ligands are reported - one with the bidentate chelating unit 2-hydroxyisophthalamide (IAM) and two with 1-hydroxy-2-pyridinone (1,2-HOPO) units. A new design principle is introduced for the chiral, non-racemic hexamines which constitute the central backbones for the presented class of ligands. The terbium(III) complex of the IAM ligand, as well as the europium(III) complexes of the 1,2-HOPO ligands are synthesized and characterized by various techniques (NMR, UV, CD, luminescence spectroscopy). All species exhibit excellent stability and moderate to high luminescence efficiency (quantum yields ΦEu = 0.05–0.08 and ΦTb = 0.30–0.57) in aqueous solution at physiological pH. Special focus is put onto the properties of the complexes in regard to circularly polarized luminescence (CPL). The maximum luminescence dissymmetry factors (glum) in aqueous solution are high with |glum|max = 0.08 – 0.40. Together with the very favorable general properties (good stability, high quantum yields, long lifetimes), the presented lanthanide complexes can be considered as good candidates for analytical probes based on CPL in biologically relevant environments. PMID:19639983
Monomer and metallopolymer compounds of Tb(III) as precursors for OLEDs
NASA Astrophysics Data System (ADS)
Irina, Savchenko; Oleksandra, Berezhnytska; Olena, Trunova; Yaroslav, Fedorov; Sergiy, Smola; Nataliya, Rusakova
2018-03-01
The Terbium (III) complexes [Tb(III)-water, mixed-ligand complex Tb(III)-phenanthroline] with 2-methyl-5-phenyl-1-pentene-3,5-dione were synthesized. The polycomplex was obtained by free-radical polymerization. The results of above studies have shown that the configuration of the chelate unit is unchanged during the polymerization. As a result, the type of coordination was determined and the structure of coordination polyhedra was assumed. The luminescence spectra of obtained metallocomplexes and polymer were investigated and analyzed. The solubilization of terbium complex with phenanthroline, was shown to change luminescence intensity in this complex.
Yamabayashi, Tsutomu; Katoh, Keiichi; Breedlove, Brian K; Yamashita, Masahiro
2017-06-15
Single-molecule magnet (SMM) properties of crystals of a terbium(III)-phthalocyaninato double-decker complex with different molecular packings ( 1 : TbPc₂, 2 : TbPc₂·CH₂Cl₂) were studied to elucidate the relationship between the molecular packing and SMM properties. From single crystal X-ray analyses, the high symmetry of the coordination environment of 2 suggested that the SMM properties were improved. Furthermore, the shorter intermolecular Tb-Tb distance and relative collinear alignment of the magnetic dipole in 2 indicated that the magnetic dipole-dipole interactions were stronger than those in 1 . This was confirmed by using direct current magnetic measurements. From alternating current magnetic measurements, the activation energy for spin reversal for 1 and 2 were similar. However, the relaxation time for 2 is three orders of magnitude slower than that for 1 in the low- T region due to effective suppression of the quantum tunneling of the magnetization. These results suggest that the SMM properties of TbPc₂ highly depend on the molecular packing.
Electrochemical method of producing nano-scaled graphene platelets
Zhamu, Aruna; Jang, Joan; Jang, Bor Z.
2013-09-03
A method of producing nano-scaled graphene platelets with an average thickness smaller than 30 nm from a layered graphite material. The method comprises (a) forming a carboxylic acid-intercalated graphite compound by an electrochemical reaction; (b) exposing the intercalated graphite compound to a thermal shock to produce exfoliated graphite; and (c) subjecting the exfoliated graphite to a mechanical shearing treatment to produce the nano-scaled graphene platelets. Preferred carboxylic acids are formic acid and acetic acid. The exfoliation step in the instant invention does not involve the evolution of undesirable species, such as NO.sub.x and SO.sub.x, which are common by-products of exfoliating conventional sulfuric or nitric acid-intercalated graphite compounds. The nano-scaled platelets are candidate reinforcement fillers for polymer nanocomposites. Nano-scaled graphene platelets are much lower-cost alternatives to carbon nano-tubes or carbon nano-fibers.
Method of producing nano-scaled inorganic platelets
Zhamu, Aruna; Jang, Bor Z.
2012-11-13
The present invention provides a method of exfoliating a layered material (e.g., transition metal dichalcogenide) to produce nano-scaled platelets having a thickness smaller than 100 nm, typically smaller than 10 nm. The method comprises (a) dispersing particles of a non-graphite laminar compound in a liquid medium containing therein a surfactant or dispersing agent to obtain a stable suspension or slurry; and (b) exposing the suspension or slurry to ultrasonic waves at an energy level for a sufficient length of time to produce separated nano-scaled platelets. The nano-scaled platelets are candidate reinforcement fillers for polymer nanocomposites.
Use of terbium as a probe of tRNA tertiary structure and folding.
Hargittai, M R; Musier-Forsyth, K
2000-01-01
Lanthanide metals such as terbium have previously been shown to be useful for mapping metal-binding sites in RNA. Terbium binds to the same sites on RNA as magnesium, however, with a much higher affinity. Thus, low concentrations of terbium ions can easily displace magnesium and promote phosphodiester backbone scission. At higher concentrations, terbium cleaves RNA in a sequence-independent manner, with a preference for single-stranded, non-Watson-Crick base-paired regions. Here, we show that terbium is a sensitive probe of human tRNALys,3 tertiary structure and folding. When 1 microM tRNA is used, the optimal terbium ion concentration for detecting Mg2+-induced tertiary structural changes is 50-60 microM. Using these concentrations of RNA and terbium, a magnesium-dependent folding transition with a midpoint (KMg) of 2.6 mM is observed for unmodified human tRNALys,3. At lower Tb3+ concentrations, cleavage is restricted to nucleotides that constitute specific metal-binding pockets. This small chemical probe should also be useful for detecting protein induced structural changes in RNA. PMID:11105765
Yagami, Kei; Yamawaki-Ogata, Aika; Satake, Makoto; Kaneko, Hiroaki; Oshima, Hideki; Usui, Akihiko; Ueda, Yuichi; Narita, Yuji
2013-01-01
OBJECTIVES Arterial graft spasm occasionally causes circulatory collapse immediately following coronary artery bypass graft. The aim of this study is to evaluate the efficacy of our developed materials, which were composed of milrinone (phosphodiesterase III inhibitor) or diltiazem (calcium-channel blocker), with nano-scaled fibre made of biodegradable polymer to prevent arterial spasm. METHODS Milrinone- or diltiazem-releasing biodegradable nano-scaled fibres were fabricated by an electrospinning procedure. In vivo milrinone- or diltiazem-releasing tests were performed to confirm the sustained release of the drugs. An in vivo arterial spasm model was established by subcutaneous injection of noradrenalin around the rat femoral artery. Rats were randomly divided into four groups as follows: those that received 5 mg of milrinone-releasing biodegradable nano-scaled fibre (group M, n = 14); 5 mg of diltiazem-releasing biodegradable nano-scaled fibre (group D, n = 12); or those that received fibre without drugs (as a control; group C, n = 14) implanted into the rat femoral artery. In the fourth group, sham operation was performed (group S, n = 10). One day after the implantation, noradrenalin was injected in all groups. The femoral arterial blood flow was measured continuously before and after noradrenalin injection. The maximum blood flow before noradrenalin injection and minimum blood flow after noradrenalin injection were measured. RESULTS In vivo drug-releasing test revealed that milrinone-releasing biodegradable nano-scaled fibre released 78% of milrinone and diltiazem-releasing biodegradable nano-scaled fibre released 50% diltiazem on the first day. The ratios of rat femoral artery blood flow after/before noradrenalin injection in groups M (0.74 ± 0.16) and D (0.72 ± 0.05) were significantly higher than those of groups C (0.54 ± 0.09) and S (0.55 ± 0.16) (P < 0.05). CONCLUSION Noradrenalin-induced rat femoral artery spasm was inhibited by the implantation of
Chen, Bin Bin; Liu, Meng Li; Zhan, Lei; Li, Chun Mei; Huang, Cheng Zhi
2018-03-20
Highly selective and sensitive detection of guanosine 3'-diphosphate-5'-diphosphate (ppGpp), namely, the stringent in plants or microorganisms responding to strict or extreme environmental conditions such as stress and starvation, which plays an important role in gene expression, rRNA and antibiotics production, regulations of virulence of bacteria, and growth of plants, faces a great challenge owing to its extreme similarity to normal nucleotides. By modifying the surface groups of a facile two-step hydrothermal route prepared carbon dots (CDs) with terbium ions (Tb 3+ ) in this contribution, a novel fluorescent probe with excellent properties such as highly physical and chemical stability, narrow emission and excitation wavelength-independent emission was prepared. The Tb 3+ ions on the surface of CDs cannot only preserve the intrinsic fluorescence (FL) of CDs but also keep its own coordination capacity with rare earth complex, and thus the clamp structure (four phosphate groups) of ppGpp can specific binding with Tb 3+ ions on the surface of CDs to produce antenna effect. Therefore, a highly selective and sensitive fluorescent ratiometry of ppGpp was developed by terbium-modified carbon dots (CDs-Tb) with the limit of detection as low as 50 nM based on the synergistic effect of antenna effect of Tb 3+ ions and specific recognition capacity of CDs. The applicability of this assay was demonstrated by CDs-Tb-based paper sensor for high distinguishing ppGpp from other nucleotides with similar structure.
Thermodynamics of complexation in an aqueous solution of Tb(III) nitrate at 298 K
NASA Astrophysics Data System (ADS)
Lobacheva, O. L.; Berlinskii, I. V.; Dzhevaga, N. V.
2017-01-01
The pH of the formation of hydroxo complexes and hydrates in an aqueous solution of terbium Tb(III) is determined using combined means of potentiometric and conductometric titration. The stability constants of the hydroxo complexes, the products of hydroxide solubility, and the Gibbs energy of terbium hydroxo complex formation are calculated.
Wen, Guo-Xuan; Han, Min-Le; Wu, Xue-Qian; Wu, Ya-Pan; Dong, Wen-Wen; Zhao, Jun; Li, Dong-Sheng; Ma, Lu-Fang
2016-10-04
A super-stable multifunctional terbium(iii)-organic framework, namely {[Tb(TATAB) (H 2 O) 2 ]·NMP·H 2 O} n (Tb-MOF, H 3 TATAB = 4,4',4''-s-triazine-1,3,5-triyltri-m-aminobenzoic acid, NMP = N-methyl-2-pyrrolidone) was synthesized. Tb-MOF exhibits a 2D sql structure with binuclear [Tb 2 (COO) 4 (H 2 O) 4 ] 2+ units as 4-connected nodes, and free water and NMP molecules are inserted between 2D layers through hydrogen-bonding interactions, forming a sandwich-type architecture. Observably, such a framework remains intact in a remarkable variety of environments such as common solvents and aqueous solutions with metal cations and inorganic anions, as well as with a pH ranging from 1 to 13. In particular, Tb-MOF can not only detect small organic molecules, metal cations and inorganic anions with high sensitivity and high selectivity, but also can accurately detect explosive 2-nitrophenol, 3-nitrophenol, 4-nitrophenol and 2,4,6-trinitrophenol in water. Its luminescence quenching response to Fe 3+ and Cr 2 O 7 2- ions can be explained in terms of the competitive absorption mechanism. In addition, the luminescence intensity of Tb-MOF is strongly correlated with the pH value in a pH range from 1 to 13. Thus, this material can be potentially used as a multi-responsive luminescent sensor.
Scaling laws for nanoFET sensors
NASA Astrophysics Data System (ADS)
Zhou, Fu-Shan; Wei, Qi-Huo
2008-01-01
The sensitive conductance change of semiconductor nanowires and carbon nanotubes in response to the binding of charged molecules provides a novel sensing modality which is generally denoted as nanoFET sensors. In this paper, we study the scaling laws of nanoplate FET sensors by simplifying nanoplates as random resistor networks with molecular receptors sitting on lattice sites. Nanowire/tube FETs are included as the limiting cases where the device width goes small. Computer simulations show that the field effect strength exerted by the binding molecules has significant impact on the scaling behaviors. When the field effect strength is small, nanoFETs have little size and shape dependence. In contrast, when the field effect strength becomes stronger, there exists a lower detection threshold for charge accumulation FETs and an upper detection threshold for charge depletion FET sensors. At these thresholds, the nanoFET devices undergo a transition between low and large sensitivities. These thresholds may set the detection limits of nanoFET sensors, while they could be eliminated by designing devices with very short source-drain distance and large width.
Enhancement of arsenite removal using manganese oxide coupled with iron (III) trimesic
NASA Astrophysics Data System (ADS)
Phanthasri, Jakkapop; Khamdahsag, Pummarin; Jutaporn, Panitan; Sorachoti, Kwannapat; Wantala, Kitirote; Tanboonchuy, Visanu
2018-01-01
A simultaneous removal of As(III) was investigated on a mixture of manganese oxide based octahedral molecular sieves (K-OMS2) and iron-benzenetricarboxylate (Fe-BTC). As(III) removal was stimulated by an oxidation cooperated with adsorption process. K-OMS2 and Fe-BTC were separately synthesized and characterized by X-ray diffraction (XRD), Transmission Electron Microscopy (TEM), and X-ray photoelectron spectroscopy (XPS). K-OMS2 showed characters of pure cryptomelane phase, nanorod structure, and a mixed-valent manganese framework with the coexistence of Mn(IV) and Mn(III). As(III) was successfully oxidized to As(V) by K-OMS2 in a temperature range of 303-333 K. An intermediate adsorption of As(V) was carried out with Fe-BTC in the same batch. A maximum adsorption capacity, described by Langmuir isotherm model, was observed at 76.34 mg/g. With an As(III) initial concentration of 5 mg/L, when K-OMS2 and Fe-BTC were simultaneously introduced into the solution, the As(III) removal process was completed within 60 min. Thus, it shortened the process time compared to the case where K-OMS2 was added first, followed by the addition of Fe-BTC.
Scaling Laws for NanoFET Sensors
NASA Astrophysics Data System (ADS)
Wei, Qi-Huo; Zhou, Fu-Shan
2008-03-01
In this paper, we report our numerical studies of the scaling laws for nanoplate field-effect transistor (FET) sensors by simplifying the nanoplates as random resistor networks. Nanowire/tube FETs are included as the limiting cases where the device width goes small. Computer simulations show that the field effect strength exerted by the binding molecules has significant impact on the scaling behaviors. When the field effect strength is small, nanoFETs have little size and shape dependence. In contrast, when the field-effect strength becomes stronger, there exists a lower detection threshold for charge accumulation FETs and an upper detection threshold for charge depletion FET sensors. At these thresholds, the nanoFET devices undergo a transition between low and large sensitivities. These thresholds may set the detection limits of nanoFET sensors. We propose to eliminate these detection thresholds by employing devices with very short source-drain distance and large width.
Method of producing exfoliated graphite, flexible graphite, and nano-scaled graphene platelets
Zhamu, Aruna; Shi, Jinjun; Guo, Jiusheng; Jang, Bor Z.
2010-11-02
The present invention provides a method of exfoliating a layered material (e.g., graphite and graphite oxide) to produce nano-scaled platelets having a thickness smaller than 100 nm, typically smaller than 10 nm. The method comprises (a) dispersing particles of graphite, graphite oxide, or a non-graphite laminar compound in a liquid medium containing therein a surfactant or dispersing agent to obtain a stable suspension or slurry; and (b) exposing the suspension or slurry to ultrasonic waves at an energy level for a sufficient length of time to produce separated nano-scaled platelets. The nano-scaled platelets are candidate reinforcement fillers for polymer nanocomposites. Nano-scaled graphene platelets are much lower-cost alternatives to carbon nano-tubes or carbon nano-fibers.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Darafsheh, A; Paik, T; Tenuto, M
Purpose: Optical properties of terbium (Tb3+)-doped gadolinium trifluoride (GdF3) nanoplates irradiated by electron and photon beams were investigated for their potential as optical probes. The contribution of induced Cerenkov radiation in exciting the nanophosphors was investigated as well. Methods: The emission spectra of Terbium-doped GdF3 dispersed in hexane, embedded in tissue mimicking phantoms were collected by an optical fiber connected to a CCD-coupled spectrograph, while the samples were irradiated by a medical linear accelerator with electron beams of energies 6, 9, 12, 16, and 20 MeV or X-ray beams of energies of 6, and 15 MV. The contribution of inducedmore » Cerenkov radiation in exciting the nanophosphores was investigated in a dedicated experimental apparatus through optical isolation of the samples and also by using 125 kVp X-ray beams whose energy is below the threshold for generating Cerenkov radiation in that medium. Results: Terbium-doped GdF3 nanoplates show characteristic cathodoluminescence emission peaks at 488, 543, 586, and 619 nm, which are responsible for the characteristic f-f transition of terbium ion. In a series of experiments, the contribution of Cerenkov radiation in the luminescence of such nanophosphors was ruled out. Conclusion: We have characterized the optical properties of Terbium-doped GdF3 nanoplates. Such nanocrystals with emission tunability and high surface area that facilitates attachment with targeting reagents are promising in situ light source candidates for molecular imaging or exciting a photosensitizer for ultralow fluence photodynamic therapy. This work is supported by the Department of Radiation Oncology at the University of Pennsylvania, the American Cancer Society through IRG-78-002-28, and the University of Pennsylvania's Nano/Bio Interface Center through NSEC DMR08-32802.« less
NASA Astrophysics Data System (ADS)
Guo, Changchuan; Wang, Lei; Hou, Zhun; Jiang, Wei; Sang, Lihong
2009-05-01
A terbium-sensitized spectrofluorimetric method using an anionic surfactant, sodium dodecyl benzene sulfonate (SDBS), was developed for the determination of gatifloxacin (GFLX). A coordination complex system of GFLX-Tb 3+-SDBS was studied. It was found that SDBS significantly enhanced the fluorescence intensity of the complex (about 11-fold). Optimal experimental conditions were determined as follows: excitation and emission wavelengths of 331 and 547 nm, pH 7.0, 2.0 × 10 -4 mol l -1 terbium (III), and 2.0 × 10 -4 mol l -1 SDBS. The enhanced fluorescence intensity of the system (Δ If) showed a good linear relationship with the concentration of GFLX over the range of 5.0 × 10 -10 to 5.0 × 10 -8 mol l -1 with a correlation coefficient of 0.9996. The detection limit (3 σ) was determined as 6.0 × 10 -11 mol l -1. This method has been successfully applied to the determination of GFLX in pharmaceuticals and human urine/serum samples. Compared with most of other methods reported, the rapid and simple procedure proposed in the text offers higher sensitivity, wider linear range, and better stability. The interaction mechanism of the system is also studied by the research of ultraviolet absorption spectra, surface tension, solution polarity and fluorescence polarization.
"Nano" Scale Biosignatures and the Search for Extraterrestrial Life
NASA Technical Reports Server (NTRS)
Oehler, D. Z.; Robert, F.; Meibom, A.; Mostefaoui, S.; Selo, M.; Walter, M. R.; Sugitani, K.; Allwood, A.; Mimura, K.; Gibson, E. K.
2008-01-01
A critical step in the search for remnants of potential life forms on other planets lies in our ability to recognize indigenous fragments of ancient microbes preserved in some of Earth's oldest rocks. To this end, we are building a database of nano-scale chemical and morphological characteristics of some of Earth's oldest organic microfossils. We are primarily using the new technology of Nano-Secondary ion mass spectrometry (NanoSIMS) which provides in-situ, nano-scale elemental analysis of trace quantities of organic residues. The initial step was to characterize element composition of well-preserved, organic microfossils from the late Proterozoic (0.8 Ga) Bitter Springs Formation of Australia. Results from that work provide morphologic detail and nitrogen/carbon ratios that appear to reflect the well-established biological origin of these 0.8 Ga fossils.
Advances in nano-scaled biosensors for biomedical applications.
Wang, Jianling; Chen, Guihua; Jiang, Hui; Li, Zhiyong; Wang, Xuemei
2013-08-21
Recently, a growing amount of attention has been focused on the utility of biosensors for biomedical applications. Combined with nanomaterials and nanostructures, nano-scaled biosensors are installed for biomedical applications, such as pathogenic bacteria monitoring, virus recognition, disease biomarker detection, among others. These nano-biosensors offer a number of advantages and in many respects are ideally suited to biomedical applications, which could be made as extremely flexible devices, allowing biomedical analysis with speediness, excellent selectivity and high sensitivity. This minireview discusses the literature published in the latest years on the advances in biomedical applications of nano-scaled biosensors for disease bio-marking and detection, especially in bio-imaging and the diagnosis of pathological cells and viruses, monitoring pathogenic bacteria, thus providing insight into the future prospects of biosensors in relevant clinical applications.
NASA Astrophysics Data System (ADS)
Liu, Heng; Hu, Yujie; Wang, Hao; Jiang, Bo; Xu, Xuechun; Cai, Yingxiang
2017-01-01
The study of molecule dissociation is helpful to disclose the nature of chemical bonds and to extend molecular functions. The double-decker bis(phthalocyaninato) terbium(III) complex (TbPc2) is a promising single-molecule magnet (SMM) which exhibits potential applications in spin-devices. In this study, we investigate the dissociation and reconstruction of TbPc2 on Pd(001) surface. The results show that a single TbPc2 adsorbed on Pd(001) tends to split into Pc/Pd(001)+TbPc. However, the TbPc/Pd(001)+Pc might also be observed in an experimental study due to only a slight difference in their dissociation energy. The TbPc2 molecules on Pd(001) will form a (5×3) reconstruction which is different from the (3×4) reconstruction of PbPc on Pd(001). If the TbPc2 molecules with (5×3) reconstruction is dissociated, this reconstruction will be inherited by its daughter molecules due to strong molecule-substrate interaction. In addition, nudged elastic band (NEB) calculation shows that Tb-down is the stable state of TbPc/Pd(001) and Tb-up is a metastable state. The transition between two states might be utilized to realize TbPc's switch or storage functions.
MD Simulation on Collision Behavior Between Nano-Scale TiO₂ Particles During Vacuum Cold Spraying.
Yao, Hai-Long; Yang, Guan-Jun; Li, Chang-Jiu
2018-04-01
Particle collision behavior influences significantly inter-nano particle bonding formation during the nano-ceramic coating deposition by vacuum cold spraying (or aerosol deposition method). In order to illuminate the collision behavior between nano-scale ceramic particles, molecular dynamic simulation was applied to explore impact process between nano-scale TiO2 particles through controlling impact velocities. Results show that the recoil efficiency of the nano-scale TiO2 particle is decreased with the increase of the impact velocity. Nano-scale TiO2 particle exhibits localized plastic deformation during collision at low velocities, while it is intensively deformed by collision at high velocities. This intensive deformation promotes the nano-particle adhesion rather than rebounding off. A relationship between the adhesion energy and the rebound energy is established for the bonding formation of the nano-scale TiO2 particle. The adhesion energy required to the bonding formation between nano-scale ceramic particles can be produced by high velocity collision.
NASA Astrophysics Data System (ADS)
Ma, Mengjiao; Li, Congcong; Shu, Dengkun; Wang, Chaohua; Xi, Peng
2018-02-01
A photoluminescent terbium (Tb) complex involving a novel benzoic-acid compound with a unique coordinated structure, namely 1,4-bis(carbonylmethyl)terephthalate (BCMT), has been designed and synthesized. The new coordinate structure and energy-transfer mechanism between the ligand and Tb(III) ions were investigated in detail. The results demonstrated that the BCMT-Tb(III) complex shows strong fluorescence intensity (4 × 106 a.u.) and long fluorescence lifetime (1.302 ms), owing to the favorable degree of energy matching between the triplet excited level of the ligand and the resonant level of Tb(III) ions. Based on the analysis of three-dimensional luminescence spectra, the as-prepared Tb(III) complex can be effectively excited in the range of 250-310 nm, and it shows high color purity, with a bright green appearance.
Plasmonic Nanostructures for Nano-Scale Bio-Sensing
Chung, Taerin; Lee, Seung-Yeol; Song, Eui Young; Chun, Honggu; Lee, Byoungho
2011-01-01
The optical properties of various nanostructures have been widely adopted for biological detection, from DNA sequencing to nano-scale single molecule biological function measurements. In particular, by employing localized surface plasmon resonance (LSPR), we can expect distinguished sensing performance with high sensitivity and resolution. This indicates that nano-scale detections can be realized by using the shift of resonance wavelength of LSPR in response to the refractive index change. In this paper, we overview various plasmonic nanostructures as potential sensing components. The qualitative descriptions of plasmonic nanostructures are supported by the physical phenomena such as plasmonic hybridization and Fano resonance. We present guidelines for designing specific nanostructures with regard to wavelength range and target sensing materials. PMID:22346679
Method of producing carbon coated nano- and micron-scale particles
Perry, W. Lee; Weigle, John C; Phillips, Jonathan
2013-12-17
A method of making carbon-coated nano- or micron-scale particles comprising entraining particles in an aerosol gas, providing a carbon-containing gas, providing a plasma gas, mixing the aerosol gas, the carbon-containing gas, and the plasma gas proximate a torch, bombarding the mixed gases with microwaves, and collecting resulting carbon-coated nano- or micron-scale particles.
Bala, Manju; Kumar, Satish; Devi, Rekha; Khatkar, Avni; Taxak, V B; Boora, Priti; Khatkar, S P
2018-06-04
A series of five new terbium(III) ion complexes with 4,4-difluoro-1-phenylbutane-1,3-dione (HDPBD) and anciliary ligands was synthesized. The composition and properties of complexes were analyzed by elemental analysis, IR, NMR, powder X-ray diffaraction, TG-DTG and photoluminescence spectroscopy. These complexes exhibited ligand sensitized green emission at 546 nm associated with 5 D 4 → 7 F 5 transitions of terbium ion in the emission spectra. The photoluminescence study manifested that the organic ligands act as antenna and facilitate the absorbed energy to emitting levels of Tb(III) ion efficiently. The enhanced luminescence intensity and decay time of ternary C2-C5 complexes observed due to synergistic effect of anciliary ligands. The CIE color coordinates of complexes came under the green region of chromaticity diagram. The mechanistic investigation of intramolecular energy transfer in the complexes was discussed in detail. These terbium(III) complexes can be thrivingly used as one of the green component in light emitting material and in display devices. Graphical Abstract Illustrate the sensitization process of the Tb ion and intramolecular energy transfer process in the Tb 3+ complex.
NASA Astrophysics Data System (ADS)
Lu, Haiyan; Yson, Renante; Ford, James; Tracy, Henry J.; Carrier, Alora B.; Keller, Aaron; Mullin, Jerome L.; Poissan, Michelle J.; Sawan, Samuel; Patterson, Howard H.
2007-07-01
We report on the heterobimetallic system, Tb[Ag xAu 1- x(CN) 2] 3 ( x = 0 → 1), in which sensitization of terbium luminescence occurs by energy transfer from [Ag xAu 1- x(CN) 2] - donor excited states. The donor states have energies which are tunable and dependent on the Ag/Au stoichiometric ratio. We report on their use as donor systems with Tb(III) ions as acceptor ions in energy transfer studies. Luminescence results show that the mixed metal dicyanides with the higher silver loading have a better energy transfer efficiency than the pure Ag(CN)2- and Au(CN)2- donors. The better energy transfer efficiency is due to the greater overlap between the donor emission and acceptor excitation.
Harris, Michael; Henoumont, Céline; Peeters, Wannes; Toyouchi, Shuichi; Vander Elst, Luce; Parac-Vogt, Tatjana N
2018-05-29
Lanthanides, holmium(iii), dysprosium(iii), and terbium(iii), were coordinated to an amphiphilic DOTA bis-coumarin derivative and then further assembled with an amphiphilic europium(iii) DTPA bis-coumarin derivative into mono-disperse micelles. The self-assembled micelles were characterized and assessed for their potential as bimodal contrast agents for high field magnetic resonance and optical imaging applications. All micelles showed a high transverse relaxation (r2) of 46, 34, and 30 s-1 mM-1 at 500 MHz and 37 °C for Dy(iii), Ho(iii) and Tb(iii), respectively, which is a result of the high magnetic moment of these lanthanides and the long rotational correlation time of the micelles. The quantum yield in aqueous solution ranged from 1.8% for Tb/Eu to 1.4% for Dy/Eu and 1.0% for the Ho/Eu micelles. Multi-photon excited emission spectroscopy has shown that due to the two-photon absorption of the coumarin chromophore the characteristic Eu(iii) emission could be observed upon excitation at 800 nm, demonstrating the usefulness of the system for in vivo fluorescence imaging applications. To the best of our knowledge, this is the first example reporting the potential of a holmium(iii) chelate as a negative MRI contrast agent.
Zairov, Rustem; Mustafina, Asiya; Shamsutdinova, Nataliya; Nizameev, Irek; Moreira, Beatriz; Sudakova, Svetlana; Podyachev, Sergey; Fattakhova, Alfia; Safina, Gulnara; Lundstrom, Ingemar; Gubaidullin, Aidar; Vomiero, Alberto
2017-01-01
Polyelectrolyte-coated nanoparticles consisting of terbium and gadolinium complexes with calix[4]arene tetra-diketone ligand were first synthesized. The antenna effect of the ligand on Tb(III) green luminescence and the presence of water molecules in the coordination sphere of Gd(III) bring strong luminescent and magnetic performance to the core-shell nanoparticles. The size and the core-shell morphology of the colloids were studied using transmission electron microscopy and dynamic light scattering. The correlation between photophysical and magnetic properties of the nanoparticles and their core composition was highlighted. The core composition was optimized for the longitudinal relaxivity to be greater than that of the commercial magnetic resonance imaging (MRI) contrast agents together with high level of Tb(III)-centered luminescence. The tuning of both magnetic and luminescent output of nanoparticles is obtained via the simple variation of lanthanide chelates concentrations in the initial synthetic solution. The exposure of the pheochromocytoma 12 (PC 12) tumor cells and periphery human blood lymphocytes to nanoparticles results in negligible effect on cell viability, decreased platelet aggregation and bright coloring, indicating the nanoparticles as promising candidates for dual magneto-fluorescent bioimaging. PMID:28091590
NASA Astrophysics Data System (ADS)
Zairov, Rustem; Mustafina, Asiya; Shamsutdinova, Nataliya; Nizameev, Irek; Moreira, Beatriz; Sudakova, Svetlana; Podyachev, Sergey; Fattakhova, Alfia; Safina, Gulnara; Lundstrom, Ingemar; Gubaidullin, Aidar; Vomiero, Alberto
2017-01-01
Polyelectrolyte-coated nanoparticles consisting of terbium and gadolinium complexes with calix[4]arene tetra-diketone ligand were first synthesized. The antenna effect of the ligand on Tb(III) green luminescence and the presence of water molecules in the coordination sphere of Gd(III) bring strong luminescent and magnetic performance to the core-shell nanoparticles. The size and the core-shell morphology of the colloids were studied using transmission electron microscopy and dynamic light scattering. The correlation between photophysical and magnetic properties of the nanoparticles and their core composition was highlighted. The core composition was optimized for the longitudinal relaxivity to be greater than that of the commercial magnetic resonance imaging (MRI) contrast agents together with high level of Tb(III)-centered luminescence. The tuning of both magnetic and luminescent output of nanoparticles is obtained via the simple variation of lanthanide chelates concentrations in the initial synthetic solution. The exposure of the pheochromocytoma 12 (PC 12) tumor cells and periphery human blood lymphocytes to nanoparticles results in negligible effect on cell viability, decreased platelet aggregation and bright coloring, indicating the nanoparticles as promising candidates for dual magneto-fluorescent bioimaging.
Effects of Al(III) and Nano-Al13 Species on Malate Dehydrogenase Activity
Yang, Xiaodi; Cai, Ling; Peng, Yu; Li, Huihui; Chen, Rong Fu; Shen, Ren Fang
2011-01-01
The effects of different aluminum species on malate dehydrogenase (MDH) activity were investigated by monitoring amperometric i-t curves for the oxidation of NADH at low overpotential using a functionalized multi-wall nanotube (MWNT) modified glass carbon electrode (GCE). The results showed that Al(III) and Al13 can activate the enzymatic activity of MDH, and the activation reaches maximum levels as the Al(III) and Al13 concentration increase. Our study also found that the effects of Al(III) and Al13 on the activity of MDH depended on the pH value and aluminum speciation. Electrochemical and circular dichroism spectra methods were applied to study the effects of nano-sized aluminum compounds on biomolecules. PMID:22163924
Effects of Al(III) and nano-Al13 species on malate dehydrogenase activity.
Yang, Xiaodi; Cai, Ling; Peng, Yu; Li, Huihui; Chen, Rong Fu; Shen, Ren Fang
2011-01-01
The effects of different aluminum species on malate dehydrogenase (MDH) activity were investigated by monitoring amperometric i-t curves for the oxidation of NADH at low overpotential using a functionalized multi-wall nanotube (MWNT) modified glass carbon electrode (GCE). The results showed that Al(III) and Al(13) can activate the enzymatic activity of MDH, and the activation reaches maximum levels as the Al(III) and Al(13) concentration increase. Our study also found that the effects of Al(III) and Al(13) on the activity of MDH depended on the pH value and aluminum speciation. Electrochemical and circular dichroism spectra methods were applied to study the effects of nano-sized aluminum compounds on biomolecules.
Brillouin gain enhancement in nano-scale photonic waveguide
NASA Astrophysics Data System (ADS)
Nouri Jouybari, Soodabeh
2018-05-01
The enhancement of stimulated Brillouin scattering in nano-scale waveguides has a great contribution in the improvement of the photonic devices technology. The key factors in Brillouin gain are the electrostriction force and radiation pressure generated by optical waves in the waveguide. In this article, we have proposed a new scheme of nano-scale waveguide in which the Brillouin gain is considerably improved compared to the previously-reported schemes. The role of radiation pressure in the Brillouin gain was much higher than the role of the electrostriction force. The Brillouin gain strongly depends on the structural parameters of the waveguide and the maximum value of 12127 W-1 m-1 is obtained for the Brillouin gain.
Multi-scale Modeling and Analysis of Nano-RFID Systems on HPC Setup
NASA Astrophysics Data System (ADS)
Pathak, Rohit; Joshi, Satyadhar
In this paper we have worked out on some the complex modeling aspects such as Multi Scale modeling, MATLAB Sugar based modeling and have shown the complexities involved in the analysis of Nano RFID (Radio Frequency Identification) systems. We have shown the modeling and simulation and demonstrated some novel ideas and library development for Nano RFID. Multi scale modeling plays a very important role in nanotech enabled devices properties of which cannot be explained sometimes by abstraction level theories. Reliability and packaging still remains one the major hindrances in practical implementation of Nano RFID based devices. And to work on them modeling and simulation will play a very important role. CNTs is the future low power material that will replace CMOS and its integration with CMOS, MEMS circuitry will play an important role in realizing the true power in Nano RFID systems. RFID based on innovations in nanotechnology has been shown. MEMS modeling of Antenna, sensors and its integration in the circuitry has been shown. Thus incorporating this we can design a Nano-RFID which can be used in areas like human implantation and complex banking applications. We have proposed modeling of RFID using the concept of multi scale modeling to accurately predict its properties. Also we give the modeling of MEMS devices that are proposed recently that can see possible application in RFID. We have also covered the applications and the advantages of Nano RFID in various areas. RF MEMS has been matured and its devices are being successfully commercialized but taking it to limits of nano domains and integration with singly chip RFID needs a novel approach which is being proposed. We have modeled MEMS based transponder and shown the distribution for multi scale modeling for Nano RFID.
Nano-scale processes behind ion-beam cancer therapy
NASA Astrophysics Data System (ADS)
Surdutovich, Eugene; Garcia, Gustavo; Mason, Nigel; Solov'yov, Andrey V.
2016-04-01
This topical issue collates a series of papers based on new data reported at the third Nano-IBCT Conference of the COST Action MP1002: Nanoscale Insights into Ion Beam Cancer Therapy, held in Boppard, Germany, from October 27th to October 31st, 2014. The Nano-IBCT COST Action was launched in December 2010 and brought together more than 300 experts from different disciplines (physics, chemistry, biology) with specialists in radiation damage of biological matter from hadron-therapy centres, and medical institutions. This meeting followed the first and the second conferences of the Action held in October 2011 in Caen, France and in May 2013 in Sopot, Poland respectively. This conference series provided a focus for the European research community and has highlighted the pioneering research into the fundamental processes underpinning ion beam cancer therapy. Contribution to the Topical Issue "COST Action Nano-IBCT: Nano-scale Processes Behind Ion-Beam Cancer Therapy", edited by Andrey V. Solov'yov, Nigel Mason, Gustavo Garcia and Eugene Surdutovich.
[Study on preparation of composite nano-scale Fe3O4 for phosphorus control].
Li, Lei; Pan, Gang; Chen, Hao
2010-03-01
Composite nano-scale Fe3O4 particles were prepared in sodium carboxymethyl cellulose (CMC) solution by the oxidation deposition method. The adsorptions of phosphorus by micro-scale Fe3O4 and composite nano-scale Fe3O4 were investigated in water and soil, and the role of cellulase in the adsorption of composite nano-scale Fe3O4 was studied. Kinetic tests indicated that the equilibrium adsorption capacity of phosphorous on the composite nano-scale Fe3O4 (2.1 mg/g) was less than that of micro-scale Fe3O4 (3.2 mg/g). When cellulase was added to the solution of composite nano-scale Fe3O4 to degrade CMC, the removal rate of P by the nanoparticles (86%) was enhanced to the same level as the microparticles (90%). In the column tests, when the composite nano-scale Fe3O4 suspension was introduced in the downflow mode through the soil column, 72% of Fe3O4 penetrated through the soil bed under gravity. In contrast, the micro-scale Fe3O4 failed to pass through the soil column. The retention rate of P was 45% in the soil column when treated by the CMC-stabilized nanoparticles, in comparison with only 30% for the untreated soil column, however it could be improved to 74% in the soil column when treated by both the CMC-stabilized nanoparticles and cellulase, which degraded CMC after the nanoparticles were delivered into the soil.
Intelligent Design of Nano-Scale Molecular Imaging Agents
Kim, Sung Bae; Hattori, Mitsuru; Ozawa, Takeaki
2012-01-01
Visual representation and quantification of biological processes at the cellular and subcellular levels within living subjects are gaining great interest in life science to address frontier issues in pathology and physiology. As intact living subjects do not emit any optical signature, visual representation usually exploits nano-scale imaging agents as the source of image contrast. Many imaging agents have been developed for this purpose, some of which exert nonspecific, passive, and physical interaction with a target. Current research interest in molecular imaging has mainly shifted to fabrication of smartly integrated, specific, and versatile agents that emit fluorescence or luminescence as an optical readout. These agents include luminescent quantum dots (QDs), biofunctional antibodies, and multifunctional nanoparticles. Furthermore, genetically encoded nano-imaging agents embedding fluorescent proteins or luciferases are now gaining popularity. These agents are generated by integrative design of the components, such as luciferase, flexible linker, and receptor to exert a specific on–off switching in the complex context of living subjects. In the present review, we provide an overview of the basic concepts, smart design, and practical contribution of recent nano-scale imaging agents, especially with respect to genetically encoded imaging agents. PMID:23235326
Intelligent design of nano-scale molecular imaging agents.
Kim, Sung Bae; Hattori, Mitsuru; Ozawa, Takeaki
2012-12-12
Visual representation and quantification of biological processes at the cellular and subcellular levels within living subjects are gaining great interest in life science to address frontier issues in pathology and physiology. As intact living subjects do not emit any optical signature, visual representation usually exploits nano-scale imaging agents as the source of image contrast. Many imaging agents have been developed for this purpose, some of which exert nonspecific, passive, and physical interaction with a target. Current research interest in molecular imaging has mainly shifted to fabrication of smartly integrated, specific, and versatile agents that emit fluorescence or luminescence as an optical readout. These agents include luminescent quantum dots (QDs), biofunctional antibodies, and multifunctional nanoparticles. Furthermore, genetically encoded nano-imaging agents embedding fluorescent proteins or luciferases are now gaining popularity. These agents are generated by integrative design of the components, such as luciferase, flexible linker, and receptor to exert a specific on-off switching in the complex context of living subjects. In the present review, we provide an overview of the basic concepts, smart design, and practical contribution of recent nano-scale imaging agents, especially with respect to genetically encoded imaging agents.
Semiconductor composition containing iron, dysprosium, and terbium
DOE Office of Scientific and Technical Information (OSTI.GOV)
Pooser, Raphael C.; Lawrie, Benjamin J.; Baddorf, Arthur P.
An amorphous semiconductor composition includes 1 to 70 atomic percent iron, 15 to 65 atomic percent dysprosium, 15 to 35 atomic percent terbium, balance X, wherein X is at least one of an oxidizing element and a reducing element. The composition has an essentially amorphous microstructure, an optical transmittance of at least 50% in at least the visible spectrum and semiconductor electrical properties.
In situ thermomechanical testing methods for micro/nano-scale materials.
Kang, Wonmo; Merrill, Marriner; Wheeler, Jeffrey M
2017-02-23
The advance of micro/nanotechnology in energy-harvesting, micropower, electronic devices, and transducers for automobile and aerospace applications has led to the need for accurate thermomechanical characterization of micro/nano-scale materials to ensure their reliability and performance. This persistent need has driven various efforts to develop innovative experimental techniques that overcome the critical challenges associated with precise mechanical and thermal control of micro/nano-scale specimens during material characterization. Here we review recent progress in the development of thermomechanical testing methods from miniaturized versions of conventional macroscopic test systems to the current state of the art of in situ uniaxial testing capabilities in electron microscopes utilizing either indentation-based microcompression or integrated microsystems. We discuss the major advantages/disadvantages of these methods with respect to specimen size, range of temperature control, ease of experimentation and resolution of the measurements. We also identify key challenges in each method. Finally, we summarize some of the important discoveries that have been made using in situ thermomechanical testing and the exciting research opportunities still to come in micro/nano-scale materials.
Development of a Terbium-Sensitized Fluorescence Method for Analysis of Silibinin.
Ershadi, Saba; Jouyban, Abolghasem; Molavi, Ommoleila; Shayanfar, Ali
2017-05-01
Silibinin is a natural flavonoid with potent anticancer properties, as shown in both in vitro and in vivo experiments. Various methods have been used for silibinin analysis. Terbium-sensitized fluorescence methods have been widely used for the determination of drugs in pharmaceutical preparations and biological samples in recent years. The present work is aimed at providing a simple analytical method for the quantitative determination of silibinin in aqueous solutions based on the formation of a fluorescent complex with terbium ion. Terbium concentration, pH, and volume of buffer, the important effective parameters for the determination of silibinin by the proposed method, were optimized using response surface methodology. The fluorescence intensity of silibinin was measured at 545 nm using λex = 334 nm. The developed method was applied for the determination of silibinin in plasma samples after protein precipitation with acetone. Under optimum conditions, the method provided a linear range between 0.10 and 0.50 mg/L, with a coefficient of determination (R2) of 0.997. The LOD and LOQ were 0.034 and 0.112 mg/L, respectively. These results indicate that the developed method is a simple, low-cost, and suitable analytical method for the quantification of silibinin in aqueous solution and plasma samples.
Multi scale modeling of ignition and combustion of micro and nano aluminum particles
NASA Astrophysics Data System (ADS)
Puri, Puneesh
With renewed interest in nano scale energetic materials like aluminum, many fundamental issues concerning the ignition and combustion characteristics at nano scales, remain to be clarified. The overall aim of the current study is the establishment of a unified theory accommodating the various processes and mechanisms involved in the combustion and ignition of aluminum particles at micro and nano scales. A comprehensive review on the ignition and combustion of aluminum particles at multi scales was first performed identifying various processes and mechanisms involved. Research focus was also placed on the establishment of a Molecular Dynamics (MD) simulation tool to investigate the characteristics of nano-particulate aluminum through three major studies. The general computational framework involved parallelized preprocessing, post-processing and main code with capability to simulate different ensembles using appropriate algorithms. Size dependence of melting temperature of pure aluminum particles was investigated in the first study. Phenomena like dynamic coexistence of solid and liquid phase and effect of surface charges on melting were explored. The second study involved the study of effect of defects in the form of voids on melting of bulk and particulate phase aluminum. The third MD study was used to analyze the thermo-mechanical behavior of nano-sized aluminum particles with total diameter of 5-10 nm and oxide thickness of 1-2.5 nm. The ensuing solid-solid and solid-liquid phase changes in the core and shell, stresses developed within the shell, and the diffusion of aluminum cations in the oxide layer, were explored in depth for amorphous and crystalline oxide layers. In the limiting case, the condition for pyrophoricity/explosivity of nano-particulate aluminum was analyzed and modified. The size dependence of thermodynamic properties at nano scales were considered and incorporated into the existing theories developed for micro and larger scales. Finally, a
NASA Astrophysics Data System (ADS)
Ma, Yang-Yang; Li, Wen-Xian; Zheng, Yu-Shan; Bao, Jin-Rong; Li, Yi-Lian; Feng, Li-Na; Yang, Kui-Suo; Qiao, Yan; Wu, An-Ping
2018-03-01
Two novel core-shell structure ternary terbium composites SiO2(600)@Tb(MABA-Si)·L(L:dipy/phen) nanometre luminescence materials were prepared by ternary terbium complexes Tb(MABA-Si)·L2·(ClO4)3·2H2O shell grafted onto the surface of SiO2 microspheres. And corresponding ternary terbium complexes were synthesized using (CONH(CH2)3Si(OCH2CH3)3)2 (denoted as MABA-Si) as first ligand and L as second ligand coordinated with terbium perchlorate. The as-synthesized products were characterized by means of IR spectra, 1HNMR, element analysis, molar conductivity, SEM and TEM. It was found that the first ligand MABA-Si of terbium ternary complex hydrolysed to generate the Si-OH and the Si-OH condensate with the Si-OH on the surface of SiO2 microspheres; then ligand MABA-Si grafted onto the surface of SiO2 microspheres. The diameter of SiO2 core of SiO2(600)@Tb(MABA-Si)·L was approximately 600 nm. Interestingly, the luminescence properties demonstrate that the two core-shell structure ternary terbium composites SiO2(600)Tb(MABA-Si)·L(dipy/phen) exhibit strong emission intensities, which are 2.49 and 3.35 times higher than that of the corresponding complexes Tb(MABA-Si)·L2·(ClO4)3·2H2O, respectively. Luminescence decay curves show that core-shell structure ternary terbium composites have longer lifetime. Excellent luminescence properties enable the core-shell materials to have potential applications in medicine, industry, luminescent fibres and various biomaterials fields.
Ma, Yang-Yang; Li, Wen-Xian; Zheng, Yu-Shan; Bao, Jin-Rong; Li, Yi-Lian; Feng, Li-Na; Yang, Kui-Suo; Qiao, Yan; Wu, An-Ping
2018-03-01
Two novel core-shell structure ternary terbium composites SiO 2(600) @Tb(MABA-Si)·L(L:dipy/phen) nanometre luminescence materials were prepared by ternary terbium complexes Tb(MABA-Si)·L 2 ·(ClO 4 ) 3 ·2H 2 O shell grafted onto the surface of SiO 2 microspheres. And corresponding ternary terbium complexes were synthesized using (CONH(CH 2 ) 3 Si(OCH 2 CH 3 ) 3 ) 2 (denoted as MABA-Si) as first ligand and L as second ligand coordinated with terbium perchlorate. The as-synthesized products were characterized by means of IR spectra, 1 HNMR, element analysis, molar conductivity, SEM and TEM. It was found that the first ligand MABA-Si of terbium ternary complex hydrolysed to generate the Si-OH and the Si-OH condensate with the Si-OH on the surface of SiO 2 microspheres; then ligand MABA-Si grafted onto the surface of SiO 2 microspheres. The diameter of SiO 2 core of SiO 2(600) @Tb(MABA-Si)·L was approximately 600 nm. Interestingly, the luminescence properties demonstrate that the two core-shell structure ternary terbium composites SiO 2(600) Tb(MABA-Si)·L(dipy/phen) exhibit strong emission intensities, which are 2.49 and 3.35 times higher than that of the corresponding complexes Tb(MABA-Si)·L 2 ·(ClO 4 ) 3 ·2H 2 O, respectively. Luminescence decay curves show that core-shell structure ternary terbium composites have longer lifetime. Excellent luminescence properties enable the core-shell materials to have potential applications in medicine, industry, luminescent fibres and various biomaterials fields.
Ma, Yang-Yang; Zheng, Yu-Shan; Bao, Jin-Rong; Li, Yi-Lian; Feng, Li-Na; Yang, Kui-Suo; Qiao, Yan; Wu, An-Ping
2018-01-01
Two novel core–shell structure ternary terbium composites SiO2(600)@Tb(MABA-Si)·L(L:dipy/phen) nanometre luminescence materials were prepared by ternary terbium complexes Tb(MABA-Si)·L2·(ClO4)3·2H2O shell grafted onto the surface of SiO2 microspheres. And corresponding ternary terbium complexes were synthesized using (CONH(CH2)3Si(OCH2CH3)3)2 (denoted as MABA-Si) as first ligand and L as second ligand coordinated with terbium perchlorate. The as-synthesized products were characterized by means of IR spectra, 1HNMR, element analysis, molar conductivity, SEM and TEM. It was found that the first ligand MABA-Si of terbium ternary complex hydrolysed to generate the Si–OH and the Si–OH condensate with the Si–OH on the surface of SiO2 microspheres; then ligand MABA-Si grafted onto the surface of SiO2 microspheres. The diameter of SiO2 core of SiO2(600)@Tb(MABA-Si)·L was approximately 600 nm. Interestingly, the luminescence properties demonstrate that the two core–shell structure ternary terbium composites SiO2(600)Tb(MABA-Si)·L(dipy/phen) exhibit strong emission intensities, which are 2.49 and 3.35 times higher than that of the corresponding complexes Tb(MABA-Si)·L2·(ClO4)3·2H2O, respectively. Luminescence decay curves show that core–shell structure ternary terbium composites have longer lifetime. Excellent luminescence properties enable the core–shell materials to have potential applications in medicine, industry, luminescent fibres and various biomaterials fields. PMID:29657773
Study of the Emission Characteristics of Single-Walled CNT and Carbon Nano-Fiber Pyrograf III
NASA Astrophysics Data System (ADS)
Mousa, Marwan S.; Al-Akhras, M.-Ali H.; Daradkeh, Samer
2018-02-01
Field emission microscopy measurements from Single-Walled Carbon Nanotubes (SWCNTs) and Carbon Nano-Fibers Pyrograf III PR-1 (CNF) were performed. Details of the materials employed in the experiments are as follows: (a) Carbon Nano-Fibers Pyrograf III PR-1 (CNF), having an average fiber diameter that is ranging between (100-200) nm with a length of (30-100) μm. (b) Single walled Carbon Nanotubes were produced by high-pressure CO over Fe particle (HiPCO: High-Pressure Carbon Monoxide process), having an average diameter ranging between (1-4) nm with a length of (1-3) μm. The experiments were performed under vacuum pressure value of (10-7 mbar). The research work reported here includes the field electron emission current-voltage (I-V) characteristics and presented as Fowler-Nordheim (FN) plots and the spatial emission current distributions (electron emission images) obtained and analyzed in terms of electron source features. For both the SWCNT and the CNF a single spot pattern for the electron spatial; distributions were observed.
NASA Astrophysics Data System (ADS)
He, Xiangming; Li, Jianjun; Cheng, Hongwei; Jiang, Changyin; Wan, Chunrong
A novel synthesis of controlled crystallization and granulation was attempted to prepare nano-scale β-Ni(OH) 2 cathode materials for high power Ni-MH batteries. Nano-scale β-Ni(OH) 2 and Co(OH) 2 with a diameter of 20 nm were prepared by controlled crystallization, mixed by ball milling, and granulated to form about 5 μm spherical grains by spray drying granulation. Both the addition of nano-scale Co(OH) 2 and granulation significantly enhanced electrochemical performance of nano-scale Ni(OH) 2. The XRD and TEM analysis shown that there were a large amount of defects among the crystal lattice of as-prepared nano-scale Ni(OH) 2, and the DTA-TG analysis shown that it had both lower decomposition temperature and higher decomposition reaction rate, indicating less thermal stability, as compared with conventional micro-scale Ni(OH) 2, and indicating that it had higher electrochemical performance. The granulated grains of nano-scale Ni(OH) 2 mixed with nano-scale Co(OH) 2 at Co/Ni = 1/20 presented the highest specific capacity reaching its theoretical value of 289 mAh g -1 at 1 C, and also exhibited much improved electrochemical performance at high discharge capacity rate up to 10 C. The granulated grains of nano-scale β-Ni(OH) 2 mixed with nano-scale Co(OH) 2 is a promising cathode active material for high power Ni-MH batteries.
Nano-scaled top-down of bismuth chalcogenides based on electrochemical lithium intercalation
NASA Astrophysics Data System (ADS)
Chen, Jikun; Zhu, Yingjie; Chen, Nuofu; Liu, Xinling; Sun, Zhengliang; Huang, Zhenghong; Kang, Feiyu; Gao, Qiuming; Jiang, Jun; Chen, Lidong
2011-12-01
A two-step method has been used to fabricate nano-particles of layer-structured bismuth chalcogenide compounds, including Bi2Te3, Bi2Se3, and Bi2Se0.3Te2.7, through a nano-scaled top-down route. In the first step, lithium (Li) atoms are intercalated between the van der Waals bonded quintuple layers of bismuth chalcogenide compounds by controllable electrochemical process inside self-designed lithium ion batteries. And in the second step, the Li intercalated bismuth chalcogenides are subsequently exposed to ethanol, in which process the intercalated Li atoms would explode like atom-scaled bombs to exfoliate original microscaled powder into nano-scaled particles with size around 10 nm. The influence of lithium intercalation speed and amount to three types of bismuth chalcogenide compounds are compared and the optimized intercalation conditions are explored. As to maintain the phase purity of the final nano-particle product, the intercalation lithium amount should be well controlled in Se contained bismuth chalcogenide compounds. Besides, compared with binary bismuth chalcogenide compound, lower lithium intercalation speed should be applied in ternary bismuth chalcogenide compound.
Production of ultra-thin nano-scaled graphene platelets from meso-carbon micro-beads
Zhamu, Aruna; Guo, Jiusheng; Jang, Bor Z
2014-11-11
A method of producing nano-scaled graphene platelets (NGPs) having an average thickness no greater than 50 nm, typically less than 2 nm, and, in many cases, no greater than 1 nm. The method comprises (a) intercalating a supply of meso-carbon microbeads (MCMBs) to produce intercalated MCMBs; and (b) exfoliating the intercalated MCMBs at a temperature and a pressure for a sufficient period of time to produce the desired NGPs. Optionally, the exfoliated product may be subjected to a mechanical shearing treatment, such as air milling, air jet milling, ball milling, pressurized fluid milling, rotating-blade grinding, or ultrasonicating. The NGPs are excellent reinforcement fillers for a range of matrix materials to produce nanocomposites. Nano-scaled graphene platelets are much lower-cost alternatives to carbon nano-tubes or carbon nano-fibers.
Characterization of Nano-scale Aluminum Oxide Transport through Porous Media
NASA Astrophysics Data System (ADS)
Norwood, S.; Reynolds, M.; Miao, Z.; Brusseau, M. L.; Johnson, G. R.
2011-12-01
Colloidal material (including that in the nanoparticle size range) is naturally present in most subsurface environments. Mobilization of these colloidal materials via particle disaggregation may occur through abrupt changes in flow rate and/or via chemical perturbations, such as rapid changes in ionic strength or solution pH. While concentrations of natural colloidal materials in the subsurface are typically small, those concentrations may be greatly increased at contaminated sites such as following the application of metal oxides for groundwater remediation efforts. Additionally, while land application of biosolids has become common practice in the United States as an alternative to industrial fertilizers, biosolids have been shown to contain a significant fraction of organic and inorganic nano-scale colloidal materials such as oxides of iron, titanium, and aluminum. Given their reactivity and small size, there are many questions concerning the potential migration of nano-scale colloidal materials through the soil column and their potential participation in the facilitated transport of contaminants, such as heavy metals and emerging pollutants. The purpose of this study was to investigate the transport behavior of aluminum oxide (Al2O3) nanoparticles through porous media. The impacts of pH, ionic strength, pore-water velocity (i.e., residence time), and aqueous-phase concentration on transport was investigated. All experiments were conducted with large injection pulses to fully characterize the impact of long-term retention and transport behavior relevant for natural systems wherein multiple retention processes may be operative. The results indicate that the observed nonideal transport behavior of the nano-scale colloids is influenced by multiple retention mechanisms/processes. Given the ubiquitous nature of these nano-scale colloids in the environment, a clear understanding of their transport and fate is necessary in further resolving the potential for
III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kunert, B.; Guo, W.; Mols, Y.
We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an activemore » material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.« less
Sorption of Cr(III) and Cr(VI) to High and Low Pressure Synthetic Nano-Magnetite (Fe3O4)Particles
Parsons, Jason G.; Hernandez, Jeffrey; Gonzalez, Christina M.; Gardea-Torresdey, J. L.
2014-01-01
The binding of Cr(III) and Cr(VI) to synthetic nano-magnetie particles synthesized under open vessel conditions and a microwave assisted hydrothermal synthesis techniques was investigated. Batch studies showed that the binding of both the Cr(III) and Cr(VI) bound to the nano-materials in a pH dependent manner. The Cr(III) maximized at binding at pH 4 and 100% binding. Similarly, the Cr(VI) ions showed a maximum binding of 100% at pH 4. The data from the time dependency studies showed for the most part the majority of the binding occurred within the first 5 minutes of contact with the nanomaterial and remained constant thereafter. In addition, the effects of the possible interferences were investigated which showed some effects on the binding of both Cr(III) and Cr(VI). However, the interferences never completely eliminated the chromium binding. Isotherm studies conducted at room temperature showed the microwave synthesized nanomaterials had a binding capacity of 1208 ± 43.9 mg/g and 555 ± 10.5 mg/g for Cr(VI) and Cr(III), respectively. However, the microwave assisted synthesized nanomaterials had capacities of 1705 ± 14.5 and 555± 10.5 mg/g for Cr(VI) and Cr(III), respectively. XANES studies showed the Cr(VI) was reduced to Cr(III), and the Cr(III) remained as Cr(III). In addition, the XANES studies indicated that the chromium remained coordinated in an octahedral arrangement of oxygen atoms. PMID:25097452
Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors
NASA Astrophysics Data System (ADS)
Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.
2010-01-01
Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.
Li, Yinong; Tian, Chen; Liu, Weizhen; Xu, Si; Xu, Yunyun; Cui, Rongxin; Lin, Zhang
2018-01-01
Nano-Mg(OH) 2 is attracting great attention as adsorbent for pre-concentration and recovery of rare earth elements (REEs) from low-concentration solution, due to its superior removal efficiency for REEs and environmental friendliness. However, the nanoparticles also cause some severe problems during application, including aggregation, blockage in fixed-bed column, as well as the difficulties in separation and reuse. Herein, in order to avoid the mentioned problems, a carbon cloth (CC) supported nano-Mg(OH) 2 (nano-Mg(OH) 2 @CC) was synthesized by electrodeposition. The X-ray diffraction and scanning electron microscopy analysis demonstrated that the interlaced nano-sheet of Mg(OH) 2 grew firmly and uniformly on the surface of carbon cloth fibers. Batch adsorption experiments of Eu(III) indicated that the nano-Mg(OH) 2 @CC composite maintained the excellent adsorption performance of nano-Mg(OH) 2 toward Eu(III). After adsorption, the Eu containing composite was calcined under nitrogen atmosphere. The content of Eu 2 O 3 in the calcined material was as high as 99.66%. Fixed-bed column experiments indicated that no blockage for Mg(OH) 2 @CC composite was observed during the treatment, while the complete blockage of occurred to nano-Mg(OH) 2 at an effluent volume of 240 mL. Moreover, the removal efficiency of Mg(OH) 2 @CC was still higher than 90% until 4,200 mL of effluent volume. This work provides a promising method for feasible application of nanoadsorbents in fixed-bed process to recycle low-concentration REEs from wastewater.
NASA Astrophysics Data System (ADS)
Li, Yinong; Tian, Chen; Liu, Weizhen; Xu, Si; Xu, Yunyun; Cui, Rongxin; Lin, Zhang
2018-04-01
Nano-Mg(OH)2 is attracting great attention as adsorbent for pre-concentration and recovery of rare earth elements (REEs) from low-concentration solution, due to its superior removal efficiency for REEs and environmental friendliness. However, the nanoparticles also cause some severe problems during application, including aggregation, blockage in fixed-bed column, as well as the difficulties in separation and reuse. Herein, in order to avoid the mentioned problems, a carbon cloth (CC) supported nano-Mg(OH)2 (nano-Mg(OH)2@CC) was synthesized by electrodeposition. The X-ray diffraction and scanning electron microscopy analysis demonstrated that the interlaced nano-sheet of Mg(OH)2 grew firmly and uniformly on the surface of carbon cloth fibers. Batch adsorption experiments of Eu(III) indicated that the nano-Mg(OH)2@CC composite maintained the excellent adsorption performance of nano-Mg(OH)2 toward Eu(III). After adsorption, the Eu containing composite was calcined under nitrogen atmosphere. The content of Eu2O3 in the calcined material was as high as 99.66%. Fixed-bed column experiments indicated that no blockage for Mg(OH)2@CC composite was observed during the treatment, while the complete blockage of occurred to nano-Mg(OH)2 at an effluent volume of 240 mL. Moreover, the removal efficiency of Mg(OH)2@CC was still higher than 90% until 4200 mL of effluent volume. This work provides a promising method for feasible application of nanoadsorbents in fixed-bed process to recycle low-concentration REEs from wastewater.
Li, Yinong; Tian, Chen; Liu, Weizhen; Xu, Si; Xu, Yunyun; Cui, Rongxin; Lin, Zhang
2018-01-01
Nano-Mg(OH)2 is attracting great attention as adsorbent for pre-concentration and recovery of rare earth elements (REEs) from low-concentration solution, due to its superior removal efficiency for REEs and environmental friendliness. However, the nanoparticles also cause some severe problems during application, including aggregation, blockage in fixed-bed column, as well as the difficulties in separation and reuse. Herein, in order to avoid the mentioned problems, a carbon cloth (CC) supported nano-Mg(OH)2 (nano-Mg(OH)2@CC) was synthesized by electrodeposition. The X-ray diffraction and scanning electron microscopy analysis demonstrated that the interlaced nano-sheet of Mg(OH)2 grew firmly and uniformly on the surface of carbon cloth fibers. Batch adsorption experiments of Eu(III) indicated that the nano-Mg(OH)2@CC composite maintained the excellent adsorption performance of nano-Mg(OH)2 toward Eu(III). After adsorption, the Eu containing composite was calcined under nitrogen atmosphere. The content of Eu2O3 in the calcined material was as high as 99.66%. Fixed-bed column experiments indicated that no blockage for Mg(OH)2@CC composite was observed during the treatment, while the complete blockage of occurred to nano-Mg(OH)2 at an effluent volume of 240 mL. Moreover, the removal efficiency of Mg(OH)2@CC was still higher than 90% until 4,200 mL of effluent volume. This work provides a promising method for feasible application of nanoadsorbents in fixed-bed process to recycle low-concentration REEs from wastewater. PMID:29721492
NASA Astrophysics Data System (ADS)
Cao, Chao
2009-03-01
Nano-scale physical phenomena and processes, especially those in electronics, have drawn great attention in the past decade. Experiments have shown that electronic and transport properties of functionalized carbon nanotubes are sensitive to adsorption of gas molecules such as H2, NO2, and NH3. Similar measurements have also been performed to study adsorption of proteins on other semiconductor nano-wires. These experiments suggest that nano-scale systems can be useful for making future chemical and biological sensors. Aiming to understand the physical mechanisms underlying and governing property changes at nano-scale, we start off by investigating, via first-principles method, the electronic structure of Pd-CNT before and after hydrogen adsorption, and continue with coherent electronic transport using non-equilibrium Green’s function techniques combined with density functional theory. Once our results are fully analyzed they can be used to interpret and understand experimental data, with a few difficult issues to be addressed. Finally, we discuss a newly developed multi-scale computing architecture, OPAL, that coordinates simultaneous execution of multiple codes. Inspired by the capabilities of this computing framework, we present a scenario of future modeling and simulation of multi-scale, multi-physical processes.
Formation and metrology of dual scale nano-morphology on SF(6) plasma etched silicon surfaces.
Boulousis, G; Constantoudis, V; Kokkoris, G; Gogolides, E
2008-06-25
Surface roughness and nano-morphology in SF(6) plasma etched silicon substrates are investigated in a helicon type plasma reactor as a function of etching time and process parameters. The plasma etched surfaces are analyzed by atomic force microscopy. It is found that dual scale nano-roughness is formatted on the silicon surface comprising an underlying nano-roughness and superimposed nano-mounds. Detailed metrological quantification is proposed for the characterization of dual scale surface morphology. As etching proceeds, the mounds become higher, fewer and wider, and the underlying nano-roughness also increases. Increase in wafer temperature leads to smoother surfaces with lower, fewer and wider nano-mounds. A mechanism based on the deposition of etch inhibiting particles during the etching process is proposed for the explanation of the experimental behavior. In addition, appropriately designed experiments are conducted, and they confirm the presence of this mechanism.
Xue, Shi-Fan; Lu, Ling-Fei; Wang, Qi-Xian; Zhang, Shengqiang; Zhang, Min; Shi, Guoyue
2016-09-01
Cysteine (Cys) and histidine (His) both play indispensable roles in many important biological activities. An enhanced Cys level can result in Alzheimer's and cardiovascular diseases. Likewise, His plays a significant role in the growth and repair of tissues as well as in controlling the transmission of metal elements in biological bases. Therefore, it is meaningful to detect Cys and His simultaneously. In this work, a novel terbium (III) coordination polymer-Cu (II) ensemble (Tb(3+)/GMP-Cu(2+)) was proposed. Guanosine monophosphate (GMP) can self-assemble with Tb(3+) to form a supramolecular Tb(3+) coordination polymer (Tb(3+)/GMP), which can be suited as a time-resolved probe. The fluorescence of Tb(3+)/GMP would be quenched upon the addition of Cu(2+), and then the fluorescence of the as-prepared Tb(3+)/GMP-Cu(2+) ensemble would be restored again in the presence of Cys or His. By incorporating N-Ethylmaleimide and Ni(2+) as masking agents, Tb(3+)/GMP-Cu(2+) was further exploited as an integrated logic system and a specific time-resolved fluorescent "turn-on" assay for simultaneously sensing His and Cys was designed. Meanwhile it can also be used in plasma samples, showing great potential to meet the need of practical application. Copyright © 2016 Elsevier B.V. All rights reserved.
Yao, Hai-Long; Hu, Xiao-Zhen; Yang, Guan-Jun
2018-06-01
Inter-particle bonding formation which determines qualities of nano-scale ceramic coatings is influenced by particle collision behaviors during high velocity collision processes. In this study, collision behaviors between nano-scale TiN particles with different diameters were illuminated by using Molecular Dynamics simulation through controlling impact velocities. Results show that nano-scale TiN particles exhibit three states depending on particle sizes and impact velocities, i.e., bonding, bonding with localized fracturing, and rebounding. These TiN particles states are summarized into a parameter selection map providing an overview of the conditions in terms of particle sizes and velocities. Microstructure results show that localized atoms displacement and partial fracture around the impact region are main reasons for bonding formation of nano-scale ceramic particles, which shows differences from conventional particles refining and amorphization. A relationship between the adhesion energy and the rebound energy is established to understand bonding formation mechanism for nano-scale TiN particle collision. Results show that the energy relationship is depended on the particle sizes and impact velocities, and nano-scale ceramic particles can be bonded together as the adhesion energy being higher than the rebound energy.
Bayley-III Cognitive and Language Scales in Preterm Children.
Spencer-Smith, Megan M; Spittle, Alicia J; Lee, Katherine J; Doyle, Lex W; Anderson, Peter J
2015-05-01
This study aimed to assess the sensitivity and specificity of the Bayley Scales of Infant and Toddler Development, Third Edition (Bayley-III), Cognitive and Language scales at 24 months for predicting cognitive impairments in preterm children at 4 years. Children born <30 weeks' gestation completed the Bayley-III at 24 months and the Differential Ability Scale, Second Edition (DAS-II), at 4 years to assess cognitive functioning. Test norms and local term-born reference data were used to classify delay on the Bayley-III Cognitive and Language scales. Impairment on the DAS-II Global Conceptual Ability, Verbal, and Nonverbal Reasoning indices was classified relative to test norms. Scores < -1 SD relative to the mean were classified as mild/moderate delay or impairment, and scores < -2 SDs were classified as moderate delay or impairment. A total of 105 children completed the Bayley-III and DAS-II. The sensitivity of mild/moderate cognitive delay on the Bayley-III for predicting impairment on DAS-II indices ranged from 29.4% to 38.5% and specificity ranged from 92.3% to 95.5%. The sensitivity of mild/moderate language delay on the Bayley-III for predicting impairment on DAS-II indices ranged from 40% to 46.7% and specificity ranged from 81.1% to 85.7%. The use of local reference data at 24 months to classify delay increased sensitivity but reduced specificity. Receiver operating curve analysis identified optimum cut-point scores for the Bayley-III that were more consistent with using local reference data than Bayley-III normative data. In our cohort of very preterm children, delay on the Bayley-III Cognitive and Language scales was not strongly predictive of future impairments. More children destined for later cognitive impairment were identified by using cut-points based on local reference data than Bayley-III norms. Copyright © 2015 by the American Academy of Pediatrics.
Investigation on the special Smith-Purcell radiation from a nano-scale rectangular metallic grating
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Weiwei; Liu, Weihao, E-mail: liuwhao@ustc.edu.cn; Jia, Qika
The special Smith-Purcell radiation (S-SPR), which is from the radiating eigen modes of a grating, has remarkable higher intensity than the ordinary Smith-Purcell radiation. Yet in previous studies, the gratings were treated as perfect conductor without considering the surface plasmon polaritons (SPPs) which are of significance for the nano-scale gratings especially in the optical region. In present paper, the rigorous theoretical investigations on the S-SPR from a nano-grating with SPPs taken into consideration are carried out. The dispersion relations and radiation characteristics are obtained, and the results are verified by simulations. According to the analyses, the tunable light radiation canmore » be achieved by the S-SPR from a nano-grating, which offers a new prospect for developing the nano-scale light sources.« less
Novel Luminescent Probe Based on a Terbium(III) Complex for Hemoglobin Determination
NASA Astrophysics Data System (ADS)
Yegorova, A. V.; Leonenko, I. I.; Aleksandrova, D. I.; Scrypynets, Yu. V.; Antonovich, V. P.; Ukrainets, I. V.
2014-09-01
We have studied the spectral luminescent properties of Tb(III) and Eu(III) complexes with a number of novel derivatives of oxoquinoline-3-carboxylic acid amides (L1-L5 ). We have observed quenching of the luminescence of 1:1 Tb(III)-L1-5 complexes by hemoglobin (Hb), which is explained by resonance energy transfer of electronic excitation from the donor (Tb(III)-L1-5 ) to the acceptor (Hb). Using the novel luminescent probe Tb(III)-L1, we have developed a method for determining Hb in human blood. The calibration Stern-Volmer plot is linear in the Hb concentration range 0.6-36.0 μg/mL, detection limit 0.2 μg/mL (3·10-9 mol/L).
Ung, Timothy H; Ney, Douglas E; Damek, Denise; Rusthoven, Chad G; Youssef, A Samy; Lillehei, Kevin O; Ormond, D Ryan
2018-03-30
The Neurologic Assessment in Neuro-Oncology (NANO) scale is a standardized objective metric designed to measure neurological function in neuro-oncology. Current neuroradiological evaluation guidelines fail to use specific clinical criteria for progression. To determine if the NANO scale was a reliable assessment tool in glioblastoma (GBM) patients and whether it correlated to survival. Our group performed a retrospective review of all patients with newly diagnosed GBM from January 1, 2010, through December 31, 2012, at our institution. We applied the NANO scale, Karnofsky performance score (KPS), Eastern Cooperative Oncology Group (ECOG) scale, Macdonald criteria, and the Response Assessment in Neuro-Oncology (RANO) criteria to patients at the time of diagnosis as well as at 3, 6, and 12 mo. Initial NANO score was correlated with overall survival at time of presentation. NANO progression was correlated with decreased survival in patients at 6 and 12 mo. A decrease in KPS was associated with survival at 3 and 6 mo, an increase in ECOG score was associated only at 3 mo, and radiological evaluation (RANO and Macdonald) was correlated at 3 and 6 mo. Only the NANO scale was associated with patient survival at 1 yr. NANO progression was the only metric that was linked to decreased overall survival when compared to RANO and Macdonald at 6 and 12 mo. The NANO scale is specific to neuro-oncology and can be used to assess patients with glioma. This retrospective analysis demonstrates the usefulness of the NANO scale in glioblastoma.
Sun, Shiyong; Yao, Yanchen; Zou, Xiang; Fan, Shenglan; Zhou, Qing; Dai, Qunwei; Dong, Faqin; Liu, Mingxue; Nie, Xiaoqin; Tan, Daoyong; Li, Shuai
2014-01-01
Calcified coccolithophores generate calcium carbonate scales around their cell surface. In light of predicted climate change and the global carbon cycle, the biomineralization ability of coccoliths has received growing interest. However, the underlying biomineralization mechanism is not yet well understood; the lack of non-invasive characterizing tools to obtain molecular level information involving biogenic processes and biomineral components remain significant challenges. In the present study, synchrotron-based Nano-computed Tomography (Nano-CT) and Scanning Transmission X-ray Microscopy-Near-edge X-ray Absorption Fine Structure Spectromicroscopy (STXM-NEXAFS) techniques were employed to identify Ca spatial distribution and investigate the compositional chemistry and distinctive features of the association between biomacromolecules and mineral components of calcite present in coccoliths. The Nano-CT results show that the coccolith scale vesicle is similar as a continuous single channel. The mature coccoliths were intracellularly distributed and immediately ejected and located at the exterior surface to form a coccoshpere. The NEXAFS spectromicroscopy results of the Ca L edge clearly demonstrate the existence of two levels of gradients spatially, indicating two distinctive forms of Ca in coccoliths: a crystalline-poor layer surrounded by a relatively crystalline-rich layer. The results show that Sr is absorbed by the coccoliths and that Sr/Ca substitution is rather homogeneous within the coccoliths. Our findings indicate that synchrotron-based STXM-NEXAFS and Nano-CT are excellent tools for the study of biominerals and provide information to clarify biomineralization mechanism. PMID:25530614
All-fiber Faraday Devices Based on Terbium-doped Fiber
NASA Astrophysics Data System (ADS)
Sun, Lei
Surface damage is one of the most problematic power limits in high-power fiber laser systems. All-fiber Faraday components are demonstrated as a solution to this problem, since they can be completely fusion-spliced into existing systems, eliminating all glass-air interfaces. Beam filamentation due to self-focusing places another limit on the peak power attainable from fiber laser systems. The limits imposed by this phenomenon are analyzed for the first time. The concept of an effective Verdet constant is proposed and experimentally validated. The effective Verdet constant of light propagation in a fiber includes contributions from the materials in both the core and the cladding. It is measured in a 25-wt% terbium-doped-core phosphate fiber to be --6.2 rad/(Tm) at 1053 nm, which is six times larger than silica fiber. The result agrees well with Faraday rotation theory in optical fiber. A compact all-fiber Faraday isolator and a Faraday mirror are demonstrated. At the core of each of these components is an all-fiber Faraday rotator made of a 4cm-long, 65-wt%-terbium-doped silicate fiber. The effective Verdet constant of the terbium-doped fiber is measured to be -32 rad/(Tm), which is 27x larger than that of silica fiber. This effective Verdet constant is the largest value measured to date in any fiber and is 83% of the Verdet constant of commercially available crystals used in bulk-optics-based isolators. Combining the all-fiber Faraday rotator with fiber polarizers results in a fully fusion-spliced all-fiber isolator whose isolation is measured to be 19 dB. Combining the all-fiber Faraday rotator with a fiber Bragg grating results in an all-fiber Faraday mirror that rotates the polarization state of the reflected light by 88 +/- 4°. An all-fiber optical magnetic field sensor is also demonstrated. It consists of a fiber Faraday rotator and a fiber polarizer. The fiber Faraday rotator uses a 2-cm-long section of 56-wt%-terbium-doped silicate fiber with a Verdet
Wavelength-scale photonic-crystal laser formed by electron-beam-induced nano-block deposition.
Seo, Min-Kyo; Kang, Ju-Hyung; Kim, Myung-Ki; Ahn, Byeong-Hyeon; Kim, Ju-Young; Jeong, Kwang-Yong; Park, Hong-Gyu; Lee, Yong-Hee
2009-04-13
A wavelength-scale cavity is generated by printing a carbonaceous nano-block on a photonic-crystal waveguide. The nanometer-size carbonaceous block is grown at a pre-determined region by the electron-beam-induced deposition method. The wavelength-scale photonic-crystal cavity operates as a single mode laser, near 1550 nm with threshold of approximately 100 microW at room temperature. Finite-difference time-domain computations show that a high-quality-factor cavity mode is defined around the nano-block with resonant wavelength slightly longer than the dispersion-edge of the photonic-crystal waveguide. Measured near-field images exhibit photon distribution well-localized in the proximity of the printed nano-block. Linearly-polarized emission along the vertical direction is also observed.
Fabrication of nano-scale Cu bond pads with seal design in 3D integration applications.
Chen, K N; Tsang, C K; Wu, W W; Lee, S H; Lu, J Q
2011-04-01
A method to fabricate nano-scale Cu bond pads for improving bonding quality in 3D integration applications is reported. The effect of Cu bonding quality on inter-level via structural reliability for 3D integration applications is investigated. We developed a Cu nano-scale-height bond pad structure and fabrication process for improved bonding quality by recessing oxides using a combination of SiO2 CMP process and dilute HF wet etching. In addition, in order to achieve improved wafer-level bonding, we introduced a seal design concept that prevents corrosion and provides extra mechanical support. Demonstrations of these concepts and processes provide the feasibility of reliable nano-scale 3D integration applications.
Performance evaluation of bimodal thermite composites : nano- vs miron-scale particles
DOE Office of Scientific and Technical Information (OSTI.GOV)
Moore, K. M.; Pantoya, M.; Son, S. F.
2004-01-01
In recent years many studies of metastable interstitial composites (MIC) have shown vast combustion improvements over traditional thermite materials. The main difference between these two materials is the size of the fuel particles in the mixture. Decreasing the fuel size from the micron to nanometer range significantly increases the combustion wave speed and ignition sensitivity. Little is known, however, about the critical level of nano-sized fuel particles needed to enhance the performance of the traditional thermite. Ignition sensitivity experiments were performed using Al/MoO{sub 3} pellets at a theoretical maximum density of 50% (2 g/cm{sup 3}). The Al fuel particles weremore » prepared as bi-modal size distributions with micron (i.e., 4 and 20 {micro}m diameter) and nano-scale Al particles. The micron-scale Al was replaced in 10% increments by 80 nm Al particles until the fuel was 100% 80 nm Al. These bi-modal distributions allow the unique characteristics of nano-scale materials to be better understood. The pellets were ignited using a 50-W CO{sub 2} laser. High speed imaging diagnostics were used to measure ignition delay times, and micro-thermocouples were used to measure ignition temperatures. Combustion wave speeds were also examined.« less
Nano/micro-scale magnetophoretic devices for biomedical applications
NASA Astrophysics Data System (ADS)
Lim, Byeonghwa; Vavassori, Paolo; Sooryakumar, R.; Kim, CheolGi
2017-01-01
In recent years there have been tremendous advances in the versatility of magnetic shuttle technology using nano/micro-scale magnets for digital magnetophoresis. While the technology has been used for a wide variety of single-cell manipulation tasks such as selection, capture, transport, encapsulation, transfection, or lysing of magnetically labeled and unlabeled cells, it has also expanded to include parallel actuation and study of multiple bio-entities. The use of nano/micro-patterned magnetic structures that enable remote control of the applied forces has greatly facilitated integration of the technology with microfluidics, thereby fostering applications in the biomedical arena. The basic design and fabrication of various scaled magnets for remote manipulation of individual and multiple beads/cells, and their associated energies and forces that underlie the broad functionalities of this approach, are presented. One of the most useful features enabled by such advanced integrated engineering is the capacity to remotely tune the magnetic field gradient and energy landscape, permitting such multipurpose shuttles to be implemented within lab-on-chip platforms for a wide range of applications at the intersection of cellular biology and biotechnology.
NASA Astrophysics Data System (ADS)
Ren, J. L.; Zhou, L.; Lv, Z. C.; Ding, C. H.; Wu, Y. H.; Bai, H. C.
2016-07-01
Graphene, which is the first obtained single atomic layer 2D materials, has drawn a great of concern in nano biotechnology due to the unique property. On one hand, acyl hydrazone compounds belonging to the Schif bases have aroused considerable attention in medicine, pharmacy, and analytical reagent. However, few understanding about the interaction between graphene and acyl hydrazone molecules is now available. And such investigations are much crucial for the applications of these new nano-scaled composites. The current work revealed theoretical investigations on the nano-scaled composites built by acyl hydrazone molecules loaded on the surface of graphene. The relative energy, electronic property and the interaction between the counterparts of graphene/acyl hydrazone composites are investigated based on the density functional theory calculations. According to the obtained adsorption energy, the formation of the nano-scaled composite from the isolated graphene and acyl hydrazone molecule is exothermic, and thus it is energetically favorable to form these nano composites in viewpoint of total energy change. The frontier molecular orbital for the nano composite is mainly distributed at the graphene part, leading to that the energy levels of the frontier molecular orbital of the nano composites are very close to that of isolated graphene. Moreover, the counterpart interaction for the graphene/acyl hydrazone composites is also explored based on the discussions of orbital hybridization, charge redistribution and Van der Waals interaction.
The Distribution of Scaled Scores and Possible Floor Effects on the WISC-III and WAIS-III
ERIC Educational Resources Information Center
Whitaker, Simon; Wood, Christopher
2008-01-01
Objective: It has been suggested that, as the Wechsler Adult Intelligence Scale-Third Edition (WAIS-III) and the Wechsler Intelligence Scale for Children-Third Edition (WISC-III) give a scaled score of one even if a client scores a raw score of zero, these assessments may have a hidden floor effect at low IQ levels. The study looked for…
Sensitized terbium(III) macrocyclic-phthalimide complexes as luminescent pH switches.
Chen, Gaoyun; Wardle, Nicholas J; Sarris, Jason; Chatterton, Nicholas P; Bligh, S W Annie
2013-10-21
Four new macrocyclic-phthalimide ligands were synthesised via the coupling of N-(3-bromopropyl)phthalimide either to cyclen (1,4,7,10-tetraazacyclododecane) itself or its carboxylate-functionalized analogues, and photophysical studies were carried out on their corresponding Tb(III) complexes in aqueous media as a function of pH. Luminescence intensities of Tb·L1a–Tb·L3a were in ‘switched off’ mode under acidic conditions (pH < 4), and were activated on progression to basic conditions as the phthalimido functions therein were hydrolysed to their corresponding phthalamates Tb·L1b–Tb·L3b. Emission of phthalamate-based macrocyclic Tb(III) complexes Tb·L1b–Tb·L3b was in ‘switched on’ mode between pH 4 and 11, exhibiting high quantum yields (Φ) and long lifetimes (τ) of the order of milliseconds at pH ~ 6. Tb(III) emissions were found to decline with increasing number of chromophores. The values of Φ and τ were 46% and 2.4 ms respectively for Tb·L1b at pH ~ 6 when activated. This is the best pH-dependent sensor based on a Tb(III) complex reported to date, benefiting from the macrocyclic architecture of the ligand.
NASA Astrophysics Data System (ADS)
Thuéry, Pierre
2015-07-01
Terbium nitrate was reacted with 1,3-adamantanedicarboxylic acid (LH2) under solvo-hydrothermal conditions with either N,N-dimethylformamide (DMF) or N,N-dimethylacetamide (DMA) as organic solvents. Hydrolysation of the latter co-solvents resulted in the formation of formate or acetate ions, which are present as co-ligands in the 1D coordination polymer [Tb(L)(HCOO)(H2O)2] (1) and the 2D assembly [Tb(L)(CH3COO)(H2O)] (2). The increase in dimensionality in the latter arises from the higher connectivity provided by acetate versus formate, the L2- ligand being bis-chelating in both cases. The complex [Tb2(L)3(H2O)5][Tb2(L)3(H2O)4]·3H2O (3), another 1D species, crystallizes alongside crystals of 2. Further addition of cucurbit[6]uril (CB6), with DMF as co-solvent, gave the two complexes [Tb2(L)2(CB6)(H2O)6](NO3)2·6H2O (4) and [H2NMe2]2[Tb(L)(HCOO)2]2·CB6·3H2O (5). Complex 4 crystallizes as a 3D framework in which Tb(L)+ chains are connected by tetradentate CB6 molecules, while 5 unites a carboxylate-bridged anionic 2D planar assembly and layers of CB6 molecules with counter-cations held at both portals.
Crystallization of high-strength nano-scale leucite glass-ceramics.
Theocharopoulos, A; Chen, X; Wilson, R M; Hill, R; Cattell, M J
2013-11-01
Fine-grained, high strength, translucent leucite dental glass-ceramics are synthesized via controlled crystallization of finely milled glass powders. The objectives of this study were to utilize high speed planetary milling of an aluminosilicate glass for controlled surface crystallization of nano-scale leucite glass-ceramics and to test the biaxial flexural strength. An aluminosilicate glass was synthesized, attritor or planetary milled and heat-treated. Glasses and glass-ceramics were characterized using particle size analysis, X-ray diffraction and scanning electron microscopy. Experimental (fine and nanoscale) and commercial (Ceramco-3, IPS Empress Esthetic) leucite glass-ceramics were tested using the biaxial flexural strength (BFS) test. Gaussian and Weibull statistics were applied. Experimental planetary milled glass-ceramics showed an increased leucite crystal number and nano-scale median crystal sizes (0.048-0.055 μm(2)) as a result of glass particle size reduction and heat treatments. Experimental materials had significantly (p<0.05) higher mean BFS and characteristic strength values than the commercial materials. Attritor milled and planetary milled (2h) materials showed no significant (p>0.05) strength difference. All other groups' mean BFS and characteristic strengths were found to be significantly different (p<0.05) to each other. The mean (SD) MPa strengths measured were: Attritor milled: 252.4 (38.7), Planetary milled: 225.4 (41.8) [4h milling] 255.0 (35.0) [2h milling], Ceramco-3: 75.7 (6.8) and IPS Empress: 165.5 (30.6). Planetary milling enabled synthesis of nano-scale leucite glass-ceramics with high flexural strength. These materials may help to reduce problems associated with brittle fracture of all-ceramic restorations and give reduced enamel wear. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.
Exploring Chondrule and CAI Rims Using Micro- and Nano-Scale Petrological and Compositional Analysis
NASA Astrophysics Data System (ADS)
Cartwright, J. A.; Perez-Huerta, A.; Leitner, J.; Vollmer, C.
2017-12-01
As the major components within chondrites, chondrules (mm-sized droplets of quenched silicate melt) and calcium-aluminum-rich inclusions (CAI, refractory) represent the most abundant and the earliest materials that solidified from the solar nebula. However, the exact formation mechanisms of these clasts, and whether these processes are related, remains unconstrained, despite extensive petrological and compositional study. By taking advantage of recent advances in nano-scale tomographical techniques, we have undertaken a combined micro- and nano-scale study of CAI and chondrule rim morphologies, to investigate their formation mechanisms. The target lithologies for this research are Wark-Lovering rims (WLR), and fine-grained rims (FGR) around CAIs and chondrules respectively, present within many chondrites. The FGRs, which are up to 100 µm thick, are of particular interest as recent studies have identified presolar grains within them. These grains predate the formation of our Solar System, suggesting FGR formation under nebular conditions. By contrast, WLRs are 10-20 µm thick, made of different compositional layers, and likely formed by flash-heating shortly after CAI formation, thus recording nebular conditions. A detailed multi-scale study of these respective rims will enable us to better understand their formation histories and determine the potential for commonality between these two phases, despite reports of an observed formation age difference of up to 2-3 Myr. We are using a combination of complimentary techniques on our selected target areas: 1) Micro-scale characterization using standard microscopic and compositional techniques (SEM-EBSD, EMPA); 2) Nano-scale characterization of structures using transmission electron microscopy (TEM) and elemental, isotopic and tomographic analysis with NanoSIMS and atom probe tomography (APT). Preliminary nano-scale APT analysis of FGR morphologies within the Allende carbonaceous chondrite has successfully discerned
Modeling Near-Crack-Tip Plasticity from Nano- to Micro-Scales
NASA Technical Reports Server (NTRS)
Glaessgen, Edward H.; Saether, Erik; Hochhalter, Jake D.; Yamakov, Vesselin I.
2010-01-01
Several efforts that are aimed at understanding the plastic deformation mechanisms related to crack propagation at the nano-, meso- and micro-length scales including atomistic simulation, discrete dislocation plasticity, strain gradient plasticity and crystal plasticity are discussed. The paper focuses on discussion of newly developed methodologies and their application to understanding damage processes in aluminum and its alloys. Examination of plastic mechanisms as a function of increasing length scale illustrates increasingly complex phenomena governing plasticity
Controlling high-throughput manufacturing at the nano-scale
NASA Astrophysics Data System (ADS)
Cooper, Khershed P.
2013-09-01
Interest in nano-scale manufacturing research and development is growing. The reason is to accelerate the translation of discoveries and inventions of nanoscience and nanotechnology into products that would benefit industry, economy and society. Ongoing research in nanomanufacturing is focused primarily on developing novel nanofabrication techniques for a variety of applications—materials, energy, electronics, photonics, biomedical, etc. Our goal is to foster the development of high-throughput methods of fabricating nano-enabled products. Large-area parallel processing and highspeed continuous processing are high-throughput means for mass production. An example of large-area processing is step-and-repeat nanoimprinting, by which nanostructures are reproduced again and again over a large area, such as a 12 in wafer. Roll-to-roll processing is an example of continuous processing, by which it is possible to print and imprint multi-level nanostructures and nanodevices on a moving flexible substrate. The big pay-off is high-volume production and low unit cost. However, the anticipated cost benefits can only be realized if the increased production rate is accompanied by high yields of high quality products. To ensure product quality, we need to design and construct manufacturing systems such that the processes can be closely monitored and controlled. One approach is to bring cyber-physical systems (CPS) concepts to nanomanufacturing. CPS involves the control of a physical system such as manufacturing through modeling, computation, communication and control. Such a closely coupled system will involve in-situ metrology and closed-loop control of the physical processes guided by physics-based models and driven by appropriate instrumentation, sensing and actuation. This paper will discuss these ideas in the context of controlling high-throughput manufacturing at the nano-scale.
NASA Astrophysics Data System (ADS)
Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong
2014-04-01
An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO2) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g-1 at the scan rate of 5 mV s-1. This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices.
Plasmofluidics: Merging Light and Fluids at the Micro-/Nano-Scale
Wang, Mingsong; Zhao, Chenglong; Miao, Xiaoyu; Zhao, Yanhui; Rufo, Joseph
2016-01-01
Plasmofluidics is the synergistic integration of plasmonics and micro/nano fluidics in devices and applications in order to enhance performance. There has been significant progress in the emerging field of plasmofluidics in recent years. By utilizing the capability of plasmonics to manipulate light at the nanoscale, combined with the unique optical properties of fluids, and precise manipulation via micro/nano fluidics, plasmofluidic technologies enable innovations in lab-on-a-chip systems, reconfigurable photonic devices, optical sensing, imaging, and spectroscopy. In this review article, we examine and categorize the most recent advances in plasmofluidics into plasmon-enhanced functionalities in microfluidics and microfluidics-enhanced plasmonic devices. The former focuses on plasmonic manipulations of fluids, bubbles, particles, biological cells, and molecules at the micro-/nano-scale. The latter includes technological advances that apply microfluidic principles to enable reconfigurable plasmonic devices and performance-enhanced plasmonic sensors. We conclude with our perspectives on the upcoming challenges, opportunities, and the possible future directions of the emerging field of plasmofluidics. PMID:26140612
High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.
Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun
2012-08-01
A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.
High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure
2012-01-01
A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal–semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials. PMID:22853458
Surface roughness: A review of its measurement at micro-/nano-scale
NASA Astrophysics Data System (ADS)
Gong, Yuxuan; Xu, Jian; Buchanan, Relva C.
2018-01-01
The measurement of surface roughness at micro-/nano-scale is of great importance to metrological, manufacturing, engineering, and scientific applications given the critical roles of roughness in physical and chemical phenomena. The surface roughness of materials can significantly change the way of how they interact with light, phonons, molecules, and so forth, thus surface roughness ultimately determines the functionality and property of materials. In this short review, the techniques of measuring micro-/nano-scale surface roughness are discussed with special focus on the limitations and capabilities of each technique. In addition, the calculations of surface roughness and their theoretical background are discussed to offer readers a better understanding of the importance of post-measurement analysis. Recent progress on fractal analysis of surface roughness is discussed to shed light on the future efforts in surface roughness measurement.
The silicon chip: A versatile micro-scale platform for micro- and nano-scale systems
NASA Astrophysics Data System (ADS)
Choi, Edward
Cutting-edge advances in micro- and nano-scale technology require instrumentation to interface with the external world. While technology feature sizes are continually being reduced, the size of experimentalists and their instrumentation do not mirror this trend. Hence there is a need for effective application-specific instrumentation to bridge the gap from the micro and nano-scale phenomena being studied to the comparative macro-scale of the human interfaces. This dissertation puts forward the idea that the silicon CMOS integrated circuit, or microchip in short, serves as an excellent platform to perform this functionality. The electronic interfaces designed for the semiconductor industry are particularly attractive as development platforms, and the reduction in feature sizes that has been a hallmark of the industry suggests that chip-scale instrumentation may be more closely coupled to the phenomena of interest, allowing finer control or improved measurement capabilities. Compatibility with commercial processes will further enable economies of scale through mass production, another welcome feature of this approach. Thus chip-scale instrumentation may replace the bulky, expensive, cumbersome-to-operate macro-scale prototypes currently in use for many of these applications. The dissertation examines four specific applications in which the chip may serve as the ideal instrumentation platform. These are nanorod manipulation, polypyrrole bilayer hinge microactuator control, organic transistor hybrid circuits, and contact fluorescence imaging. The thesis is structured around chapters devoted to each of these projects, in addition to a chapter on preliminary work on an RFID system that serves as a wireless interface model. Each of these chapters contains tools and techniques developed for chip-scale instrumentation, from custom scripts for automated layout and data collection to microfabrication processes. Implementation of these tools to develop systems for the
Chitosan-coated mesoporous MIL-100(Fe) nanoparticles as improved bio-compatible oral nanocarriers
Hidalgo, T.; Giménez-Marqués, M.; Bellido, E.; Avila, J.; Asensio, M. C.; Salles, F.; Lozano, M. V.; Guillevic, M.; Simón-Vázquez, R.; González-Fernández, A.; Serre, C.; Alonso, M. J.; Horcajada, P.
2017-01-01
Nanometric biocompatible Metal-Organic Frameworks (nanoMOFs) are promising candidates for drug delivery. Up to now, most studies have targeted the intravenous route, related to pain and severe complications; whereas nanoMOFs for oral administration, a commonly used non-invasive and simpler route, remains however unexplored. We propose here the biofriendly preparation of a suitable oral nanocarrier based on the benchmarked biocompatible mesoporous iron(III) trimesate nanoparticles coated with the bioadhesive polysaccharide chitosan (CS). This method does not hamper the textural/structural properties and the sorption/release abilities of the nanoMOFs upon surface engineering. The interaction between the CS and the nanoparticles has been characterized through a combination of high resolution soft X-ray absorption and computing simulation, while the positive impact of the coating on the colloidal and chemical stability under oral simulated conditions is here demonstrated. Finally, the intestinal barrier bypass capability and biocompatibility of CS-coated nanoMOF have been assessed in vitro, leading to an increased intestinal permeability with respect to the non-coated material, maintaining an optimal biocompatibility. In conclusion, the preservation of the interesting physicochemical features of the CS-coated nanoMOF and their adapted colloidal stability and progressive biodegradation, together with their improved intestinal barrier bypass, make these nanoparticles a promising oral nanocarrier. PMID:28256600
Chitosan-coated mesoporous MIL-100(Fe) nanoparticles as improved bio-compatible oral nanocarriers
NASA Astrophysics Data System (ADS)
Hidalgo, T.; Giménez-Marqués, M.; Bellido, E.; Avila, J.; Asensio, M. C.; Salles, F.; Lozano, M. V.; Guillevic, M.; Simón-Vázquez, R.; González-Fernández, A.; Serre, C.; Alonso, M. J.; Horcajada, P.
2017-03-01
Nanometric biocompatible Metal-Organic Frameworks (nanoMOFs) are promising candidates for drug delivery. Up to now, most studies have targeted the intravenous route, related to pain and severe complications; whereas nanoMOFs for oral administration, a commonly used non-invasive and simpler route, remains however unexplored. We propose here the biofriendly preparation of a suitable oral nanocarrier based on the benchmarked biocompatible mesoporous iron(III) trimesate nanoparticles coated with the bioadhesive polysaccharide chitosan (CS). This method does not hamper the textural/structural properties and the sorption/release abilities of the nanoMOFs upon surface engineering. The interaction between the CS and the nanoparticles has been characterized through a combination of high resolution soft X-ray absorption and computing simulation, while the positive impact of the coating on the colloidal and chemical stability under oral simulated conditions is here demonstrated. Finally, the intestinal barrier bypass capability and biocompatibility of CS-coated nanoMOF have been assessed in vitro, leading to an increased intestinal permeability with respect to the non-coated material, maintaining an optimal biocompatibility. In conclusion, the preservation of the interesting physicochemical features of the CS-coated nanoMOF and their adapted colloidal stability and progressive biodegradation, together with their improved intestinal barrier bypass, make these nanoparticles a promising oral nanocarrier.
NASA Astrophysics Data System (ADS)
Zhou, Zhan; Wang, Qianming
2014-04-01
A novel organic-inorganic hybrid sensor based on diethylenetriaminepentaacetic acid (DTPA) modified reduced graphene oxide (RGO-DTPA) chelated with terbium ions allows detection of dopamine (DA) through an emission enhancement effect. Its luminescence, peaking at 545 nm, has been improved by a factor of 25 in the presence of DA (detection limit = 80 nM). In addition, this covalently bonded terbium complex functionalized reduced graphene oxide (RGO-DTPA-Tb) can be successfully assembled on a glassy carbon electrode. The assay performed through differential pulse voltammetry (DPV) yielded obvious peak separation between DA and excessive amounts of the interfering ascorbic acid (AA).A novel organic-inorganic hybrid sensor based on diethylenetriaminepentaacetic acid (DTPA) modified reduced graphene oxide (RGO-DTPA) chelated with terbium ions allows detection of dopamine (DA) through an emission enhancement effect. Its luminescence, peaking at 545 nm, has been improved by a factor of 25 in the presence of DA (detection limit = 80 nM). In addition, this covalently bonded terbium complex functionalized reduced graphene oxide (RGO-DTPA-Tb) can be successfully assembled on a glassy carbon electrode. The assay performed through differential pulse voltammetry (DPV) yielded obvious peak separation between DA and excessive amounts of the interfering ascorbic acid (AA). Electronic supplementary information (ESI) available. See DOI: 10.1039/c3nr06156f
Phototoxicity and Dosimetry of Nano-scaleTitanium Dioxide in Aquatic Organisms
We have been testing nanoscale TiO2 (primarily Evonik P25) in acute exposures to identify and quantify its phototoxicity under solar simulated radiation (SSR), and to develop dose metrics reflective of both nano-scale properties and the photon component of its potency. Several e...
Phototoxicity and Dosimetry of Nano-scale Titanium Dioxide in Aquatic Organisms
We have been testing nanoscale TiO2 (primarily Evonik P25) in acute exposures to identify and quantify its phototoxicity under solar simulated radiation (SSR), and to develop dose metrics reflective of both nano-scale properties and the photon component of its potency. Several e...
NASA Astrophysics Data System (ADS)
Ozer, Demet; Köse, Dursun A.; Şahin, Onur; Oztas, Nursen Altuntas
2017-08-01
The new metal-organic framework materials based on boric acid reported herein. Sodium and boron containing metal-organic frameworks were synthesized by one-pot self-assembly reaction in the presence of trimesic acid and terephthalic acid in water/ethanol solution. Boric acid is a relatively cheap boron source and boric acid mediated metal-organic framework prepared mild conditions compared to the other boron source based metal-organic framework. The synthesized compounds were characterized by FT-IR, p-XRD, TGA/DTA, elemental analysis, 13C-MAS NMR, 11B-NMR and single crystal measurements. The molecular formulas of compounds were estimated as C18H33B2Na5O28 and C8H24B2Na2O17 according to the structural analysis. The obtained complexes were thermally stable. Surface properties of inorganic polymer complexes were investigated by BET analyses and hydrogen storage properties of compound were also calculated.
Time Evolution of Radiation-Induced Luminescence in Terbium-Doped Silicate Glass
NASA Technical Reports Server (NTRS)
West, Michael S.; Winfree, William P.
1996-01-01
A study was made on two commercially available terbium-doped silicate glasses. There is an increased interest in silicate glasses doped with rare-earth ions for use in high-energy particle detection and radiographic applications. These glasses are of interest due to the fact that they can be formed into small fiber sensors; a property that can be used to increase the spatial resolution of a detection system. Following absorption of radiation, the terbium ions become excited and then emit photons via 4f-4f electronic transitions as they relax back to the ground state. The lifetime of these transitions is on the order of milliseconds. A longer decay component lasting on the order of minutes has also been observed. While radiative transitions in the 4f shell of rare-earth ions are generally well understood by the Judd-Olfelt theory, the pr'esence of a longer luminescence decay component is not. Experimental evidence that the long decay component is due, in part, to the thermal release of trapped charge carriers will be presented. In addition, a theoretical model describing the time evolution of the radiation-induced luminescence will be presented.
Liu, X M; Wu, S L; Chu, Paul K; Chung, C Y; Chu, C L; Chan, Y L; Lam, K O; Yeung, K W K; Lu, W W; Cheung, K M C; Luk, K D K
2009-06-01
Plasma immersion ion implantation (PIII) is an effective method to increase the corrosion resistance and inhibit nickel release from orthopedic NiTi shape memory alloy. Nitrogen was plasma-implanted into NiTi using different pulsing frequencies to investigate the effects on the nano-scale surface morphology, structure, wettability, as well as biocompatibility. X-ray photoelectron spectroscopy (XPS) results show that the implantation depth of nitrogen increases with higher pulsing frequencies. Atomic force microscopy (AFM) discloses that the nano-scale surface roughness increases and surface features are changed from islands to spiky cones with higher pulsing frequencies. This variation in the nano surface structures leads to different surface free energy (SFE) monitored by contact angle measurements. The adhesion, spreading, and proliferation of osteoblasts on the implanted NiTi surface are assessed by cell culture tests. Our results indicate that the nano-scale surface morphology that is altered by the implantation frequencies impacts the surface free energy and wettability of the NiTi surfaces, and in turn affects the osteoblast adhesion behavior.
Multi-scale Observation of Biological Interactions of Nanocarriers: from Nano to Macro
Jin, Su-Eon; Bae, Jin Woo; Hong, Seungpyo
2010-01-01
Microscopic observations have played a key role in recent advancements in nanotechnology-based biomedical sciences. In particular, multi-scale observation is necessary to fully understand the nano-bio interfaces where a large amount of unprecedented phenomena have been reported. This review describes how to address the physicochemical and biological interactions of nanocarriers within the biological environments using microscopic tools. The imaging techniques are categorized based on the size scale of detection. For observation of the nano-scale biological interactions of nanocarriers, we discuss atomic force microscopy (AFM), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). For the micro to macro-scale (in vitro and in vivo) observation, we focus on confocal laser scanning microscopy (CLSM) as well as in vivo imaging systems such as magnetic resonance imaging (MRI), superconducting quantum interference devices (SQUIDs), and IVIS®. Additionally, recently developed combined techniques such as AFM-CLSM, correlative Light and Electron Microscopy (CLEM), and SEM-spectroscopy are also discussed. In this review, we describe how each technique helps elucidate certain physicochemical and biological activities of nanocarriers such as dendrimers, polymers, liposomes, and polymeric/inorganic nanoparticles, thus providing a toolbox for bioengineers, pharmaceutical scientists, biologists, and research clinicians. PMID:20232368
NASA Astrophysics Data System (ADS)
Açıkkalp, Emin; Caner, Necmettin
2015-09-01
In this study, a nano-scale irreversible Brayton cycle operating with quantum gasses including Bose and Fermi gasses is researched. Developments in the nano-technology cause searching the nano-scale machines including thermal systems to be unavoidable. Thermodynamic analysis of a nano-scale irreversible Brayton cycle operating with Bose and Fermi gasses was performed (especially using exergetic sustainability index). In addition, thermodynamic analysis involving classical evaluation parameters such as work output, exergy output, entropy generation, energy and exergy efficiencies were conducted. Results are submitted numerically and finally some useful recommendations were conducted. Some important results are: entropy generation and exergetic sustainability index are affected mostly for Bose gas and power output and exergy output are affected mostly for the Fermi gas by x. At the high temperature conditions, work output and entropy generation have high values comparing with other degeneracy conditions.
Nano-scale gene delivery systems; current technology, obstacles, and future directions.
Garcia-Guerra, Antonio; Dunwell, Thomas L; Trigueros, Sonia
2018-01-07
Within the different applications of nanomedicine currently being developed, nano-gene delivery is appearing as an exciting new technique with the possibility to overcome recognised hurdles and fulfill several biological and medical needs. The central component of all delivery systems is the requirement for the delivery of genetic material into cells, and for them to eventually reside in the nucleus where their desired function will be exposed. However, genetic material does not passively enter cells; thus, a delivery system is necessary. The emerging field of nano-gene delivery exploits the use of new materials and the properties that arise at the nanometre-scale to produce delivery vectors that can effectively deliver genetic material into a variety of different types of cells. The novel physicochemical properties of the new delivery vectors can be used to address the current challenges existing in nucleic acid delivery in vitro and in vivo. While there is a growing interest in nanostructure-based gene delivery, the field is still in its infancy, and there is yet much to discover about nanostructures and their physicochemical properties in a biological context. We carry out an organized and focused search of bibliographic databases. Our results suggest that despite new breakthroughs in nanostructure synthesis and advanced characterization techniques, we still face many barriers in producing highly efficient and non-toxic delivery systems. In this review, we overview the types of systems currently used for clinical and biomedical research applications along with their advantages and disadvantages, as well as discussing barriers that arise from nano-scale interactions with biological material. In conclusion, we hope that by bringing the far reaching multidisciplinary nature of nano-gene delivery to light, new targeted nanotechnology-bases strategies are developed to overcome the major challenges covered in this review. Copyright© Bentham Science Publishers; For
Nano-scaled Pt/Ag/Ni/Au contacts on p-type GaN for low contact resistance and high reflectivity.
Kwon, Y W; Ju, I C; Kim, S K; Choi, Y S; Kim, M H; Yoo, S H; Kang, D H; Sung, H K; Shin, K; Ko, C G
2011-07-01
We synthesized the vertical-structured LED (VLED) using nano-scaled Pt between p-type GaN and Ag-based reflector. The metallization scheme on p-type GaN for high reflectance and low was the nano-scaled Pt/Ag/Ni/Au. Nano-scaled Pt (5 A) on Ag/Ni/Au exhibited reasonably high reflectance of 86.2% at the wavelength of 460 nm due to high transmittance of light through nano-scaled Pt (5 A) onto Ag layer. Ohmic behavior of contact metal, Pt/Ag/Ni/Au, to p-type GaN was achieved using surface treatments of p-type GaN prior to the deposition of contact metals and the specific contact resistance was observed with decreasing Pt thickness of 5 A, resulting in 1.5 x 10(-4) ohms cm2. Forward voltages of Pt (5 A)/Ag/Ni contact to p-type GaN showed 4.19 V with the current injection of 350 mA. Output voltages with various thickness of Pt showed the highest value at the smallest thickness of Pt due to its high transmittance of light onto Ag, leading to high reflectance. Our results propose that nano-scaled Pt/Ag/Ni could act as a promising contact metal to p-type GaN for improving the performance of VLEDs.
Enrichment of Glycoproteins using Nano-scale Chelating Con A Monolithic Capillary Chromatography
Feng, Shun; Yang, Na; Pennathur, Subramaniam; Goodison, Steve; Lubman, David M.
2009-01-01
Immobilized lectin chromatography can be employed for glycoprotein enrichment, but commonly used columns have limitations of yield and resolution. In order to improve efficiency and to make the technique applicable to minimal sample material, we have developed a nano-scale chelating Concanavalin A (Con A) monolithic capillary prepared using GMA-EDMA (glycidyl methacrylate–co-ethylene dimethacrylate) as polymeric support. Con A was immobilized on Cu(II)-charged iminodiacetic acid (IDA) regenerable sorbents by forming a IDA:Cu(II):Con A sandwich affinity structure that has high column capacity as well as stability. When compared with conventional Con A lectin chromatography, the monolithic capillary enabled the better reproducible detection of over double the number of unique N-glycoproteins in human urine samples. Utility for analysis of minimal biological samples was confirmed by the successful elucidation of glycoprotein profiles in mouse urine samples at the microliter scale. The improved efficiency of the nano-scale monolithic capillary will impact the analysis of glycoproteins in complex biological samples, especially where only limited material may be available. PMID:19366252
NASA Astrophysics Data System (ADS)
Alexander, Dinu; Joy, Monu; Thomas, Kukku; Sisira, S.; Biju, P. R.; Unnikrishnan, N. V.; Sudarsanakumar, C.; Ittyachen, M. A.; Joseph, Cyriac
2018-06-01
Design and synthesis of Lanthanide based metal organic framework is a frontier area of research owing to their structural diversity enabling specific applications. The luminescence properties of rare earths, tuned by the structural features of Ln-MOFs are investigated extensively. Rare earth oxalates which can be synthesized in a facile method, ensuring the structural features of MOFs with excellent photoluminescence characteristics deserves much attention. This work is the first time report on the single crystal structure and Judd-Ofelt (JO) theoretical analysis - their correlation with the intense and sharp green luminescence of Terbium oxalate crystals. The intense green luminescence observed for Terbium oxalate crystals for a wide range of excitation from DUV to visible region despite the luminescence limiting factors are discussed. The absence of concentration quenching and lifting up of forbidden nature of f-f transitions, allowing direct excitation of Terbium ions is analysed with the help of JO theory and single crystal structure analysis. The JO analysis predicted the asymmetry of Terbium sites, allowing the electric dipole transitions and from the JO intensity parameters, promising spectroscopic parameters - emission cross section, branching ratio, gain band width and gain coefficient of the material were calculated. The single crystal structure analysis revealed the asymmetry of Tb sites and structure of Terbium oxalate is formed by the hydrogen bonded stacking of overlapped six Terbium membered rings connected by the oxalate ligands. The molecularly thick layers thus formed on the crystal surface are imaged by the atomic force microscopy. The presence of water channels in the structure and the effect of lattice water molecules on the luminescence intensity are also investigated.
Mass production of polymer nano-wires filled with metal nano-particles.
Lomadze, Nino; Kopyshev, Alexey; Bargheer, Matias; Wollgarten, Markus; Santer, Svetlana
2017-08-17
Despite the ongoing progress in nanotechnology and its applications, the development of strategies for connecting nano-scale systems to micro- or macroscale elements is hampered by the lack of structural components that have both, nano- and macroscale dimensions. The production of nano-scale wires with macroscale length is one of the most interesting challenges here. There are a lot of strategies to fabricate long nanoscopic stripes made of metals, polymers or ceramics but none is suitable for mass production of ordered and dense arrangements of wires at large numbers. In this paper, we report on a technique for producing arrays of ordered, flexible and free-standing polymer nano-wires filled with different types of nano-particles. The process utilizes the strong response of photosensitive polymer brushes to irradiation with UV-interference patterns, resulting in a substantial mass redistribution of the polymer material along with local rupturing of polymer chains. The chains can wind up in wires of nano-scale thickness and a length of up to several centimeters. When dispersing nano-particles within the film, the final arrangement is similar to a core-shell geometry with mainly nano-particles found in the core region and the polymer forming a dielectric jacket.
NASA Astrophysics Data System (ADS)
Thomas, Sarah; Montgomery, Jeffrey; Tsoi, Georgiy; Vohra, Yogesh; Weir, Samuel; Tulk, Christopher; Moreira Dos Santos, Antonio
2013-06-01
Neutron diffraction and electrical transport measurements have been carried out on the heavy rare earth metal terbium at high pressures and low temperatures in order to elucidate its transition from a helical antiferromagnetic to a ferromagnetic ordered phase as a function of pressure. The electrical resistance measurements using designer diamonds show a change in slope as the temperature is lowered through the ferromagnetic Curie temperature. The temperature of the ferromagnetic transition decreases at a rate of -16.7 K/GPa till 3.6 GPa, where terbium undergoes a structural transition from hexagonal close packed (hcp) to an α-Sm phase. Above this pressure, the electrical resistance measurements no longer exhibit a change in slope. In order to confirm the change in magnetic phase suggested by the electrical resistance measurements, neutron diffraction measurements were conducted at the SNAP beamline at the Oak Ridge National Laboratory. Measurements were made at pressures to 5.3 GPa and temperatures as low as 90 K. An abrupt increase in peak intensity in the neutron diffraction spectra signaled the onset of magnetic order below the Curie temperature. A magnetic phase diagram of rare earth metal terbium will be presented to 5.3 GPa and 90 K based on these studies.
Electron transport in nano-scaled piezoelectronic devices
NASA Astrophysics Data System (ADS)
Jiang, Zhengping; Kuroda, Marcelo A.; Tan, Yaohua; Newns, Dennis M.; Povolotskyi, Michael; Boykin, Timothy B.; Kubis, Tillmann; Klimeck, Gerhard; Martyna, Glenn J.
2013-05-01
The Piezoelectronic Transistor (PET) has been proposed as a post-CMOS device for fast, low-power switching. In this device, the piezoresistive channel is metalized via the expansion of a relaxor piezoelectric element to turn the device on. The mixed-valence compound SmSe is a good choice of PET channel material because of its isostructural pressure-induced continuous metal insulator transition, which is well characterized in bulk single crystals. Prediction and optimization of the performance of a realistic, nano-scaled PET based on SmSe requires the understanding of quantum confinement, tunneling, and the effect of metal interface. In this work, a computationally efficient empirical tight binding (ETB) model is developed for SmSe to study quantum transport in these systems and the scaling limit of PET channel lengths. Modulation of the SmSe band gap under pressure is successfully captured by ETB, and ballistic conductance shows orders of magnitude change under hydrostatic strain, supporting operability of the PET device at nanoscale.
Nano-scaled graphene platelets with a high length-to-width aspect ratio
Zhamu, Aruna; Guo, Jiusheng; Jang, Bor Z.
2010-09-07
This invention provides a nano-scaled graphene platelet (NGP) having a thickness no greater than 100 nm and a length-to-width ratio no less than 3 (preferably greater than 10). The NGP with a high length-to-width ratio can be prepared by using a method comprising (a) intercalating a carbon fiber or graphite fiber with an intercalate to form an intercalated fiber; (b) exfoliating the intercalated fiber to obtain an exfoliated fiber comprising graphene sheets or flakes; and (c) separating the graphene sheets or flakes to obtain nano-scaled graphene platelets. The invention also provides a nanocomposite material comprising an NGP with a high length-to-width ratio. Such a nanocomposite can become electrically conductive with a small weight fraction of NGPs. Conductive composites are particularly useful for shielding of sensitive electronic equipment against electromagnetic interference (EMI) or radio frequency interference (RFI), and for electrostatic charge dissipation.
NASA Astrophysics Data System (ADS)
Kim, Hyo-Joong; Ko, Eun-Hye; Noh, Yong-Jin; Na, Seok-In; Kim, Han-Ki
2016-09-01
Nano-scale surface roughness in transparent ITO films was artificially formed by sputtering a mixed Ag and ITO layer and wet etching of segregated Ag nanoparticles from the surface of the ITO film. Effective removal of self-segregated Ag particles from the grain boundaries and surface of the crystalline ITO film led to a change in only the nano-scale surface morphology of ITO film without changes in the sheet resistance and optical transmittance. A nano-scale rough surface of the ITO film led to an increase in contact area between the hole transport layer and the ITO anode, and eventually increased the hole extraction efficiency in the organic solar cells (OSCs). The heterojunction OSCs fabricated on the ITO anode with a nano-scale surface roughness exhibited a higher power conversion efficiency of 3.320%, than that (2.938%) of OSCs made with the reference ITO/glass. The results here introduce a new method to improve the performance of OSCs by simply modifying the surface morphology of the ITO anodes.
Spectrofluorimetric determination of cefixime using terbium-danofloxacin probe
Manzoori, Jamshid L.; Amjadi, Mohammad; Soltani, Naser; Jouyban, Abolghasem
2014-01-01
Objective(s): Cefixime (Cfx), is a semi-synthetic third-generation oral cephalosporin antibiotic that is prescribed for the treatment of susceptible infections. There are some procedures for the determination of Cfx in pharmaceutical formulations and biological samples. Herein a spectrofluorimetric method was proposed for Cfx determination based on the fluorescence quenching of terbium-danofloxacin (Tb3+-Dano) in the presence of Cfx. Materials and Methods: Cfx was detected based on fluorescence quenching of terbium-danofloxacin (Tb3+-Dano) in the presence of Cfx with maximum excitation and emission wavelengths at 347 nm and 545 nm, respectively. The quenched fluorescence intensity of Tb3+- Dano system is proportional to the concentration of Cfx. The optimum conditions for the determination of Cfx were studied. Results: The maximum response was achieved under optimum conditions of [Tris buffer]= 0.008 mol/l (pH 6.5), [Tb3+]=1×10-4 mol/l and [Dano]=1×10-4 mol/l. The developed method was evaluated in terms of accuracy, precision and limit of detection. The linear concentration ranges for quantification of Cfx were 8.8×10-8-8.8×10-7 mol/l and 1.1×10-7-8.8×10-7 mol/l in standard and human serum samples with the detection limits (S/N=3) of 2.8×10-8 mol/l and 3.9×10-8 mol/l, respectively. The Cfx was determined in pharmaceutical tablets and spiked serum samples and the results were satisfactory. Conclusion: This method is simple, practical and relatively interference-free for determination of Cfx in pharmaceutical tablets and serum samples. PMID:24904718
NASA Astrophysics Data System (ADS)
Ozer, Demet; Oztas, Nursen Altuntas; Köse, Dursun A.; Şahin, Onur
2018-03-01
Using two different synthesis methods, two diversified magnesium and calcium complexes were successfully prepared. When the ion exchange method was used, C9H14MgO11.H2O and C18H30Ca3O24 complexes were obtained. When the one-pot self-assembly reaction was used, C18H34Mg3O26.4H2O and C9H12CaO10 complexes were produced. The structural characterizations were performed by using X-ray diffraction, FT-IR and elemental analyses. Thermal behavior of complexes were also determined via TGA method. The both complexes of magnesium and calcium trimesate have micro and mesoporosity with low porosity because of hydrogen bonds. Then hydrogen storage capacities of complexes were also determined. The differences in synthesis method result in the differences on complexes structure, morphology (shape, particle size and specific surface area) and hydrogen storage capacities.
Wafer-scale aluminum nano-plasmonics
NASA Astrophysics Data System (ADS)
George, Matthew C.; Nielson, Stew; Petrova, Rumyana; Frasier, James; Gardner, Eric
2014-09-01
The design, characterization, and optical modeling of aluminum nano-hole arrays are discussed for potential applications in surface plasmon resonance (SPR) sensing, surface-enhanced Raman scattering (SERS), and surface-enhanced fluorescence spectroscopy (SEFS). In addition, recently-commercialized work on narrow-band, cloaked wire grid polarizers composed of nano-stacked metal and dielectric layers patterned over 200 mm diameter wafers for projection display applications is reviewed. The stacked sub-wavelength nanowire grid results in a narrow-band reduction in reflectance by 1-2 orders of magnitude, which can be tuned throughout the visible spectrum for stray light control.
Nuclear Reactions in Micro/Nano-Scale Metal Particles
NASA Astrophysics Data System (ADS)
Kim, Y. E.
2013-03-01
Low-energy nuclear reactions in micro/nano-scale metal particles are described based on the theory of Bose-Einstein condensation nuclear fusion (BECNF). The BECNF theory is based on a single basic assumption capable of explaining the observed LENR phenomena; deuterons in metals undergo Bose-Einstein condensation. The BECNF theory is also a quantitative predictive physical theory. Experimental tests of the basic assumption and theoretical predictions are proposed. Potential application to energy generation by ignition at low temperatures is described. Generalized theory of BECNF is used to carry out theoretical analyses of recently reported experimental results for hydrogen-nickel system.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wang, H. L.; Shah, S. A. A.; Hao, Y. L.
It is well-known that the body centered cubic (bcc) crystal in titanium alloys reaches its stability limit as the electron-to-atom (e/a) ratio of the alloy drops down to ~4.24. This critical value, however, is much higher than that of a multifunctional bcc type alloy (e/a = 4.15). Here we demonstrate that a nano-scale concentration modulation created by spinodal decomposition is what stabilizes the bcc crystal of the alloy. Aided by such a nano-scale concentration heterogeneity, unexpected properties from its chemically homogeneous counterpart are obtained. This provides a new strategy to design functional titanium alloys by tuning the spinodal decomposition.
Biogenic terbium oxide nanoparticles as the vanguard against osteosarcoma
NASA Astrophysics Data System (ADS)
Iram, Sana; Khan, Salman; Ansary, Abu Ayoobul; Arshad, Mohd; Siddiqui, Sahabjada; Ahmad, Ejaz; Khan, Rizwan H.; Khan, Mohd Sajid
2016-11-01
The synthesis of inner transition metal nanoparticles via an ecofriendly route is quite difficult. This study, for the first time, reports synthesis of terbium oxide nanoparticles using fungus, Fusarium oxysporum. The biocompatible terbium oxide nanoparticles (Tb2O3 NPs) were synthesized by incubating Tb4O7 with the biomass of fungus F. oxysporum. Multiple physical characterization techniques, such as UV-visible and photoluminescence spectroscopy, TEM, SAED, and zeta-potential were used to confirm the synthesis, purity, optical and surface characteristics, crystallinity, size, shape, distribution, and stability of the nanoemulsion of Tb2O3 NPs. The Tb2O3 NPs were found to inhibit the propagation of MG-63 and Saos-2 cell-lines (IC50 value of 0.102 μg/mL) and remained non-toxic up to a concentration of 0.373 μg/mL toward primary osteoblasts. Cell viability decreased in a concentration-dependent manner upon exposure to 10 nm Tb2O3 NPs in the concentration range 0.023-0.373 μg/mL. Cell toxicity was evaluated by observing changes in cell morphology, cell viability, oxidative stress parameters, and FACS analysis. Morphological examinations of cells revealed cell shrinkage, nuclear condensation, and formation of apoptotic bodies. The level of ROS within the cells-an indicator of oxidative stress was significantly increased. The induction of apoptosis at concentrations ≤ IC50 was corroborated by 4‧,6-diamidino-2-phenylindole dihydrochloride (DAPI) staining (DNA damage and nuclear fragmentation). Flow-cytometric studies indicated that the response was dose dependent with a threshold effect.
Lotfi, Ali; Manzoori, Jamshid L
2016-11-01
In this study, a simple and sensitive spectrofluorimetric method is presented for the determination of fluoxetine based on the enhancing effect of silver nanoparticles (AgNPs) on the terbium-fluoxetine fluorescence emission. The AgNPs were prepared by a simple reduction method and characterized by UV-Vis spectroscopy and transmission electron microscopy. It was indicated that these AgNPs have a remarkable amplifying effect on the terbium-sensitized fluorescence of fluoxetine. The effects of various parameters such as AgNP and Tb 3+ concentration and the pH of the media were investigated. Under obtained optimal conditions, the fluorescence intensity of the terbium-fluoxetine-AgNP system was enhanced linearly by increasing the concentration of fluoxetine in the range of 0.008 to 19 mg/L. The limit of detection (b + 3s) was 8.3 × 10 -4 mg/L. The interference effects of common species found in real samples were also studied. The method had good linearity, recovery, reproducibility and sensitivity, and was satisfactorily applied for the determination of fluoxetine in tablet formulations, human urine and plasma samples. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.
The GKSS beamlines at PETRA III and DORIS III
NASA Astrophysics Data System (ADS)
Haibel, A.; Beckmann, F.; Dose, T.; Herzen, J.; Utcke, S.; Lippmann, T.; Schell, N.; Schreyer, A.
2008-08-01
Due to the high brilliance of the new storage ring PETRA III at DESY in Hamburg, the low emittance of 1 nmrad and the high fraction of coherent photons also in the hard X-ray range extremely intense and sharply focused X-ray light will be provided. These advantages of the beam fulfill excellently the qualifications for the planned Imaging BeamLine IBL and the High Energy Materials Science Beamline (HEMS) at PETRA III, i.e. for absorption tomography, phase enhanced and phase contrast experiments, for diffraction, for nano focusing, for nano tomography, and for high speed or in-situ experiments with highest spatial resolution. The existing HARWI II beamline at the DORIS III storage ring at DESY completes the GKSS beamline concept with setups for high energy tomography (16-150 keV) and diffraction (16-250 keV), characterized by a large field of view and an excellent absorption contrast with spatial resolutions down to 2 μm.
Zhou, Zhan; Wang, Qianming
2014-05-07
A novel organic-inorganic hybrid sensor based on diethylenetriaminepentaacetic acid (DTPA) modified reduced graphene oxide (RGO-DTPA) chelated with terbium ions allows detection of dopamine (DA) through an emission enhancement effect. Its luminescence, peaking at 545 nm, has been improved by a factor of 25 in the presence of DA (detection limit = 80 nM). In addition, this covalently bonded terbium complex functionalized reduced graphene oxide (RGO-DTPA-Tb) can be successfully assembled on a glassy carbon electrode. The assay performed through differential pulse voltammetry (DPV) yielded obvious peak separation between DA and excessive amounts of the interfering ascorbic acid (AA).
NASA Astrophysics Data System (ADS)
Hong, Ling; Bian, Guangdong; Hu, Shugen; Wang, Linlin; Dacosta, Herbert
2015-07-01
We investigated the tribological properties of CrAlN and TiN coatings produced by electron beam plasma-assisted physical vapor deposition by nano- and micro-scale wear tests. For comparison, we also conducted nano-indentation, nano-scanning wear tests, and pin-on-disk tribotests on uncoated M2 steel. The results indicate that, after nano-scale sliding tests against diamond indenter and pin-on-disk tests against ceramic alumina counterface pins, the CrAlN coating presents superior abrasive wear resistance compared to the TiN-coated and uncoated M2 steel samples. Against aluminum counterface, aluminum is more prone to attach on the CrAlN coating surface compared to TiN coating, but no apparent adhesive wear was observed, which has occurred on the TiN coating.
Scaling properties of ballistic nano-transistors
2011-01-01
Recently, we have suggested a scale-invariant model for a nano-transistor. In agreement with experiments a close-to-linear thresh-old trace was found in the calculated ID - VD-traces separating the regimes of classically allowed transport and tunneling transport. In this conference contribution, the relevant physical quantities in our model and its range of applicability are discussed in more detail. Extending the temperature range of our studies it is shown that a close-to-linear thresh-old trace results at room temperatures as well. In qualitative agreement with the experiments the ID - VG-traces for small drain voltages show thermally activated transport below the threshold gate voltage. In contrast, at large drain voltages the gate-voltage dependence is weaker. As can be expected in our relatively simple model, the theoretical drain current is larger than the experimental one by a little less than a decade. PMID:21711899
2012-03-13
Source Approach Part II. Altairnano Lithium Ion Nano-scaled Titanate Oxide Cell and Module Abuse Testing 14. ABSTRACT 16. SECURITY CLASSIFICATION OF...Lithium Ion Nano-scaled Titanate Oxide Cell and Module Abuse Testing Report Title ABSTRACT This final report for Contract W911NF-09-C-0135 transmits the...prototype development. The second (Part II.) is "Altairnano Lithium Ion Nano-scaled Titanate Oxide Cell and Module Abuse Test Report". The
3D positioning scheme exploiting nano-scale IR-UWB orthogonal pulses.
Kim, Nammoon; Kim, Youngok
2011-10-04
In these days, the development of positioning technology for realizing ubiquitous environments has become one of the most important issues. The Global Positioning System (GPS) is a well-known positioning scheme, but it is not suitable for positioning in in-door/building environments because it is difficult to maintain line-of-sight condition between satellites and a GPS receiver. To such problem, various positioning methods such as RFID, WLAN, ZigBee, and Bluetooth have been developed for indoor positioning scheme. However, the majority of positioning schemes are focused on the two-dimension positioning even though three-dimension (3D) positioning information is more useful especially in indoor applications, such as smart space, U-health service, context aware service, etc. In this paper, a 3D positioning system based on mutually orthogonal nano-scale impulse radio ultra-wideband (IR-UWB) signals and cross array antenna is proposed. The proposed scheme uses nano-scale IR-UWB signals providing fine time resolution and high-resolution multiple signal specification algorithm for the time-of-arrival and the angle-of-arrival estimation. The performance is evaluated over various IEEE 802.15.4a channel models, and simulation results show the effectiveness of proposed scheme.
Modeling the Charge Transport in Graphene Nano Ribbon Interfaces for Nano Scale Electronic Devices
NASA Astrophysics Data System (ADS)
Kumar, Ravinder; Engles, Derick
2015-05-01
In this research work we have modeled, simulated and compared the electronic charge transport for Metal-Semiconductor-Metal interfaces of Graphene Nano Ribbons (GNR) with different geometries using First-Principle calculations and Non-Equilibrium Green's Function (NEGF) method. We modeled junctions of Armchair GNR strip sandwiched between two Zigzag strips with (Z-A-Z) and Zigzag GNR strip sandwiched between two Armchair strips with (A-Z-A) using semi-empirical Extended Huckle Theory (EHT) within the framework of Non-Equilibrium Green Function (NEGF). I-V characteristics of the interfaces were visualized for various transport parameters. The distinct changes in conductance and I-V curves reported as the Width across layers, Channel length (Central part) was varied at different bias voltages from -1V to 1 V with steps of 0.25 V. From the simulated results we observed that the conductance through A-Z-A graphene junction is in the range of 10-13 Siemens whereas the conductance through Z-A-Z graphene junction is in the range of 10-5 Siemens. These suggested conductance controlled mechanisms for the charge transport in the graphene interfaces with different geometries is important for the design of graphene based nano scale electronic devices like Graphene FETs, Sensors.
Bayley-III: Cultural differences and language scale validity in a Danish sample.
Krogh, Marianne T; Vaever, Mette S
2016-12-01
The purpose of this study was to investigate cultural differences between Danish and American children at 2 and 3 years as measured with the developmental test Bayley-III, and to investigate the Bayley-III Language Scale validity. The Danish children (N = 43) were tested with the Bayley-III and their parents completed an additional language questionnaire (the MacArthur-Bates CDI). Results showed that scores from the Danish children did not differ significantly from the American norms on the Cognitive or Motor Scale, but the Danish sample scored significantly higher on the Language Scale. A comparison of the Bayley-III Language subtests with the CDI showed that the two measures correlated significantly, but the percentile score from the CDI was significantly higher than the percentile score from the Bayley-III Language subtests. This could be because the two instruments measure slightly different areas of language development, or because the Bayley-III overestimates language development in Danish children. However, due to the limitations of the current study, further research is needed to clarify this issue. © 2016 Scandinavian Psychological Associations and John Wiley & Sons Ltd.
Nano-Scale Fabrication Using Optical-Near-Field
NASA Astrophysics Data System (ADS)
Yatsui, Takashi; Ohtsu, Motoichi
This paper reviews the specific nature of nanophotonics, i.e., a novel optical nano-technology, utilizing dressed photon excited in the nano-material. As examples of nanophotnic fabrication, optical near-field etching and increased spatial homogeneity of contents in compound semiconductors is demonstrated with a self-organized manner.
Synthesis of Nano-Scale Fast Ion Conducting Cubic Li7La3Zr2O12
2013-09-25
offer the flexibility to make nano-dimensional particles with high sinterability nor the ability to coat/protect electrode powders. By developing a...sintering temperature are needed. One possible approach is to use small particles , such as nano-scale particles , that can be sintered at lower temperatures...matrix to suppress Li dendrite penetration. By developing a sol–gel process, the LLZO particle size can be precisely tuned, from the nanometer to the
DOE Office of Scientific and Technical Information (OSTI.GOV)
Huang, Ya-Jing; Zheng, Yue-Qing, E-mail: zhengnbu@163.com; Zhu, Hong-Lin
A new Bi(III) coordination polymer Bi{sub 2}(Hpdc){sub 2}(pdc){sub 2}·2H{sub 2}O (H{sub 2}pdc=pyridine-2,6-dicarboxylic acid) was synthesized by hydrothermal method. Solid state thermal decomposition of this complex under 500 °C for 1 h led to the foliated Bi{sub 2}O{sub 3} nanoparticles, which were then characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). Comparative study on their photocatalytic activity toward the degradation of rhodamine B (RhB), methylene blue (MB) and methyl orange (MO) in polluted water was explored, and the mechanism of these photocatalytic degradation was discussed. These results provided some interesting insights into their photocatalytic applications. - Graphical abstract: Wemore » regard Bi{sub 2}(Hpdc){sub 2}(pdc){sub 2}·2H{sub 2}O with 1D chain structures as the precursor, then calcinate the complex to prepare nano-powder α-Bi{sub 2}O{sub 3}. The photochemical experiment indicates that Bi{sub 2}(Hpdc){sub 2}(pdc){sub 2}·2H{sub 2}O can be used as an efficient photocatalyst for the degradation of RhB and MB. Interestingly, nano α-Bi{sub 2}O{sub 3} shows higher activity than the commercial Bi{sub 2}O{sub 3} for the degradation of RhB, MB or MO. Display Omitted - Highlights: • A novel dinuclear Bi(III) coordination polymer is hydrothermally synthesized. • Calcinating the precursor Bi-CP will result in the nano Bi{sub 2}O{sub 3} with foliated morphology. • Nano Bi{sub 2}O{sub 3} shows higher activity than the commercial Bi{sub 2}O{sub 3} for the degradation of dyes.« less
Bhatt, Ronak; Sreedhar, B; Padmaja, P
2017-11-01
A facile synthesis of Chitosan Supramolecularly cross-linked with Trimesic Acid (CTMA) is reported in this work. The adsorption potential of CTMA for removal of hexavalent chromium was evaluated and the influence of pH, temperature, contact time and adsorbent dose on the adsorption process was investigated. The experimental results showed that CTMA could efficiently adsorb Cr 6+ and partially reduce it to the less toxic Cr 3+ state. The maximum adsorption capacity of CTMA for Cr 6+ was found to be 129.53mg/g at pH 2.0. CTMA and chromium loaded CTMA were characterised by FT-IR, Raman, TGA-DSC, SEM-EDX, XRD, ESR and XPS spectroscopic techniques. Chitosan was observed to be cross- linked with TMA via ionic, hydrogen bonding and pi-pi supramolecular interactions while adsorption of chromium onto CTMA was by electrostatic forces and hydrogen bonding. From the observed results it was evident that CTMA was successfully applied for simultaneous removal of chromium, lead and iron from chrome plating effluent. Copyright © 2017 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Strohm, Gianna Sophia
The move from conventional energetic composites to nano scale energetic mixtures (nano energetics) has shown dramatic improvement in energy release rate and sensitivity to ignition. A possible application of nano energetics is on a semiconductor bridge (SCB). An SCB typically requires a tenth of the energy input as compared to a bridge wire design with the same no-fire and is capable of igniting in tens of microseconds. For very low energy applications, SCBs can be manufactured to extremely small sizes and it is necessary to find materials with particle sizes that are even smaller to function. Reactive particles of comparable size to the bridge can lead to problems with ignition reliability for small bridges. Nano-energetic composites and the use of SCBs have been significantly studied individually, however, the process of combining nano energetics with an SCB has not been investigated extensively and is the focus of this work. Goals of this study are to determine if nano energetics can be used with SCBs to further reduce the minimum energy required and improve reliability. The performance of nano-scale aluminum (nAl) and bismuth oxide (Bi2O3) with nitrocellulose (NC), Fluorel(TM) FC 2175 (chemically equivalent to VitonRTM) and Glycidyl Azide Polymer (GAP) as binders where quantified initially using the SenTest(TM) algorithm at three weight fractions (5, 7, and 9%) of binder. The threshold energy was calculated and compared to previous data using conventional materials such as zirconium potassium chlorate (ZPC), mercuric 5-Nitrotetrazol (DXN-1) and titanium sub-hydride potassium per-chlorate (TSPP). It was found that even though there where only slight differences in performance between the binders with nAl/Bi2O 3 at any of the three binder weight fractions, the results show that these nano energetic materials require about half of the threshold energy compared to conventional materials using an SCB with an 84x42 mum bridge. Binder limit testing was conducted to
Molecular Imaging of Kerogen and Minerals in Shale Rocks across Micro- and Nano- Scales
NASA Astrophysics Data System (ADS)
Hao, Z.; Bechtel, H.; Sannibale, F.; Kneafsey, T. J.; Gilbert, B.; Nico, P. S.
2016-12-01
Fourier transform infrared (FTIR) spectroscopy is a reliable and non-destructive quantitative method to evaluate mineralogy and kerogen content / maturity of shale rocks, although it is traditionally difficult to assess the organic and mineralogical heterogeneity at micrometer and nanometer scales due to the diffraction limit of the infrared light. However, it is truly at these scales that the kerogen and mineral content and their formation in share rocks determines the quality of shale gas reserve, the gas flow mechanisms and the gas production. Therefore, it's necessary to develop new approaches which can image across both micro- and nano- scales. In this presentation, we will describe two new molecular imaging approaches to obtain kerogen and mineral information in shale rocks at the unprecedented high spatial resolution, and a cross-scale quantitative multivariate analysis method to provide rapid geochemical characterization of large size samples. The two imaging approaches are enhanced at nearfield respectively by a Ge-hemisphere (GE) and by a metallic scanning probe (SINS). The GE method is a modified microscopic attenuated total reflectance (ATR) method which rapidly captures a chemical image of the shale rock surface at 1 to 5 micrometer resolution with a large field of view of 600 X 600 micrometer, while the SINS probes the surface at 20 nm resolution which provides a chemically "deconvoluted" map at the nano-pore level. The detailed geochemical distribution at nanoscale is then used to build a machine learning model to generate self-calibrated chemical distribution map at micrometer scale with the input of the GE images. A number of geochemical contents across these two important scales are observed and analyzed, including the minerals (oxides, carbonates, sulphides), the organics (carbohydrates, aromatics), and the absorbed gases. These approaches are self-calibrated, optics friendly and non-destructive, so they hold the potential to monitor shale gas
Innocenzi, Valentina; Ippolito, Nicolò Maria; De Michelis, Ida; Medici, Franco; Vegliò, Francesco
2016-12-15
Terbium and rare earths recovery from fluorescent powders of exhausted lamps by acid leaching with hydrochloric acid was the objective of this study. In order to investigate the factors affecting leaching a series of experiments was performed in according to a full factorial plan with four variables and two levels (4 2 ). The factors studied were temperature, concentration of acid, pulp density and leaching time. Experimental conditions of terbium dissolution were optimized by statistical analysis. The results showed that temperature and pulp density were significant with a positive and negative effect, respectively. The empirical mathematical model deducted by experimental data demonstrated that terbium content was completely dissolved under the following conditions: 90 °C, 2 M hydrochloric acid and 5% of pulp density; while when the pulp density was 15% an extraction of 83% could be obtained at 90 °C and 5 M hydrochloric acid. Finally a flow sheet for the recovery of rare earth elements was proposed. The process was tested and simulated by commercial software for the chemical processes. The mass balance of the process was calculated: from 1 ton of initial powder it was possible to obtain around 160 kg of a concentrate of rare earths having a purity of 99%. The main rare earths elements in the final product was yttrium oxide (86.43%) following by cerium oxide (4.11%), lanthanum oxide (3.18%), europium oxide (3.08%) and terbium oxide (2.20%). The estimated total recovery of the rare earths elements was around 70% for yttrium and europium and 80% for the other rare earths. Copyright © 2016 Elsevier Ltd. All rights reserved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Atie, Elie M.; Xie, Zhihua; El Eter, Ali
2015-04-13
Plasmonic nano-antennas have proven the outstanding ability of sensing chemical and physical processes down to the nanometer scale. Sensing is usually achieved within the highly confined optical fields generated resonantly by the nano-antennas, i.e., in contact to the nanostructures. In this paper, we demonstrate the sensing capability of nano-antennas to their larger scale environment, well beyond their plasmonic confinement volume, leading to the concept of “remote” (non contact) sensing on the nanometer scale. On the basis of a bowtie-aperture nano-antenna (BNA) integrated at the apex of a SNOM (Scanning Near-field Optical Microscopy) fiber tip, we introduce an ultra-compact, moveable, andmore » background-free optical nanosensor for the remote sensing of a silicon surface (up to distance of 300 nm). Sensitivity of the BNA to its large scale environment is high enough to expect the monitoring and control of the spacing between the nano-antenna and a silicon surface with sub-nanometer accuracy. This work paves the way towards an alternative class of nanopositioning techniques, based on the monitoring of diffraction-free plasmon resonance, that are alternative to nanomechanical and diffraction-limited optical interference-based devices.« less
NASA Astrophysics Data System (ADS)
Hunt, Emily M.; Pantoya, Michelle L.
2005-08-01
Ignition behaviors associated with nano- and micron-scale particulate composite thermites were studied experimentally and modeled theoretically. The experimental analysis utilized a CO2 laser ignition apparatus to ignite the front surface of compacted nickel (Ni) and aluminum (Al) pellets at varying heating rates. Ignition delay time and ignition temperature as a function of both Ni and Al particle size were measured using high-speed imaging and microthermocouples. The apparent activation energy was determined from this data using a Kissinger isoconversion method. This study shows that the activation energy is significantly lower for nano- compared with micron-scale particulate media (i.e., as low as 17.4 compared with 162.5kJ /mol, respectively). Two separate Arrhenius-type mathematical models were developed that describe ignition in the nano- and the micron-composite thermites. The micron-composite model is based on a heat balance while the nanocomposite model incorporates the energy of phase transformation in the alumina shell theorized to be an initiating step in the solid-solid diffusion reaction and uniquely appreciable in nanoparticle media. These models were found to describe the ignition of the Ni /Al alloy for a wide range of heating rates.
Liang, Shu-Xuan; Jin, Yu; Liu, Wei; Li, Xiliang; Shen, Shi-Gang; Ding, Ling
2017-04-01
The effect of the combined application of nano-hydroxyapatite (NHAP) or nano-carbon black (NCB) on the phytoextraction of Pb by ryegrass was investigated as an enhanced remediation technique for soils by field-scale experiment. After the addition of 0.2% NHAP or NCB to the soil, temporal variation of the uptake of Pb in aboveground parts and roots were observed. Ryegrass shoot concentrations of Pb were lower with nano-materials application than without nano-materials for the first month. However, the shoot concentrations of Pb were significantly increased with nano-materials application, in particular NHAP groups. The ryegrass root concentrations of Pb were lower with nano-materials application for the first month. These results indicated that nano-materials had significant effects on stabilization of lead, especially at the beginning of the experiment. Along with the experimental proceeding, phytotoxicity was alleviated after the incorporation of nano-materials. The ryegrass biomass was significantly higher with nano-materials application. Consequently, the Pb phytoextraction potential of ryegrass significantly increased with nano-materials application compared to the gounps without nano-materials application. The total removal rates of soil Pb were higher after combined application of NHAP than NCB. NHAP is more suitable than NCB for in-situ remediation of Pb-contaminated soils. The ryegrass translocation factor exhibited a marked increase with time. It was thought that the major role of NHP and NBA might be to alleviate the Pb phytotoxicity and increase biomass of plants. Copyright © 2016 Elsevier Ltd. All rights reserved.
USDA-ARS?s Scientific Manuscript database
Danofloxacin (DANO) residue in bovine muscle was screened at 200 ng/g by terbium-sensitized luminescence (TSL) directly measured on 10x6 mm C18 sorbent strips. The analyte was first adsorbed on sorbent surface by immersion in defatted homogenates. After reagent application and desiccation, TSL was d...
Turbulent Channel Flow Measurements with a Nano-scale Thermal Anemometry Probe
NASA Astrophysics Data System (ADS)
Bailey, Sean; Witte, Brandon
2014-11-01
Using a Nano-scale Thermal Anemometry Probe (NSTAP), streamwise velocity was measured in a turbulent channel flow wind tunnel at Reynolds numbers ranging from Reτ = 500 to Reτ = 4000 . Use of these probes results in the a sensing-length-to-viscous-length-scale ratio of just 5 at the highest Reynolds number measured. Thus measured results can be considered free of spatial filtering effects. Point statistics are compared to recently published DNS and LDV data at similar Reynolds numbers and the results are found to be in good agreement. However, comparison of the measured spectra provide further evidence of aliasing at long wavelengths due to application of Taylor's frozen flow hypothesis, with increased aliasing evident with increasing Reynolds numbers. In addition to conventional point statistics, the dissipative scales of turbulence are investigated with focus on the wall-dependent scaling. Results support the existence of a universal pdf distribution of these scales once scaled to account for large-scale anisotropy. This research is supported by KSEF Award KSEF-2685-RDE-015.
Development of a Cryostat to Characterize Nano-scale Superconducting Quantum Interference Devices
NASA Astrophysics Data System (ADS)
Longo, Mathew; Matheny, Matthew; Knudsen, Jasmine
2016-03-01
We have designed and constructed a low-noise vacuum cryostat to be used for the characterization of nano-scale superconducting quantum interference devices (SQUIDs). Such devices are very sensitive to magnetic fields and can measure changes in flux on the order of a single electron magnetic moment. As a part of the design process, we calculated the separation required between the cryogenic preamplifier and superconducting magnet, including a high-permeability magnetic shield, using a finite-element model of the apparatus. The cryostat comprises a vacuum cross at room temperature for filtered DC and shielded RF electrical connections, a thin-wall stainless steel support tube, a taper-sealed cryogenic vacuum can, and internal mechanical support and wiring for the nanoSQUID. The Dewar is modified with a room-temperature flange with a sliding seal for the cryostat. The flange supports the superconducting 3 Tesla magnet and thermometry wiring. Upon completion of the cryostat fabrication and Dewar modifications, operation of the nanoSQUIDs as transported from our collaborator's laboratory in Israel will be confirmed, as the lead forming the SQUID is sensitive to oxidation and the SQUIDs must be shipped in a vacuum container. After operation of the nanoSQUIDs is confirmed, the primary work of characterizing their high-speed properties will begin. This will include looking at the measurement of relaxation oscillations at high bandwidth in comparison to the theoretical predictions of the current model.
Challenges for the Modern Science in its Descend Towards Nano Scale
Uskoković, Vuk
2013-01-01
The current rise in the interest in physical phenomena at nano spatial scale is described hereby as a natural consequence of the scientific progress in manipulation with matter with an ever higher sensitivity. The reason behind arising of the entirely new field of nanoscience is that the properties of nanostructured materials may significantly differ from their bulk counterparts and cannot be predicted by extrapolations of the size-dependent properties displayed by materials composed of microsized particles. It is also argued that although a material can comprise critical boundaries at the nano scale, this does not mean that it will inevitably exhibit properties that endow a nanomaterial. This implies that the attribute of “nanomaterial” can be used only in relation with a given property of interest. The major challenges faced with the expansion of resolution of the materials design, in terms of hardly reproducible experiments, are further discussed. It is claimed that owing to an unavoidable interference between the experimental system and its environment to which the controlling system belongs, an increased fineness of the experimental settings will lead to ever more difficulties in rendering them reproducible and controllable. Self-assembly methods in which a part of the preprogrammed scientific design is substituted with letting physical systems spontaneously evolve into attractive and functional structures is mentioned as one of the ways to overcome the problems inherent in synthetic approaches at the ultrafine scale. The fact that physical systems partly owe their properties to the interaction with their environment implies that each self-assembly process can be considered a co-assembly event. PMID:26491428
Evaporation of Liquid Droplet in Nano and Micro Scales from Statistical Rate Theory.
Duan, Fei; He, Bin; Wei, Tao
2015-04-01
The statistical rate theory (SRT) is applied to predict the average evaporation flux of liquid droplet after the approach is validated in the sessile droplet experiments of the water and heavy water. The steady-state experiments show a temperature discontinuity at the evaporating interface. The average evaporation flux is evaluated by individually changing the measurement at a liquid-vapor interface, including the interfacial liquid temperature, the interfacial vapor temperature, the vapor-phase pressure, and the droplet size. The parameter study shows that a higher temperature jump would reduce the average evaporation flux. The average evaporation flux can significantly be influenced by the interfacial liquid temperature and the vapor-phase pressure. The variation can switch the evaporation into condensation. The evaporation flux is found to remain relative constant if the droplet is larger than a micro scale, while the smaller diameters in nano scale can produce a much higher evaporation flux. In addition, a smaller diameter of droplets with the same liquid volume has a larger surface area. It is suggested that the evaporation rate increases dramatically as the droplet shrinks into nano size.
Walker, Alexandra J; Batchelor, Jennifer; Shores, E Arthur; Jones, Mike
2009-11-01
Despite the sensitivity of neuropsychological tests to educational level, improved diagnostic accuracy for demographically corrected scores has yet to be established. Diagnostic efficiency statistics of Wechsler Adult Intelligence Scale-III (WAIS-III) and Wechsler Memory Scale-III (WMS-III) indices that were corrected for education, sex, and age (demographically corrected) were compared with age corrected indices in individuals aged 16 to 75 years with moderate to severe traumatic brain injury (TBI) and 12 years or less education. TBI participants (n = 100) were consecutive referrals to an outpatient rehabilitation service and met careful selection criteria. Controls (n = 100) were obtained from the WAIS-III/WMS-III standardization sample. Demographically corrected indices did not provide higher diagnostic efficiency than age corrected indices and this result was supported by reanalysis of the TBI group against a larger and unmatched control group. Processing Speed Index provided comparable diagnostic accuracy to that of combined indices. Demographically corrected indices were associated with higher cut-scores to maximize overall classification, reflecting the upward adjustment of those scores in a lower education sample. This suggests that, in clinical practice, the test results of individuals with limited education may be more accurately interpreted with the application of demographic corrections. Diagnostic efficiency statistics are presented, and future research directions are discussed.
Al-Kindy, Salma M Z; Al-Snedi, Abdalla; Suliman, Fakhr Eldin O; Al-Lawati, Haidar A J
2014-09-01
A sensitive time-resolved luminescence method for the determination of amlodipine (AM) in methanol and in aqueous solution is described. The method is based on the luminescence sensitization of terbium (Tb(3+) ) by formation of a ternary complex with AM in the presence of tri-n-octylphosphine oxide (TOPO) as co-ligand, dodecylbenzenesulfate as surfactant and europium ion as a co-luminescence reagent. The signal for Tb-AM-TOPO is monitored at λex = 242 nm and λem = 550 nm. Optimum conditions for the formation of the complex in aqueous system were 0.015 m Tris (hydroxylmethyl) amino methane buffer, pH 9.0, TOPO (1.0 × 10(-4) m), Eu(3+) (2.0 × 10(-7) m), dodecylbenzenesulfate (0.14%) and 6.0 × 10(-5) m of Tb(3+) , which allows the determination of 10-50 ppb of AM with a limit of detection of 1.2 ppb. The relative standard deviations of the method range between 0.1 and 0.2% indicated excellent reproducibility of the method. The proposed method was successfully applied for the assay of AM in pharmaceutical formulations and in plasma samples. Average recoveries of 98.5 ± 0.2% and 95.2 ± 0.2% were obtained for AM in tablet and plasma samples respectively. Copyright © 2013 John Wiley & Sons, Ltd.
Analytical study of nano-scale logical operations
NASA Astrophysics Data System (ADS)
Patra, Moumita; Maiti, Santanu K.
2018-07-01
A complete analytical prescription is given to perform three basic (OR, AND, NOT) and two universal (NAND, NOR) logic gates at nano-scale level using simple tailor made geometries. Two different geometries, ring-like and chain-like, are taken into account where in each case the bridging conductor is coupled to a local atomic site through a dangling bond whose site energy can be controlled by means of external gate electrode. The main idea is that when injecting electron energy matches with site energy of local atomic site transmission probability drops exactly to zero, whereas the junction exhibits finite transmission for other energies. Utilizing this prescription we perform logical operations, and, we strongly believe that the proposed results can be verified in laboratory. Finally, we numerically compute two-terminal transmission probability considering general models and the numerical results match exactly well with our analytical findings.
Nano-scale Characterization of Basalt - Quenched Lava and Reheated Products
NASA Astrophysics Data System (ADS)
Burkhard, D. J.; Wirth, R.
2001-12-01
In order to trace the mechanism of crystallization in basalt we investigated basalt lava from active Pu'u O'o, Kilauea, Hawaii with TEM. We considered (1) quenched melt (glass, obtained by dipping a hammer into the lava (April 1996) and subsequent quenching in air), and (2) that glass after reheating for 48 hr at 850° C, and (3) after reheating for 48 hr at 930° C. Previous investigations had illustrated interface-controlled growth of pyroxene and Fe-Ti oxides at 850° C and volumetric growth of these phases in addition to plagioclase above 920° C [1]. In general, (1) is a perfect glass to the nano-scale. Occasional inhomogeneities are identified as plagioclase. With a size of no more than approximately 100 unit cells, these "crystals" might be considered as nuclei. Dendrites of pyroxene, identified on the micron scale with back scattered electrons [1], occur as a sequence of slightly displaced plates with equal orientation on the nano-scale. HREM, diffraction pattern and EDS confirm that this is augite, in agreement with investigations on the micron-scale [1]. Fe-Ti oxides occur isolated in the matrix with a diameter less than 100 nm, in contrast to the micron-scale, where Fe-Ti oxides appear at the apices of augite. In (3) we find in addition plagioclase with thin lamellae, indicating twinning. In (3),augite contains lamellae parallel to (001), and they are identified as pigeonite by HREM and electron diffraction. Pigeonite lamellae occur also in (2), however, less developed. Electron diffraction suggests that reflections of augite correspond to the space group C 2/c, and of exsolved pigeonite to P 21/c, which is a low pigeonite. These exsolution phenomena are undistiguishable from what is usually observed in relation to high cooling rates [e.g. 2]. The stability of pigeonite at these temperatures suggests a Fe/Fe+Mg ratio above 0.6 for pyroxene in the quadilateral [3]. Microprobe analyses [1] suggest ratios of 0.4 to 0.5. [1] Burkhard D.J.M. (2001) J. Petrol
Modeling and Characterization of Near-Crack-Tip Plasticity from Micro- to Nano-Scales
NASA Technical Reports Server (NTRS)
Glaessgen, Edward H.; Saether, Erik; Hochhalter, Jacob; Smith, Stephen W.; Ransom, Jonathan B.; Yamakov, Vesselin; Gupta, Vipul
2010-01-01
Methodologies for understanding the plastic deformation mechanisms related to crack propagation at the nano-, meso- and micro-length scales are being developed. These efforts include the development and application of several computational methods including atomistic simulation, discrete dislocation plasticity, strain gradient plasticity and crystal plasticity; and experimental methods including electron backscattered diffraction and video image correlation. Additionally, methodologies for multi-scale modeling and characterization that can be used to bridge the relevant length scales from nanometers to millimeters are being developed. The paper focuses on the discussion of newly developed methodologies in these areas and their application to understanding damage processes in aluminum and its alloys.
Modeling and Characterization of Near-Crack-Tip Plasticity from Micro- to Nano-Scales
NASA Technical Reports Server (NTRS)
Glaessgen, Edward H.; Saether, Erik; Hochhalter, Jacob; Smith, Stephen W.; Ransom, Jonathan B.; Yamakov, Vesselin; Gupta, Vipul
2011-01-01
Methodologies for understanding the plastic deformation mechanisms related 10 crack propagation at the nano, meso- and micro-length scales are being developed. These efforts include the development and application of several computational methods including atomistic simulation, discrete dislocation plasticity, strain gradient plasticity and crystal plasticity; and experimental methods including electron backscattered diffraction and video image correlation. Additionally, methodologies for multi-scale modeling and characterization that can be used to bridge the relevant length scales from nanometers to millimeters are being developed. The paper focuses on the discussion of newly developed methodologies in these areas and their application to understanding damage processes in aluminum and its alloys.
Large-scale phase separation with nano-twin domains in manganite spinel (Co,Fe,Mn){sub 3}O{sub 4}
DOE Office of Scientific and Technical Information (OSTI.GOV)
Horibe, Y., E-mail: horibe@post.matsc.kyutech.ac.jp; Takeyama, S.; Mori, S.
The effect of Mn concentration on the formation of nano-domain structures in the spinel oxide (Co,Fe,Mn){sub 3}O{sub 4} was investigated by electron diffraction, bright-, and dark-field imaging technique with transmission electron microscopy. Large scale phase separation with nano-twin domains was observed in Co{sub 0.6}Fe{sub 1.0}Mn{sub 1.4}O{sub 4}, in contrast to the highly aligned checkerboard nano-domains in Co{sub 0.6}Fe{sub 0.9}Mn{sub 1.5}O{sub 4}. Diffusion of the Mn{sup 3+} ions with the Jahn-Teller distortions is suggested to play an important role in the formation of checkerboard nano-domain structure.
Ogawa, S.; Komini Babu, S.; Chung, H. T.; ...
2016-08-22
The nano/micro-scale geometry of polymer electrolyte fuel cell (PEFC) catalyst layers critically affects cell performance. The small length scales and complex structure of these composite layers make it challenging to analyze cell performance and physics at the particle scale by experiment. We present a computational method to simulate transport and chemical reaction phenomena at the pore/particle-scale and apply it to a PEFC cathode with platinum group metal free (PGM-free) catalyst. Here, we numerically solve the governing equations for the physics with heterogeneous oxygen diffusion coefficient and proton conductivity evaluated using the actual electrode structure and ionomer distribution obtained using nano-scalemore » resolution X-ray computed tomography (nano-CT). Using this approach, the oxygen concentration and electrolyte potential distributions imposed by the oxygen reduction reaction are solved and the impact of the catalyst layer structure on performance is evaluated.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ogawa, S.; Komini Babu, S.; Chung, H. T.
The nano/micro-scale geometry of polymer electrolyte fuel cell (PEFC) catalyst layers critically affects cell performance. The small length scales and complex structure of these composite layers make it challenging to analyze cell performance and physics at the particle scale by experiment. We present a computational method to simulate transport and chemical reaction phenomena at the pore/particle-scale and apply it to a PEFC cathode with platinum group metal free (PGM-free) catalyst. Here, we numerically solve the governing equations for the physics with heterogeneous oxygen diffusion coefficient and proton conductivity evaluated using the actual electrode structure and ionomer distribution obtained using nano-scalemore » resolution X-ray computed tomography (nano-CT). Using this approach, the oxygen concentration and electrolyte potential distributions imposed by the oxygen reduction reaction are solved and the impact of the catalyst layer structure on performance is evaluated.« less
Nano-Scale Characterization of Al-Mg Nanocrystalline Alloys
NASA Astrophysics Data System (ADS)
Harvey, Evan; Ladani, Leila
Materials with nano-scale microstructure have become increasingly popular due to their benefit of substantially increased strengths. The increase in strength as a result of decreasing grain size is defined by the Hall-Petch equation. With increased interest in miniaturization of components, methods of mechanical characterization of small volumes of material are necessary because traditional means such as tensile testing becomes increasingly difficult with such small test specimens. This study seeks to characterize elastic-plastic properties of nanocrystalline Al-5083 through nanoindentation and related data analysis techniques. By using nanoindentation, accurate predictions of the elastic modulus and hardness of the alloy were attained. Also, the employed data analysis model provided reasonable estimates of the plastic properties (strain-hardening exponent and yield stress) lending credibility to this procedure as an accurate, full mechanical characterization method.
Reduced wear of enamel with novel fine and nano-scale leucite glass-ceramics.
Theocharopoulos, Antonios; Chen, Xiaohui; Hill, Robert; Cattell, Michael J
2013-06-01
Leucite glass-ceramics used to produce all-ceramic restorations can suffer from brittle fracture and wear the opposing teeth. High strength and fine crystal sized leucite glass-ceramics have recently been reported. The objective of this study is to investigate whether fine and nano-scale leucite glass-ceramics with minimal matrix microcracking are associated with a reduction in in vitro tooth wear. Human molar cusps (n=12) were wear tested using a Bionix-858 testing machine (300,000 simulated masticatory cycles) against experimental fine crystal sized (FS), nano-scale crystal sized (NS) leucite glass-ceramics and a commercial leucite glass-ceramic (Ceramco-3, Dentsply, USA). Wear was imaged using Secondary Electron Imaging (SEI) and quantified using white-light profilometry. Both experimental groups were found to produce significantly (p<0.05) less volume and mean-height tooth loss compared to Ceramco-3. The NS group had significantly (p<0.05) less tooth mean-height loss and less combined (tooth and ceramic) loss than the FS group. Increased waviness and damage was observed on the wear surfaces of the Ceramco-3 glass-ceramic disc/tooth group in comparison to the experimental groups. This was also indicated by higher surface roughness values for the Ceramco-3 glass-ceramic disc/tooth group. Fine and nano-sized leucite glass-ceramics produced a reduction in in vitro tooth wear. The high strength low wear materials of this study may help address the many problems associated with tooth enamel wear and restoration failure. Copyright © 2013 Elsevier Ltd. All rights reserved.
Nano-Scale Sample Acquisition Systems for Small Class Exploration Spacecraft
NASA Astrophysics Data System (ADS)
Paulsen, G.
2015-12-01
The paradigm for space exploration is changing. Large and expensive missions are very rare and the space community is turning to smaller, lighter, and less expensive missions that could still perform great exploration. These missions are also within reach of commercial companies such as the Google Lunar X Prize teams that develop small scale lunar missions. Recent commercial endeavors such as "Planet Labs inc." and Sky Box Imaging, inc. show that there are new benefits and business models associated with miniaturization of space hardware. The Nano-Scale Sample Acquisition System includes NanoDrill for capture of small rock cores and PlanetVac for capture of surface regolith. These two systems are part of the ongoing effort to develop "Micro Sampling" systems for deployment by the small spacecraft with limited payload capacities. The ideal applications include prospecting missions to the Moon and Asteroids. The MicroDrill is a rotary-percussive coring drill that captures cores 7 mm in diameter and up to 2 cm long. The drill weighs less than 1 kg and can capture a core from a 40 MPa strength rock within a few minutes, with less than 10 Watt power and less than 10 Newton of preload. The PlanetVac is a pneumatic based regolith acquisition system that can capture surface sample in touch-and-go maneuver. These sampling systems were integrated within the footpads of commercial quadcopter for testing. As such, they could also be used by geologists on Earth to explore difficult to get to locations.
Zabolotskikh, I B; Musaeva, T S; Denisova, E A
2012-01-01
to estimate efficiency of APACHE II, APACHE III, SAPS II, SAPS III, SOFA scales for obstetric patients with heavy sepsis. 186 medical cards retrospective analysis of pregnant women with pulmonary sepsis, 40 women with urosepsis and puerperas with abdominal sepsis--66 was performed. Middle age of women was 26.7 (22.4-34.5). In population of puerperas with abdominal sepsis APACHE II, APACHE III, SAPS 2, SAPS 3, SOFA scales showed to good calibration, however, high resolution was observed only in APACHE III, SAPS 3 and SOFA (AUROC 0.95; 0.93; 0.92 respectively). APACHE III and SOFA scales provided qualitative prognosis in pregnant women with urosepsis; resolution ratio of these scales considerably exceeds APACHE II, SAPS 2 and SAPS 3 (AUROC 0.73; 0.74; 0.79 respectively). APACHE II scale is inapplicable because of a lack of calibration (X2 = 13.1; p < 0.01), and at other scales (APACHE III, SAPS 2, SAPS 3, SOFA) was observed the insufficient resolution (AUROC < 0.9) in pregnant women with pulmonary sepsis. Prognostic possibilities assessment of score scales showed that APACHE III, SAPS 3 and SOFA scales can be used for a lethality prognosis for puerperas with abdominal sepsis, in population of pregnant women with urosepsis--only APACHE III and SOFA, and with pulmonary sepsis--SAPS 3 and APACHE III only in case of additional clinical information.
Advanced Ceramics from Preceramic Polymers Modified at the Nano-Scale: A Review.
Bernardo, Enrico; Fiocco, Laura; Parcianello, Giulio; Storti, Enrico; Colombo, Paolo
2014-03-06
Preceramic polymers, i.e. , polymers that are converted into ceramics upon heat treatment, have been successfully used for almost 40 years to give advanced ceramics, especially belonging to the ternary SiCO and SiCN systems or to the quaternary SiBCN system. One of their main advantages is the possibility of combining the shaping and synthesis of ceramics: components can be shaped at the precursor stage by conventional plastic-forming techniques, such as spinning, blowing, injection molding, warm pressing and resin transfer molding, and then converted into ceramics by treatments typically above 800 °C. The extension of the approach to a wider range of ceramic compositions and applications, both structural and thermo-structural (refractory components, thermal barrier coatings) or functional (bioactive ceramics, luminescent materials), mainly relies on modifications of the polymers at the nano-scale, i.e. , on the introduction of nano-sized fillers and/or chemical additives, leading to nano-structured ceramic components upon thermal conversion. Fillers and additives may react with the main ceramic residue of the polymer, leading to ceramics of significant engineering interest (such as silicates and SiAlONs), or cause the formation of secondary phases, significantly affecting the functionalities of the polymer-derived matrix.
Advanced Ceramics from Preceramic Polymers Modified at the Nano-Scale: A Review
Bernardo, Enrico; Fiocco, Laura; Parcianello, Giulio; Storti, Enrico; Colombo, Paolo
2014-01-01
Preceramic polymers, i.e., polymers that are converted into ceramics upon heat treatment, have been successfully used for almost 40 years to give advanced ceramics, especially belonging to the ternary SiCO and SiCN systems or to the quaternary SiBCN system. One of their main advantages is the possibility of combining the shaping and synthesis of ceramics: components can be shaped at the precursor stage by conventional plastic-forming techniques, such as spinning, blowing, injection molding, warm pressing and resin transfer molding, and then converted into ceramics by treatments typically above 800 °C. The extension of the approach to a wider range of ceramic compositions and applications, both structural and thermo-structural (refractory components, thermal barrier coatings) or functional (bioactive ceramics, luminescent materials), mainly relies on modifications of the polymers at the nano-scale, i.e., on the introduction of nano-sized fillers and/or chemical additives, leading to nano-structured ceramic components upon thermal conversion. Fillers and additives may react with the main ceramic residue of the polymer, leading to ceramics of significant engineering interest (such as silicates and SiAlONs), or cause the formation of secondary phases, significantly affecting the functionalities of the polymer-derived matrix. PMID:28788548
Kuwabata, Susumu; Minamimoto, Hiro; Inoue, Kosuke; Imanishi, Akihito; Hosoya, Ken; Uyama, Hiroshi; Torimoto, Tsukasa; Tsuda, Tetsuya; Seki, Shu
2014-01-01
Room-temperature ionic liquid (RTIL) has been widely investigated as a nonvolatile solvent as well as a unique liquid material because of its interesting features, e.g., negligible vapor pressure and high thermal stability. Here we report that a non-volatile polymerizable RTIL is a useful starting material for the fabrication of micro/nano-scale polymer structures with a focused-ion-beam (FIB) system operated under high-vacuum condition. Gallium-ion beam irradiation to the polymerizable 1-allyl-3-ethylimidazolium bis((trifluoromethane)sulfonyl)amide RTIL layer spread on a Si wafer induced a polymerization reaction without difficulty. What is interesting to note is that we have succeeded in provoking the polymerization reaction anywhere on the Si wafer substrate by using FIB irradiation with a raster scanning mode. By this finding, two- and three-dimensional micro/nano-scale polymer structure fabrications were possible at the resolution of 500,000 dpi. Even intricate three-dimensional micro/nano-figures with overhang and hollow moieties could be constructed at the resolution of approximately 100 nm. PMID:24430465
Titanium bone implants with superimposed micro/nano-scale porosity and antibacterial capability
NASA Astrophysics Data System (ADS)
Necula, B. S.; Apachitei, I.; Fratila-Apachitei, L. E.; van Langelaan, E. J.; Duszczyk, J.
2013-05-01
This study aimed at producing a multifunctional layer with micro/nano-interconnected porosity and antibacterial capability on a rough macro-porous plasma sprayed titanium surface using the plasma electrolytic oxidation process. The layers were electrochemically formed in electrolytes based on calcium acetate and calcium glycerophosphate salts bearing dispersed Ag nanoparticles. They were characterized with respect to surface morphology and chemical composition using a scanning electron microscope equipped with the energy dispersive spectroscopy and back scattering detectors. Scanning electron microscopy images showed the formation of a micro/nano-scale porous layer, comprised of TiO2 bearing Ca and P species and Ag nanoparticles, following accurately the surface topography of the plasma sprayed titanium coating. The Ca/P atomic ratio was found to be close to that of bone apatite. Ag nanoparticles were incorporated on both on top and inside the porous structure of the TiO2 layer.
Yamaguchi, Satoshi; Inoue, Sayuri; Sakai, Takahiko; Abe, Tomohiro; Kitagawa, Haruaki; Imazato, Satoshi
2017-05-01
The objective of this study was to assess the effect of silica nano-filler particle diameters in a computer-aided design/manufacturing (CAD/CAM) composite resin (CR) block on physical properties at the multi-scale in silico. CAD/CAM CR blocks were modeled, consisting of silica nano-filler particles (20, 40, 60, 80, and 100 nm) and matrix (Bis-GMA/TEGDMA), with filler volume contents of 55.161%. Calculation of Young's moduli and Poisson's ratios for the block at macro-scale were analyzed by homogenization. Macro-scale CAD/CAM CR blocks (3 × 3 × 3 mm) were modeled and compressive strengths were defined when the fracture loads exceeded 6075 N. MPS values of the nano-scale models were compared by localization analysis. As the filler size decreased, Young's moduli and compressive strength increased, while Poisson's ratios and MPS decreased. All parameters were significantly correlated with the diameters of the filler particles (Pearson's correlation test, r = -0.949, 0.943, -0.951, 0.976, p < 0.05). The in silico multi-scale model established in this study demonstrates that the Young's moduli, Poisson's ratios, and compressive strengths of CAD/CAM CR blocks can be enhanced by loading silica nanofiller particles of smaller diameter. CAD/CAM CR blocks by using smaller silica nano-filler particles have a potential to increase fracture resistance.
Nano-Bio Quantum Technology for Device-Specific Materials
NASA Technical Reports Server (NTRS)
Choi, Sang H.
2009-01-01
The areas discussed are still under development: I. Nano structured materials for TE applications a) SiGe and Be.Te; b) Nano particles and nanoshells. II. Quantum technology for optical devices: a) Quantum apertures; b) Smart optical materials; c) Micro spectrometer. III. Bio-template oriented materials: a) Bionanobattery; b) Bio-fuel cells; c) Energetic materials.
Micro- and nano-scale characterization to study the thermal degradation of cement-based materials
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lim, Seungmin, E-mail: lim76@illinois.edu; Mondal, Paramita
2014-06-01
The degradation of hydration products of cement is known to cause changes in the micro- and nano-structure, which ultimately drive thermo-mechanical degradation of cement-based composite materials at elevated temperatures. However, a detailed characterization of these changes is still incomplete. This paper presents results of an extensive experimental study carried out to investigate micro- and nano-structural changes that occur due to exposure of cement paste to high temperatures. Following heat treatment of cement paste up to 1000 °C, damage states were studied by compressive strength test, thermogravimetric analysis (TGA), scanning electron microscopy (SEM) atomic force microscopy (AFM) and AFM image analysis.more » Using experimental results and research from existing literature, new degradation processes that drive the loss of mechanical properties of cement paste are proposed. The development of micro-cracks at the interface between unhydrated cement particles and paste matrix, a change in C–S–H nano-structure and shrinkage of C–S–H, are considered as important factors that cause the thermal degradation of cement paste. - Highlights: • The thermal degradation of hydration products of cement is characterized at micro- and nano-scale using scanning electron microscopy (SEM) and atomic force microscopy (AFM). • The interface between unhydrated cement particles and the paste matrix is considered the origin of micro-cracks. • When cement paste is exposed to temperatures above 300 ºC, the nano-structure of C-S-H becomes a more loosely packed globular structure, which could be indicative of C-S-H shrinkage.« less
[Preparation of nano-nacre artificial bone].
Chen, Jian-ting; Tang, Yong-zhi; Zhang, Jian-gang; Wang, Jian-jun; Xiao, Ying
2008-12-01
To assess the improvements in the properties of nano-nacre artificial bone prepared on the basis of nacre/polylactide acid composite artificial bone and its potential for clinical use. The compound of nano-scale nacre powder and poly-D, L-lactide acid (PDLLA) was used to prepare the cylindrical hollow artificial bone, whose properties including raw material powder scale, pore size, porosity and biomechanical characteristics were compared with another artificial bone made of micron-scale nacre powder and PDLLA. Scanning electron microscope showed that the average particle size of the nano-nacre powder was 50.4-/+12.4 nm, and the average pore size of the artificial bone prepared using nano-nacre powder was 215.7-/+77.5 microm, as compared with the particle size of the micron-scale nacre powder of 5.0-/+3.0 microm and the pore size of the resultant artificial bone of 205.1-/+72.0 microm. The porosities of nano-nacre artificial bone and the micron-nacre artificial bone were (65.4-/+2.9)% and (53.4-/+2.2)%, respectively, and the two artificial bones had comparable compressive strength and Young's modulus, but the flexural strength of the nano-nacre artificial bone was lower than that of the micro-nacre artificial bone. The nano-nacre artificial bone allows better biodegradability and possesses appropriate pore size, porosity and biomechanical properties for use as a promising material in bone tissue engineering.
NASA Astrophysics Data System (ADS)
Fadhil, Sadeem Abbas; Alrawi, Aoday Hashim; Azeez, Jazeel H.; Hassan, Mohsen A.
2018-04-01
In the present work, a multiscale model is presented and used to modify the Hall-Petch relation for different scales from nano to micro. The modified Hall-Petch relation is derived from a multiscale equation that determines the cohesive energy between the atoms and their neighboring grains. This brings with it a new term that was originally ignored even in the atomistic models. The new term makes it easy to combine all other effects to derive one modified equation for the Hall-Petch relation that works for all scales together, without the need to divide the scales into two scales, each scale with a different equation, as it is usually done in other works. Due to that, applying the new relation does not require a previous knowledge of the grain size distribution. This makes the new derived relation more consistent and easier to be applied for all scales. The new relation is used to fit the data for Copper and Nickel and it is applied well for the whole range of grain sizes from nano to micro scales.
NASA Astrophysics Data System (ADS)
Paramanik, Dipak; Varma, Shikha
2008-04-01
The controlled formation of nano-dots, using ion beams as tool, has become important as it offers a unique method to generate non-equilibrium phases with novel physical properties and structures with nano-dimensions. We have investigated the creation of self assembled nano- dots on InP(111) surfaces after 3 keV as well as 1.5 MeV ion beams at a large range of fluences. We have studied the Scaling exponents of the evolved surfaces by utilizing the technique of Scanning Probe Microscopy (SPM). At keV energies ripening of the nano-dots is seen below a critical time whereas an inverse ripening is observed for longer durations. At the critical time square shaped array of nano --dots are observed. The dots are characterized by narrow height and size distributions. Nano dots have also been observed at MeV ion irradiations. Their size distribution though broad at lowest fluence decreases for larger fluences.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Somasundaram, K.; Department of Physics, Nallamuthu Gounder Mahalingam College, Pollachi-642001; Girija, K. G., E-mail: kgirija@barc.gov.in
2016-05-23
Tb{sup 3+} doped ZnGa{sub 2}O{sub 4} nanophosphor (21 nm) has been synthesized via low temperature polyol route and subsequently thin films of the same were deposited on glass and ITO substrates by RF magnetron sputtering. The films were characterized by X-ray Diffraction and luminescence measurements. The XRD pattern showed that Tb{sup 3+} doped ZnGa{sub 2}O{sub 4} nanophosphor has a cubic spinel phase. Luminescence behavior of the nanophosphor and as deposited sputtered film was investigated. The PL emission spectra of nanophosphor gave a broad ZnGa{sub 2}O{sub 4} host emission band along with a strong terbium emission and the thin films showedmore » only broad host emission band and there was no terbium ion emission.« less
NASA Astrophysics Data System (ADS)
Ahmadi, Mohammad H.; Ahmadi, Mohammad-Ali; Pourfayaz, Fathollah
2015-09-01
Developing new technologies like nano-technology improves the performance of the energy industries. Consequently, emerging new groups of thermal cycles in nano-scale can revolutionize the energy systems' future. This paper presents a thermo-dynamical study of a nano-scale irreversible Stirling engine cycle with the aim of optimizing the performance of the Stirling engine cycle. In the Stirling engine cycle the working fluid is an Ideal Maxwell-Boltzmann gas. Moreover, two different strategies are proposed for a multi-objective optimization issue, and the outcomes of each strategy are evaluated separately. The first strategy is proposed to maximize the ecological coefficient of performance (ECOP), the dimensionless ecological function (ecf) and the dimensionless thermo-economic objective function ( F . Furthermore, the second strategy is suggested to maximize the thermal efficiency ( η), the dimensionless ecological function (ecf) and the dimensionless thermo-economic objective function ( F). All the strategies in the present work are executed via a multi-objective evolutionary algorithms based on NSGA∥ method. Finally, to achieve the final answer in each strategy, three well-known decision makers are executed. Lastly, deviations of the outcomes gained in each strategy and each decision maker are evaluated separately.
Emulsified Zero-Valent Nano-Scale Iron Treatment of Chlorinated Solvent DNAPL Source Areas
2010-04-01
The EZVI is composed of food-grade surfactant, biodegradable oil , water, and ZVI particles (either nano- or micro-scale iron), which form...emulsion particles (Figure 2-1). Each emulsion particle or droplet contains ZVI particles in water surrounded by an oil -liquid membrane. Since the...exterior oil membrane of the emulsion droplet has hydrophobic properties similar to that of DNAPL, the droplets are miscible with DNAPL. It is believed
Bala, Sukhen; Sen Bishwas, Mousumi; Pramanik, Bhaskar; Khanra, Sumit; Fromm, Katharina M; Poddar, Pankaj; Mondal, Raju
2015-09-08
Employment of two different pyridyl-pyrazolyl-based ligands afforded three octanuclear lanthanide(III) (Ln = Dy, Tb) cage compounds and one hexanuclear neodymium(III) coordination cage, exhibiting versatile molecular architectures including a butterfly core. Relatively less common semirigid pyridyl-pyrazolyl-based asymmetric ligand systems show an interesting trend of forming polynuclear lanthanide cage complexes with different coordination environments around the metal centers. It is noteworthy here that construction of lanthanide complex itself is a challenging task in a ligand system as soft N-donor rich as pyridyl-pyrazol. We report herein some lanthanide complexes using ligand containing only one or two O-donors compare to five N-coordinating sites. The resultant multinuclear lanthanide complexes show interesting magnetic and spectroscopic features originating from different spatial arrangements of the metal ions. Alternating current (ac) susceptibility measurements of the two dysprosium complexes display frequency- and temperature-dependent out-of-phase signals in zero and 0.5 T direct current field, a typical characteristic feature of single-molecule magnet (SMM) behavior, indicating different energy reversal barriers due to different molecular topologies. Another aspect of this work is the occurrence of the not-so-common SMM behavior of the terbium complex, further confirmed by ac susceptibility measurement.
Kazior, Thomas E
2014-03-28
Advances in silicon technology continue to revolutionize micro-/nano-electronics. However, Si cannot do everything, and devices/components based on other materials systems are required. What is the best way to integrate these dissimilar materials and to enhance the capabilities of Si, thereby continuing the micro-/nano-electronics revolution? In this paper, I review different approaches to heterogeneously integrate dissimilar materials with Si complementary metal oxide semiconductor (CMOS) technology. In particular, I summarize results on the successful integration of III-V electronic devices (InP heterojunction bipolar transistors (HBTs) and GaN high-electron-mobility transistors (HEMTs)) with Si CMOS on a common silicon-based wafer using an integration/fabrication process similar to a SiGe BiCMOS process (BiCMOS integrates bipolar junction and CMOS transistors). Our III-V BiCMOS process has been scaled to 200 mm diameter wafers for integration with scaled CMOS and used to fabricate radio-frequency (RF) and mixed signals circuits with on-chip digital control/calibration. I also show that RF microelectromechanical systems (MEMS) can be integrated onto this platform to create tunable or reconfigurable circuits. Thus, heterogeneous integration of III-V devices, MEMS and other dissimilar materials with Si CMOS enables a new class of high-performance integrated circuits that enhance the capabilities of existing systems, enable new circuit architectures and facilitate the continued proliferation of low-cost micro-/nano-electronics for a wide range of applications.
Nano Scale Mechanical Analysis of Biomaterials Using Atomic Force Microscopy
NASA Astrophysics Data System (ADS)
Dutta, Diganta
The atomic force microscope (AFM) is a probe-based microscope that uses nanoscale and structural imaging where high resolution is desired. AFM has also been used in mechanical, electrical, and thermal engineering applications. This unique technique provides vital local material properties like the modulus of elasticity, hardness, surface potential, Hamaker constant, and the surface charge density from force versus displacement curve. Therefore, AFM was used to measure both the diameter and mechanical properties of the collagen nanostraws in human costal cartilage. Human costal cartilage forms a bridge between the sternum and bony ribs. The chest wall of some humans is deformed due to defective costal cartilage. However, costal cartilage is less studied compared to load bearing cartilage. Results show that there is a difference between chemical fixation and non-chemical fixation treatments. Our findings imply that the patients' chest wall is mechanically weak and protein deposition is abnormal. This may impact the nanostraws' ability to facilitate fluid flow between the ribs and the sternum. At present, AFM is the only tool for imaging cells' ultra-structure at the nanometer scale because cells are not homogeneous. The first layer of the cell is called the cell membrane, and the layer under it is made of the cytoskeleton. Cancerous cells are different from normal cells in term of cell growth, mechanical properties, and ultra-structure. Here, force is measured with very high sensitivity and this is accomplished with highly sensitive probes such as a nano-probe. We performed experiments to determine ultra-structural differences that emerge when such cancerous cells are subject to treatments such as with drugs and electric pulses. Jurkat cells are cancerous cells. These cells were pulsed at different conditions. Pulsed and non-pulsed Jurkat cell ultra-structures were investigated at the nano meter scale using AFM. Jurkat cell mechanical properties were measured under
NASA Astrophysics Data System (ADS)
Wu, Long; Chen, Lei; Wang, Hao; Liu, Xiaoyu; Wang, Zhen
2017-04-01
As many emergent phenomena of superconductivity appear on a smaller scale and at lower dimension, commercial magnetic property measurement systems (MPMSs) no longer provide the sensitivity necessary to study the Meissner effect of small superconductors. The nano-scale superconducting quantum interference device (nano-SQUID) is considered one of the most sensitive magnetic sensors for the magnetic characterization of mesoscopic or microscopic samples. Here, we develop a customized on-chip nano-SQUID measurement system based on a pulsed current biasing method. The noise performance of our system is approximately 4.6 × 10-17 emu/Hz1/2, representing an improvement of 9 orders of magnitude compared with that of a commercial MPMS (~10-8 emu/Hz1/2). Furthermore, we demonstrate the measurement of the Meissner effect of a single indium (In) particle (of 47 μm in diameter) using our on-chip nano-SQUID system. The system enables the observation of the prompt superconducting transition of the Meissner effect of a single In particle, thereby providing more accurate characterization of the critical field Hc and temperature Tc. In addition, the retrapping field Hre as a function of temperature T of single In particle shows disparate behavior from that of a large ensemble.
Low temperature Voigt effect in the terbium gallium garnet crystal.
Akbar, Ali; Khalid, Muhammad Waleed; Anwar, Muhammad Sabieh
2017-11-27
Magnetic linear birefringence and dichroism are investigated for the paramagnetic terbium gallium garnet (TGG) single crystal in the temperature range 8-100 K. The reciprocal nature is confirmed for the linear birefringence. Furthermore a theoretical model is validated that describes the intermixing of linear and circular birefringence. The ellipticity and rotation of the polarization ellipse are investigated in the light of these measurements. These otherwise minuscule magnetically induced effects are amplified at cryogenic temperatures and are determined by a phase-sensitive technique based on the Fourier decomposition of detected signal intensities. The correspondent measurements also allow us to determine the Curie-Weiss constant corroborating the presence of a magnetically frustrated spin system. Additionally we show how the Voigt geometry enables determining the direction of a magnetic field.
Bacterial toxicity comparison between nano- and micro-scaled oxide particles.
Jiang, Wei; Mashayekhi, Hamid; Xing, Baoshan
2009-05-01
Toxicity of nano-scaled aluminum, silicon, titanium and zinc oxides to bacteria (Bacillus subtilis, Escherichia coli and Pseudomonas fluorescens) was examined and compared to that of their respective bulk (micro-scaled) counterparts. All nanoparticles but titanium oxide showed higher toxicity (at 20 mg/L) than their bulk counterparts. Toxicity of released metal ions was differentiated from that of the oxide particles. ZnO was the most toxic among the three nanoparticles, causing 100% mortality to the three tested bacteria. Al(2)O(3) nanoparticles had a mortality rate of 57% to B. subtilis, 36% to E. coli, and 70% to P. fluorescens. SiO(2) nanoparticles killed 40% of B. subtilis, 58% of E. coli, and 70% of P. fluorescens. TEM images showed attachment of nanoparticles to the bacteria, suggesting that the toxicity was affected by bacterial attachment. Bacterial responses to nanoparticles were different from their bulk counterparts; hence nanoparticle toxicity mechanisms need to be studied thoroughly.
ERIC Educational Resources Information Center
Sabatino, David A.; And Others
1995-01-01
This study determines the comparability of the Wechsler Intelligence Scale for Children-Revised and the Wechsler Intelligence Scale for Children-III in relation to gifted children. Results indicate that both tests produce remarkably similar scale and subtest scores when administered under clinical conditions. (JPS)
Autofluorescence-Free Live-Cell Imaging Using Terbium Nanoparticles.
Cardoso Dos Santos, M; Goetz, J; Bartenlian, H; Wong, K-L; Charbonnière, L J; Hildebrandt, N
2018-04-18
Fluorescent nanoparticles (NPs) have become irreplaceable tools for advanced cellular and subcellular imaging. While very bright NPs require excitation with UV or visible light, which can create strong autofluorescence of biological components, NIR-excitable NPs without autofluorescence issues exhibit much lower brightness. Here, we show the application of a new type of surface-photosensitized terbium NPs (Tb-NPs) for autofluorescence-free intracellular imaging in live HeLa cells. The combination of exceptionally high brightness, high photostability, and long photoluminecence (PL) lifetimes for highly efficient suppression of the short-lived autofluorescence allowed for time-gated PL imaging of intracellular vesicles over 72 h without toxicity and at extremely low Tb-NP concentrations down to 12 pM. Detection of highly resolved long-lifetime (ms) PL decay curves from small (∼10 μm 2 ) areas within single cells within a few seconds emphasized the unprecedented photophysical properties of Tb-NPs for live-cell imaging that extend well beyond currently available nanometric imaging agents.
A nano-scale mirror-like surface of Ti-6Al-4V attained by chemical mechanical polishing
NASA Astrophysics Data System (ADS)
Chenliang, Liang; Weili, Liu; Shasha, Li; Hui, Kong; Zefang, Zhang; Zhitang, Song
2016-05-01
Metal Ti and its alloys have been widely utilized in the fields of aviation, medical science, and micro-electro-mechanical systems, for its excellent specific strength, resistance to corrosion, and biological compatibility. As the application of Ti moves to the micro or nano scale, however, traditional methods of planarization have shown their short slabs. Thus, we introduce the method of chemical mechanical polishing (CMP) to provide a new way for the nano-scale planarization method of Ti alloys. We obtain a mirror-like surface, whose flatness is of nano-scale, via the CMP method. We test the basic mechanical behavior of Ti-6Al-4V (Ti64) in the CMP process, and optimize the composition of CMP slurry. Furthermore, the possible reactions that may take place in the CMP process have been studied by electrochemical methods combined with x-ray photoelectron spectroscopy (XPS). An equivalent circuit has been built to interpret the dynamic of oxidation. Finally, a model has been established to explain the synergy of chemical and mechanical effects in the CMP of Ti-6Al-4V. Project supported by the National Major Scientific and Technological Special Project during the Twelfth Five-year Plan Period of China (Grant No. 2009ZX02030-1), the National Natural Science Foundation of China (Grant No. 51205387), the Support by Science and Technology Commission of Shanghai City, China (Grant No. 11nm0500300), and the Science and Technology Commission of Shanghai City, China (Grant No. 14XD1425300).
Radical-lanthanide ferromagnetic interaction in a T bIII bis-phthalocyaninato complex
NASA Astrophysics Data System (ADS)
Komijani, Dorsa; Ghirri, Alberto; Bonizzoni, Claudio; Klyatskaya, Svetlana; Moreno-Pineda, Eufemio; Ruben, Mario; Soncini, Alessandro; Affronte, Marco; Hill, Stephen
2018-02-01
Recent studies have highlighted the importance of organic ligands in the field of molecular spintronics, via which delocalized electron-spin density can mediate magnetic coupling to otherwise localized 4 f moments of lanthanide ions, which show tremendous potential for single-molecule device applications. To this end, high-field/high-frequency electron paramagnetic resonance (EPR) spectroscopy is employed to study a neutral terbium bis-phthalocyaninato metalorganic complex, [TbPc2 ] 0, with the aim of understanding the magnetic interaction between the Ising-like moment of the lanthanide ion and the unpaired spin density on the coordinating organic radical ligand. The measurements were performed on a previously unknown [TbPc2 ] 0 structural phase crystallizing in the Pnma space group. EPR measurements on powder samples of [TbPc2 ] 0 reveal an anisotropic spectrum, which is attributed to the spin-1/2 radical coupled weakly to the EPR-silent T bIII ion. Extensive double-axis rotation studies on a single crystal reveal two independent spin-1/2 signals with differently oriented (albeit identical) uniaxial g -tensors, in complete agreement with x-ray structural studies that indicate two molecular orientations within the unit cell. The easy-axis nature of the radical EPR spectra thus reflects the coupling to the Ising-like T bIII moment. This is corroborated by studies of the isostructural [YPc2 ] 0 analog (where Y is nonmagnetic yttrium), which gives a completely isotropic radical EPR signal. The experimental results for the terbium complex are well explained on the basis of an effective model that introduces a weak ferromagnetic Heisenberg coupling between an isotropic spin-1/2 and an anisotropic spin-orbital moment, J =6 , that mimics the known, strong easy-axis Tb ⋯P c2 crystal-field interaction.
NASA Astrophysics Data System (ADS)
Chang, C. H.; Hsu, M. H.; Chang, W. L.; Sun, W. C.; Yu, Peichen
2011-02-01
In this work, we present a solution that employs combined micro- and nano-scale surface textures to increase light harvesting in the near infrared for crystalline silicon photovoltaics, and discuss the associated antireflection and scattering mechanisms. The combined surface textures are achieved by uniformly depositing a layer of indium-tin-oxide nanowhiskers on passivated, micro-grooved silicon solar cells using electron-beam evaporation. The nanowhiskers facilitate optical transmission in the near-infrared, which is optically equivalent to a stack of two dielectric thin-films with step- and graded- refractive index profiles. The ITO nanowhiskers provide broadband anti-reflective properties (R<5%) in the wavelength range of 350-1100nm. In comparison with conventional Si solar cell, the combined surface texture solar cell shows higher external quantum efficiency (EQE) in the range of 700-1100nm. Moreover, the ITO nano-whisker coating Si solar cell shows a high total efficiency increase of 1.1% (from 16.08% to17.18%). Furthermore, the nano-whiskers also provide strong forward scattering for ultraviolet and visible light, favorable in thin-wafer silicon photovoltaics to increase the optical absorption path.
Droplets and the three-phase contact line at the nano-scale. Statics and dynamics
NASA Astrophysics Data System (ADS)
Yatsyshin, Petr; Sibley, David; Savva, Nikos; Kalliadasis, Serafim
2014-11-01
Understanding the behaviour of the solid-liquid-vapour contact line at the scale of several tens of molecular diameters is important in wetting hydrodynamics with applications in micro- and nano-fluidics, including the design of lab-on-a-chip devices and surfaces with specific wetting properties. Due to the fluid inhomogeneity at the nano-scale, the application of continuum-mechanical approaches is limited, and a natural way to remedy this is to seek descriptions accounting for the non-local molecular-level interactions. Density Functional Theory (DFT) for fluids offers a statistical-mechanical framework based on expressing the free energy of the fluid-solid pair as a functional of the spatially varying fluid density. DFT allows us to investigate small drops deposited on planar substrates whilst keeping track of the microscopic structural details of the fluid. Starting from a model of intermolecular forces, we systematically obtain interfaces, surface tensions, and the microscopic contact angle. Using a dynamic extension of equilibrium DFT, we investigate the diffusion-driven evolution of the three-phase contact line to gain insight into the dynamic behaviour of the microscopic contact angle, which is still under debate.
Sub-diffraction nano manipulation using STED AFM.
Chacko, Jenu Varghese; Canale, Claudio; Harke, Benjamin; Diaspro, Alberto
2013-01-01
In the last two decades, nano manipulation has been recognized as a potential tool of scientific interest especially in nanotechnology and nano-robotics. Contemporary optical microscopy (super resolution) techniques have also reached the nanometer scale resolution to visualize this and hence a combination of super resolution aided nano manipulation ineluctably gives a new perspective to the scenario. Here we demonstrate how specificity and rapid determination of structures provided by stimulated emission depletion (STED) microscope can aid another microscopic tool with capability of mechanical manoeuvring, like an atomic force microscope (AFM) to get topological information or to target nano scaled materials. We also give proof of principle on how high-resolution real time visualization can improve nano manipulation capability within a dense sample, and how STED-AFM is an optimal combination for this job. With these evidences, this article points to future precise nano dissections and maybe even to a nano-snooker game with an AFM tip and fluorospheres.
In Vitro Phototoxicity and Hazard Identification of Nano-scale Titanium Dioxide
Nano-titanium dioxide (nano-Ti02) catalyzes many reactions under UV radiation and is hypothesized to cause phototoxicity. A human-derived line of retinal pigment epithelial cells (ARPE-19) was treated with six different samples of nano-Ti02 and exposed to UVA radiation. The Ti02 ...
Lu, Zhen; Liu, Wen; Li, Jingjing; Fang, Tao; Li, Wanning; Zhang, Jicheng; Feng, Feng; Li, Wenhua
2016-01-01
To investigate the fluorination influence on the photovoltaic performance of small molecular based organic solar cells (OSCs), six small molecules based on 2,1,3-benzothiadiazole (BT), and diketopyrrolopyrrole (DPP) as core and fluorinated phenyl (DFP) and triphenyl amine (TPA) as different terminal units (DFP-BT-DFP, DFP-BT-TPA, TPA-BT-TPA, DFP-DPP-DFP, DFP-DPP-TPA, and TPA-DPP-TPA) were synthesized. With one or two fluorinated phenyl as the end group(s), HOMO level of BT and DPP based small molecular donors were gradually decreased, inducing high open circuit voltage for fluorinated phenyl based OSCs. DFP-BT-TPA and DFP-DPP-TPA based blend films both displayed stronger nano-scale aggregation in comparison to TPA-BT-TPA and TPA-DPP-TPA, respectively, which would also lead to higher hole motilities in devices. Ultimately, improved power conversion efficiency (PCE) of 2.17% and 1.22% was acquired for DFP-BT-TPA and DFP-DPP-TPA based devices, respectively. These results demonstrated that the nano-scale aggregation size of small molecules in photovoltaic devices could be significantly enhanced by introducing a fluorine atom at the donor unit of small molecules, which will provide understanding about the relationship of chemical structure and nano-scale phase separation in OSCs. PMID:28335208
Observing non-equilibrium state of transport through graphene channel at the nano-second time-scale
NASA Astrophysics Data System (ADS)
Mishra, Abhishek; Meersha, Adil; Raghavan, Srinivasan; Shrivastava, Mayank
2017-12-01
Electrical performance of a graphene FET is drastically affected by electron-phonon inelastic scattering. At high electric fields, the out-of-equilibrium population of optical phonons equilibrates by emitting acoustic phonons, which dissipate the energy to heat sinks. The equilibration time of the process is governed by thermal diffusion time, which is few nano-seconds for a typical graphene FET. The nano-second time-scale of the process keeps it elusive to conventional steady-state or DC measurement systems. Here, we employ a time-domain reflectometry-based technique to electrically probe the device for few nano-seconds and investigate the non-equilibrium state. For the first time, the transient nature of electrical transport through graphene FET is revealed. A maximum change of 35% in current and 50% in contact resistance is recorded over a time span of 8 ns, while operating graphene FET at a current density of 1 mA/μm. The study highlights the role of intrinsic heating (scattering) in deciding metal-graphene contact resistance and transport through the graphene channel.
NASA Astrophysics Data System (ADS)
Berzhansky, V. N.; Karavainikov, A. V.; Mikhailova, T. V.; Prokopov, A. R.; Shaposhnikov, A. N.; Shumilov, A. G.; Lugovskoy, N. V.; Semuk, E. Yu.; Kharchenko, M. F.; Lukienko, I. M.; Kharchenko, Yu. M.; Belotelov, V. I.
2017-10-01
Synthesis technology of nano-scale Bi-substituted iron garnets films with high magneto-optic activity for photonics and plasmonics applications were proposed. The micro-scale single-crystal garnet films with different types of magnetic anisotropy as a magneto-optic sensors were synthesized. It was shown that easy-axis anisotropy films demonstrated the best results for visualization of redistribution eddy current magnetic field near defects.
Developing an Effective Model for Shale Gas Flow in Nano-scale Pore Clusters based on FIB-SEM Images
NASA Astrophysics Data System (ADS)
Jiang, W. B.; Lin, M.; Yi, Z. X.; Li, H. S.
2016-12-01
Nano-scale pores existed in the form of clusters are the controlling void space in shale gas reservoir. Gas transport in nanopores which has a significant influence on shale gas' recoverability displays multiple transport regimes, including viscous, slippage flow and Knudsen diffusion. In addition, it is also influenced by pore space characteristics. For convenience and efficiency consideration, it is necessary to develop an upscaling model from nano pore to pore cluster scale. Existing models are more like framework functions that provide a format, because the parameters that represent pore space characteristics are underdetermined and may have multiple possibilities. Therefore, it is urgent to make them clear and obtained a model that is closer to reality. FIB-SEM imaging technology is able to acquire three dimensional images with nanometer resolution that nano pores can be visible. Based on the images of two shale samples, we used a high-precision pore network extraction algorithm to generate equivalent pore networks and simulate multiple regime (non-Darcy) flow in it. Several structural parameters can be obtained through pore network modelling. It is found that although the throat-radius distributions are very close, throat flux-radius distributions of different samples can be divided into two categories. The variation of tortuosity with pressure and the overall trend of throat-flux distribution changes with pressure are disclosed. A deeper understanding of shale gas flow in nano-scale pore clusters is obtained. After all, an upscaling model that connects absolute permeability, apparent permeability and other characteristic parameters is proposed, and the best parameter scheme considering throat number-radius distribution and flowing porosity for this model is selected out of three schemes based on pore scale results, and it can avoid multiple-solution problem and is useful in reservoir modelling and experiment result analysis, etc. This work is supported by
Nano Mechanical Machining Using AFM Probe
NASA Astrophysics Data System (ADS)
Mostofa, Md. Golam
Complex miniaturized components with high form accuracy will play key roles in the future development of many products, as they provide portability, disposability, lower material consumption in production, low power consumption during operation, lower sample requirements for testing, and higher heat transfer due to their very high surface-to-volume ratio. Given the high market demand for such micro and nano featured components, different manufacturing methods have been developed for their fabrication. Some of the common technologies in micro/nano fabrication are photolithography, electron beam lithography, X-ray lithography and other semiconductor processing techniques. Although these methods are capable of fabricating micro/nano structures with a resolution of less than a few nanometers, some of the shortcomings associated with these methods, such as high production costs for customized products, limited material choices, necessitate the development of other fabricating techniques. Micro/nano mechanical machining, such an atomic force microscope (AFM) probe based nano fabrication, has, therefore, been used to overcome some the major restrictions of the traditional processes. This technique removes material from the workpiece by engaging micro/nano size cutting tool (i.e. AFM probe) and is applicable on a wider range of materials compared to the photolithographic process. In spite of the unique benefits of nano mechanical machining, there are also some challenges with this technique, since the scale is reduced, such as size effects, burr formations, chip adhesions, fragility of tools and tool wear. Moreover, AFM based machining does not have any rotational movement, which makes fabrication of 3D features more difficult. Thus, vibration-assisted machining is introduced into AFM probe based nano mechanical machining to overcome the limitations associated with the conventional AFM probe based scratching method. Vibration-assisted machining reduced the cutting forces
Jin, Chao; Glawdel, Tomasz; Ren, Carolyn L.; Emelko, Monica B.
2015-01-01
Deposition of colloidal- and nano-scale particles on surfaces is critical to numerous natural and engineered environmental, health, and industrial applications ranging from drinking water treatment to semi-conductor manufacturing. Nano-scale surface roughness-induced hydrodynamic impacts on particle deposition were evaluated in the absence of an energy barrier to deposition in a parallel plate system. A non-linear, non-monotonic relationship between deposition surface roughness and particle deposition flux was observed and a critical roughness size associated with minimum deposition flux or “sag effect” was identified. This effect was more significant for nanoparticles (<1 μm) than for colloids and was numerically simulated using a Convective-Diffusion model and experimentally validated. Inclusion of flow field and hydrodynamic retardation effects explained particle deposition profiles better than when only the Derjaguin-Landau-Verwey-Overbeek (DLVO) force was considered. This work provides 1) a first comprehensive framework for describing the hydrodynamic impacts of nano-scale surface roughness on particle deposition by unifying hydrodynamic forces (using the most current approaches for describing flow field profiles and hydrodynamic retardation effects) with appropriately modified expressions for DLVO interaction energies, and gravity forces in one model and 2) a foundation for further describing the impacts of more complicated scales of deposition surface roughness on particle deposition. PMID:26658159
NASA Astrophysics Data System (ADS)
Jin, Chao; Glawdel, Tomasz; Ren, Carolyn L.; Emelko, Monica B.
2015-12-01
Deposition of colloidal- and nano-scale particles on surfaces is critical to numerous natural and engineered environmental, health, and industrial applications ranging from drinking water treatment to semi-conductor manufacturing. Nano-scale surface roughness-induced hydrodynamic impacts on particle deposition were evaluated in the absence of an energy barrier to deposition in a parallel plate system. A non-linear, non-monotonic relationship between deposition surface roughness and particle deposition flux was observed and a critical roughness size associated with minimum deposition flux or “sag effect” was identified. This effect was more significant for nanoparticles (<1 μm) than for colloids and was numerically simulated using a Convective-Diffusion model and experimentally validated. Inclusion of flow field and hydrodynamic retardation effects explained particle deposition profiles better than when only the Derjaguin-Landau-Verwey-Overbeek (DLVO) force was considered. This work provides 1) a first comprehensive framework for describing the hydrodynamic impacts of nano-scale surface roughness on particle deposition by unifying hydrodynamic forces (using the most current approaches for describing flow field profiles and hydrodynamic retardation effects) with appropriately modified expressions for DLVO interaction energies, and gravity forces in one model and 2) a foundation for further describing the impacts of more complicated scales of deposition surface roughness on particle deposition.
NASA Astrophysics Data System (ADS)
Yuan, Yingchun
This dissertation develops an effective and economical system approach to reduce the environmental impact of manufacturing. The system approach is developed by using a process-based holistic method for upstream analysis and source reduction of the environmental impact of manufacturing. The system approach developed consists of three components of a manufacturing system: technology, energy and material, and is useful for sustainable manufacturing as it establishes a clear link between manufacturing system components and its overall sustainability performance, and provides a framework for environmental impact reductions. In this dissertation, the system approach developed is applied for environmental impact reduction of a semiconductor nano-scale manufacturing system, with three case scenarios analyzed in depth on manufacturing process improvement, clean energy supply, and toxic chemical material selection. The analysis on manufacturing process improvement is conducted on Atomic Layer Deposition of Al2O3 dielectric gate on semiconductor microelectronics devices. Sustainability performance and scale-up impact of the ALD technology in terms of environmental emissions, energy consumption, nano-waste generation and manufacturing productivity are systematically investigated and the ways to improve the sustainability of the ALD technology are successfully developed. The clean energy supply is studied using solar photovoltaic, wind, and fuel cells systems for electricity generation. Environmental savings from each clean energy supply over grid power are quantitatively analyzed, and costs for greenhouse gas reductions on each clean energy supply are comparatively studied. For toxic chemical material selection, an innovative schematic method is developed as a visual decision tool for characterizing and benchmarking the human health impact of toxic chemicals, with a case study conducted on six chemicals commonly used as solvents in semiconductor manufacturing. Reliability of
Fraker, Christopher A; Mendez, Armando J; Inverardi, Luca; Ricordi, Camillo; Stabler, Cherie L
2012-10-01
Nano-scale emulsification has long been utilized by the food and cosmetics industry to maximize material delivery through increased surface area to volume ratios. More recently, these methods have been employed in the area of biomedical research to enhance and control the delivery of desired agents, as in perfluorocarbon emulsions for oxygen delivery. In this work, we evaluate critical factors for the optimization of PFC emulsions for use in cell-based applications. Cytotoxicity screening revealed minimal cytotoxicity of components, with the exception of one perfluorocarbon utilized for emulsion manufacture, perfluorooctylbromide (PFOB), and specific w% limitations of PEG-based surfactants utilized. We optimized the manufacture of stable nano-scale emulsions via evaluation of: component materials, emulsification time and pressure, and resulting particle size and temporal stability. The initial emulsion size was greatly dependent upon the emulsion surfactant tested, with pluronics providing the smallest size. Temporal stability of the nano-scale emulsions was directly related to the perfluorocarbon utilized, with perfluorotributylamine, FC-43, providing a highly stable emulsion, while perfluorodecalin, PFD, coalesced over time. The oxygen mass transfer, or diffusive permeability, of the resulting emulsions was also characterized. Our studies found particle size to be the critical factor affecting oxygen mass transfer, as increased micelle size resulted in reduced oxygen diffusion. Overall, this work demonstrates the importance of accurate characterization of emulsification parameters in order to generate stable, reproducible emulsions with the desired bio-delivery properties. Copyright © 2012 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Sakakura, Hibiki; Kim, Jun-Seop; Takeda, Mahoto
2018-03-01
We have investigated the influence of magnetic interactions on the microstructural evolution of nano-scale granular precipitates formed spontaneously in an annealed Cu-20at%Ni-5at%Co alloy and the associated changes of magnetic properties. The techniques used included transmission electron microscopy, superconducting quantum interference device (SQUID) magnetometry, magneto-thermogravimetry (MTG), and first-principles calculations based on the method of Koster-Korringa-Rostker with the coherent potential approximation. Our work has revealed that the nano-scale spherical and cubic precipitates which formed on annealing at 873 K and 973 K comprise mainly cobalt and nickel with a small amount of copper, and are arranged in the 〈1 0 0〉 direction of the copper matrix. The SQUID and MTG measurements suggest that magnetic properties such as coercivity and Curie temperature are closely correlated with the microstructure. The combination of results suggests that magnetic interactions between precipitates during annealing can explain consistently the observed precipitation phenomena.
NASA Astrophysics Data System (ADS)
Yefimova, Svetlana L.; Rekalo, Andrey M.; Gnap, Bogdan A.; Viagin, Oleg G.; Sorokin, Alexander V.; Malyukin, Yuri V.
2014-09-01
In the present study, we analyze the efficiency of Electronic Excitation Energy Transfer (EEET) between two dyes, an energy donor (D) and acceptor (A), concentrated in structurally heterogeneous media (surfactant micelles, liposomes, and porous SiO2 matrices). In all three cases, highly effective EEET in pairs of dyes has been found and cannot be explained by Standard Förster-type theory for homogeneous solutions. Two independent approaches based on the analysis of either the D relative quantum yield () or the D fluorescence decay have been used to study the deviation of experimental results from the theoretical description of EEET process. The observed deviation is quantified by the apparent fractal distribution of molecules parameter . We conclude that the highly effective EEET observed in the nano-scale media under study can be explained by both forced concentration of the hydrophobic dyes within nano-volumes and non-uniform cluster-like character of the distribution of D and A dye molecules within nano-volumes.
Fine- and hyperfine structure investigations of even configuration system of atomic terbium
NASA Astrophysics Data System (ADS)
Stefanska, D.; Elantkowska, M.; Ruczkowski, J.; Furmann, B.
2017-03-01
In this work a parametric study of the fine structure (fs) and the hyperfine structure (hfs) for the even-parity configurations of atomic terbium (Tb I) is presented, based in considerable part on the new experimental results. Measurements on 134 spectral lines were performed by laser induced fluorescence (LIF) in a hollow cathode discharge lamp; on this basis, the hyperfine structure constants A and B were determined for 52 even-parity levels belonging to the configurations 4f85d6s2, 4f85d26s or 4f96s6p; in all the cases those levels were involved in the transitions investigated as the lower levels. For 40 levels the hfs was examined for the first time, and for the remaining 12 levels the new measurements supplement our earlier results. As a by-product, also preliminary values of the hfs constants for 84 odd-parity levels were determined (the investigations of the odd-parity levels system in the terbium atom are still in progress). This huge amount of new experimental data, supplemented by our earlier published results, were considered for the fine and hyperfine structure analysis. A multi-configuration fit of 7 configurations was performed, taking into account second-order of perturbation theory, including the effects of closed shell-open shell excitations. Predicted values of the level energies, as well as of magnetic dipole and electric quadrupole hyperfine structure constants A and B, are quoted in cases when no experimental values are available. By combining our experimental data with our own semi-empirical procedure it was possible to identify correctly the lower and upper level of the line 544.1440 nm measured by Childs with the use of the atomic-beam laser-rf double-resonance technique (Childs, J Opt Soc Am B 9;1992:191-6).
Measurement profiles of nano-scale ion beam for optimized radiation energy losses
NASA Astrophysics Data System (ADS)
Woo, T. H.; Cho, H. S.
2011-10-01
The behavior of charged particles is investigated for nano-scale ion beam therapy using a medical accelerator. Computational work is performed for the Bragg-peak simulation, which is focused on human organ material of pancreas and thyroid. The Results show that the trends of the dose have several different kinds of distributions. Before constructing a heavy ion collider, this study can give us the reliability of the therapeutic effect. Realistic treatment using human organs is calculated in a simple and cost effective manner using the computational code, the Stopping and Range of Ions in Matter 2008 (SRIM 2008). Considering the safety of the therapy, it is suggested to give a patient orient planning of the cancer therapy. The energy losses in ionization and phonon are analyzed, which are the behaviors in the molecular level nano-scopic investigation. The different fluctuations are shown at 150 MeV, where the lowest temperature is found in proton and pancreas case. Finally, the protocol for the radiation therapy is constructed by the simulation in which the procedure for a better therapy is selected. An experimental measurement incorporated with the simulations could be programmed by this protocol.
Terbium Radionuclides for Theranostics Applications: A Focus On MEDICIS-PROMED
NASA Astrophysics Data System (ADS)
Cavaier, R. Formento; Haddad, F.; Sounalet, T.; Stora, T.; Zahi, I.
A new facility, named CERN-MEDICIS, is under construction at CERN to produce radionuclides for medical applications. In parallel, the MEDICIS-PROMED, a Marie Sklodowska-Curie innovative training network of the Horizon 2020 European Commission's program, is being coordinated by CERN to train young scientists on the production and use of innovative radionuclides and develop a network of experts within Europe. One program within MEDICIS-PROMED is to determine the feasibility of producing innovative radioisotopes for theranostics using a commercial middle-sized high-current cyclotron and the mass separation technology developed at CERN-MEDICIS. This will allow the production of high specific activity radioisotopes not achievable with the common post-processing by chemical separation. Radioisotopes of scandium, copper, arsenic and terbium have been identified. Preliminary studies of activation yield and irradiation parameters optimization for the production of Tb-149 will be described.
Sun, L; Jiang, S; Marciante, J R
2010-06-07
A compact all-fiber Faraday isolator and a Faraday mirror are demonstrated. At the core of each of these components is an all-fiber Faraday rotator made of a 4-cm-long, 65-wt%-terbium-doped silicate fiber. The effective Verdet constant of the terbium-doped fiber is measured to be -32 rad/(Tm), which is 27 x larger than that of silica fiber. This effective Verdet constant is the largest value measured to date in any fiber and is 83% of the Verdet constant of commercially available crystal used in bulk optics-based isolators. Combining the all-fiber Faraday rotator with fiber polarizers results in a fully fusion spliced all-fiber isolator whose isolation is measured to be 19 dB. Combining the all-fiber Faraday rotator with a fiber Bragg grating results in an all-fiber Faraday mirror that rotates the polarization state of the reflected light by 88 +/- 4 degrees .
Detecting Nano-Scale Vibrations in Rotating Devices by Using Advanced Computational Methods
del Toro, Raúl M.; Haber, Rodolfo E.; Schmittdiel, Michael C.
2010-01-01
This paper presents a computational method for detecting vibrations related to eccentricity in ultra precision rotation devices used for nano-scale manufacturing. The vibration is indirectly measured via a frequency domain analysis of the signal from a piezoelectric sensor attached to the stationary component of the rotating device. The algorithm searches for particular harmonic sequences associated with the eccentricity of the device rotation axis. The detected sequence is quantified and serves as input to a regression model that estimates the eccentricity. A case study presents the application of the computational algorithm during precision manufacturing processes. PMID:22399918
Mattei, G; Gruca, G; Rijnveld, N; Ahluwalia, A
2015-10-01
Nano-indentation is widely used for probing the micromechanical properties of materials. Based on the indentation of surfaces using probes with a well-defined geometry, the elastic and viscoelastic constants of materials can be determined by relating indenter geometry and measured load and displacement to parameters which represent stress and deformation. Here we describe a method to derive the viscoelastic properties of soft hydrated materials at the micro-scale using constant strain rates and stress-free initial conditions. Using a new self-consistent definition of indentation stress and strain and corresponding unique depth-independent expression for indentation strain rate, the epsilon dot method, which is suitable for bulk compression testing, is transformed to nano-indentation. We demonstrate how two materials can be tested with a displacement controlled commercial nano-indentor using the nano-espilon dot method (nano-ε̇M) to give values of instantaneous and equilibrium elastic moduli and time constants with high precision. As samples are tested in stress-free initial conditions, the nano-ε̇M could be useful for characterising the micro-mechanical behaviour of soft materials such as hydrogels and biological tissues at cell length scales. Copyright © 2015 Elsevier Ltd. All rights reserved.
Yavuz, Emre; Tokalıoğlu, Serife; Sahan, Halil; Patat, Saban
2014-10-01
In this study, a nano sponge Mn2O3 adsorbent was synthesized and was used for the first time. Various parameters affecting the recovery values of Pd(II) and Rh(III) were examined. The tolerance limits (≥ 90 %) for both Pd(II) and Rh(III) ions were found to be 75,000 mg L(-1) Na(I), 75,000 mg L(-1) K(I), 50,000 mg L(-1) Mg(II) and 50,000 mg L(-1) Ca(II). A 30s contact time was enough for both adsorption and elution. A preconcentration factor of 100 was obtained by using 100mg of the nano sponge Mn2O3. The reusability of the adsorbent was 120 times. Adsorption capacities for Pd(II) and Rh(III) were found to be 42 and 6.2 mg g(-1), respectively. The detection limits were 1.0 µg L(-1) for Pd(II) and 0.37 µg L(-1) for Rh(III) and the relative standard deviations (RSD, %) were found to be ≤ 2.5%. The method was validated by analyzing the standard reference material, SRM 2556 (Used Auto Catalyst Pellets) and spiked real samples. The optimized method was applied for the preconcentration of Pd(II) and Rh(III) ions in water (sea water and wastewater), rock, street sediment and catalytic converter samples. Copyright © 2014 Elsevier B.V. All rights reserved.
Comparing the Efficacy of Three Different Nano-scale Bone Substitutes: In vivo Study.
Razavi, Sayed Mohammad; Rismanchian, Mansour; Jafari-Pozve, Nasim; Nosouhian, Saied
2017-01-01
Synthetic biocompatible bone substitutions have been used widely for bone tissue regeneration as they are safe and effective. The aim of this animal study is to compare the effectiveness of three different biocompatible bone substitutes, including nano-hydroxyapatite (nano-HA) nano-bioglass (nano-BG) and forstrite scaffolds. In this interventional and experimental study, four healthy dogs were anesthetized, and the first to fourth premolars were extracted in each quadrant. After healing, the linear incision on the crestal ridge from molar to anterior segment prepared in each quadrant and 16 defects in each dog were prepared. Nano-HA, nano-BG, and forstrite scaffold was prepared according to the size of defects and placed in the 12 defects randomly, four defects remained as a control group. The dogs were sacrificed in four time intervals (15, 30, 45, and 60 days after) and the percentage of different types of regenerated bones (lamellar and woven) and connective tissue were recorded in histological process. The data were analyzed using Mann-Whitney test (α = 0.05). The difference in nano-HA and nano-BG with the control group was significant in three-time intervals regarding the amount of bone formation ( P < 0.01). After 15 days, the nano-HA showed the highest amount of woven and lamellar bone regeneration (18.37 ± 1.06 and 30.44 ± 0.54). Nano-HA and nano-BG groups showed a significant amount of bone regeneration, especially after 30 days, but paying more surveys and observation to these materials as bone substitutes seem to be needed.
Application Of Positron Beams For The Characterization Of Nano-scale Pores In Thin Films
NASA Astrophysics Data System (ADS)
Hirata, K.; Ito, K.; Kobayashi, Y.; Suzuki, R.; Ohdaira, T.; Eijt, S. W. H.; Schut, H.; van Veen, A.
2003-08-01
We applied three positron annihilation techniques, positron 3γ-annihilation spectroscopy, positron annihilation lifetime spectroscopy, and angular correlation of annihilation radiation, to the characterization of nano-scale pores in thin films by combining them with variable-energy positron beams. Characterization of pores in thin films is an important part of the research on various thin films of industrial importance. The results of our recent studies on pore characterization of thin films by positron beams will be reported here.
Order of magnitude improvement of nano-contact spin torque nano-oscillator performance.
Banuazizi, Seyed Amir Hossein; Sani, Sohrab R; Eklund, Anders; Naiini, Maziar M; Mohseni, Seyed Majid; Chung, Sunjae; Dürrenfeld, Philipp; Malm, B Gunnar; Åkerman, Johan
2017-02-02
Spin torque nano-oscillators (STNO) represent a unique class of nano-scale microwave signal generators and offer a combination of intriguing properties, such as nano sized footprint, ultrafast modulation rates, and highly tunable microwave frequencies from 100 MHz to close to 100 GHz. However, their low output power and relatively high threshold current still limit their applicability and must be improved. In this study, we investigate the influence of the bottom Cu electrode thickness (t Cu ) in nano-contact STNOs based on Co/Cu/NiFe GMR stacks and with nano-contact diameters ranging from 60 to 500 nm. Increasing t Cu from 10 to 70 nm results in a 40% reduction of the threshold current, an order of magnitude higher microwave output power, and close to two orders of magnitude better power conversion efficiency. Numerical simulations of the current distribution suggest that these dramatic improvements originate from a strongly reduced lateral current spread in the magneto-dynamically active region.
Investigation of terbium in the ferroelectric crystal, gadolinium molybdate, as a potential laser
DOE Office of Scientific and Technical Information (OSTI.GOV)
Crouch, J.E.
A preliminary non-stimulated study of the laser host combination Gd(2 - x)Tb(x)(MoO4)3 is made. The host material, gadolinium molybdate (GMO), is a ferroelectric/ferroelastic crystal. An investigation of temperature and external electric field affects on the absorption and fluorescence of the crystal did not produce any unusual results. The terbium ion, Tb(3+), peak cross section in GMO for the 5D sub 4 to 7F sub 5 transition is 10 x 10 to the minus twenty first power sq. cm. at 300K. The wavelength of this four level laser transition is 543 nm. (GRA)
Comparing the Efficacy of Three Different Nano-scale Bone Substitutes: In vivo Study
Razavi, Sayed Mohammad; Rismanchian, Mansour; Jafari-pozve, Nasim; Nosouhian, Saied
2017-01-01
Background: Synthetic biocompatible bone substitutions have been used widely for bone tissue regeneration as they are safe and effective. The aim of this animal study is to compare the effectiveness of three different biocompatible bone substitutes, including nano-hydroxyapatite (nano-HA) nano-bioglass (nano-BG) and forstrite scaffolds. Materials and Methods: In this interventional and experimental study, four healthy dogs were anesthetized, and the first to fourth premolars were extracted in each quadrant. After healing, the linear incision on the crestal ridge from molar to anterior segment prepared in each quadrant and 16 defects in each dog were prepared. Nano-HA, nano-BG, and forstrite scaffold was prepared according to the size of defects and placed in the 12 defects randomly, four defects remained as a control group. The dogs were sacrificed in four time intervals (15, 30, 45, and 60 days after) and the percentage of different types of regenerated bones (lamellar and woven) and connective tissue were recorded in histological process. The data were analyzed using Mann–Whitney test (α = 0.05). Results: The difference in nano-HA and nano-BG with the control group was significant in three-time intervals regarding the amount of bone formation (P < 0.01). After 15 days, the nano-HA showed the highest amount of woven and lamellar bone regeneration (18.37 ± 1.06 and 30.44 ± 0.54). Conclusion: Nano-HA and nano-BG groups showed a significant amount of bone regeneration, especially after 30 days, but paying more surveys and observation to these materials as bone substitutes seem to be needed. PMID:28603705
Modelling mass and heat transfer in nano-based cancer hyperthermia.
Nabil, M; Decuzzi, P; Zunino, P
2015-10-01
We derive a sophisticated mathematical model for coupled heat and mass transport in the tumour microenvironment and we apply it to study nanoparticle delivery and hyperthermic treatment of cancer. The model has the unique ability of combining the following features: (i) realistic vasculature; (ii) coupled capillary and interstitial flow; (iii) coupled capillary and interstitial mass transfer applied to nanoparticles; and (iv) coupled capillary and interstitial heat transfer, which are the fundamental mechanisms governing nano-based hyperthermic treatment. This is an improvement with respect to previous modelling approaches, where the effect of blood perfusion on heat transfer is modelled in a spatially averaged form. We analyse the time evolution and the spatial distribution of particles and temperature in a tumour mass treated with superparamagnetic nanoparticles excited by an alternating magnetic field. By means of numerical experiments, we synthesize scaling laws that illustrate how nano-based hyperthermia depends on tumour size and vascularity. In particular, we identify two distinct mechanisms that regulate the distribution of particle and temperature, which are characterized by perfusion and diffusion, respectively.
Lu, Chengfei; Das, Susmita; Magut, Paul K. S.; Li, Min; El Zahab, Bilal; Warner, Isiah M.
2014-01-01
We report on the synthesis and characterization of a PEGylated IR786 GUMBOS (Group of Uniform Materials Based on Organic Salts). The synthesis of this material was accomplished using a three step protocol: (1) substitution of chloride on the cyclohexenyl ring in the heptamethine chain of IR786 by 6-aminohexanoic acid, (2) grafting of methoxy poly ethyleneglycol (MeOPEG) onto the 6-aminohexanoic acid via an esterification reaction, and (3) anion exchange between [PEG786][I] and lithium bis(trifluoromethylsulfonyl)imide (LiNTf2) or sodium bis(2-ethylhexyl)sulfosuccinate (AOT) in order to obtain PEG786 GUMBOS. Examination of spectroscopic data for this PEG786 GUMBOS indicates a large stokes shift (122 nm). It was observed that this PEG786 GUMBOS associates in aqueous solution to form nano-and meso-scale self-assemblies with sizes ranging from 100 to 220 nm. These nano- and meso-scale GUMBOS are also able to resist nonspecific binding to proteins. PEGylation of the original IR786 leads to reduced cytotoxicity. In addition, it was noted that anions, such as NTf2 and AOT, play a significant role in improving the photostability of PEG786 GUMBOS. Irradiation-induced J aggregation in [PEG786][NTf2] and to some extent in [PEG786][AOT] produced enhanced photostability. This observation was supported by use of both steady state and time-resolved fluorescence measurements. PMID:22957476
Infinite Coordination Polymer Nano- and Micro-Particles
2015-06-12
Mirkin, Tobin J. Marks, Joseph T. Hupp. SiO2 Aerogel-templated, Porous TiO2 Photoanodes for Enhanced Performances in Dye-Sensitized Solar Cells ...nano-scale ICPs and their selective surface functionalization, we examined if indeed these ICP-DNA hybrid structures could enter cells and...surface functionalization. In particular, we aimed to utilize this fundamental understanding for the realization of nano-scale ICP-biomolecule hybrids
NASA Astrophysics Data System (ADS)
Rafiee, Roham; Eskandariyun, Amirali
2017-06-01
In this research, nano-scale continuum modeling is employed to predict Young's modulus of graphene sheet. The lattice nano-structure of a graphene sheet is replaced with a discrete space-frame structure simulating carbon-carbon bonds with either beam or spring elements. A comparative study is carried out to check the influence of employed elements on estimated Young's moduli of graphene sheets in both horizontal and vertical directions. A detailed analysis is also conducted to investigate the influence of graphene sheet sizes on its Young's modulus and corresponding aspect ratios that unwelcomed end effects disappear on the results are extracted. At the final stage, defected graphene sheets suffering from vacancy defects are investigated through a stochastic analysis taking into account both number of defects and their locations as random parameters. The reduction level in the Young's moduli of defected graphene sheets compared with non-defected ones is analyzed and reported.
NASA Astrophysics Data System (ADS)
Noda, Yuki; Noro, Shin-Ichiro; Akutagawa, Tomoyuki; Nakamura, Takayoshi
2014-01-01
Gold nanoparticle assemblies possess diverse application potential, ranging from industrial nanotechnology to medical biotechnology. Because the structures and properties of assemblies are directly affected by the stabilization mechanism between the organic molecules serving as protecting ligands and the gold nanoparticle surface, it is crucial to find and investigate new stabilization mechanisms. Here, we report that π-conjugated phthalocyanine rings can serve as stabilizing ligands for gold nanoparticles. Bis(phthalocyaninato)lutetium(III) (LuPc2) or bis(phthalocyaninato)terbium(III) (TbPc2), even though complex, do not have specific binding units and stabilize gold nanoparticles through van der Waals interaction between parallel adsorbed phthalocyanine ligands and the gold nanoparticle surface. AC magnetic measurements and the electron-transport properties of the assemblies give direct evidence that the phthalocyanines are isolated from each other. Each nanoparticle shows weak electronic coupling despite the short internanoparticle distance (~1 nm), suggesting Efros-Shklovskii-type variable-range hopping and collective single-electron tunnelling behaviours.
NASA Astrophysics Data System (ADS)
Dumpala, Rama Mohana Rao; Rawat, Neetika; Boda, Anil; Ali, Sk. Musharaf; Tomar, B. S.
2018-02-01
The mononuclear complexes formed by Eu(III) with three isomeric pyridine monocarboxylate-N-oxides namely picolinic acid-N-oxide (PANO), nicotinic acid-N-oxide (NANO) and isonicotinic acid-N-oxide (IANO) in aqueous solutions were studied by potentiometry, luminescence spectroscopy and isothermal titration calorimetry (ITC) to determine the speciation, coordination, luminescence properties and thermodynamic parameters of the complexes formed during the course of the reaction. More stable six membered chelate complexes with stoichiometry (MLi, i = 1-4) are formed by Eu(III) with PANO while non chelating ML and ML2 complexes are formed by NANO and IANO. The stability of Eu(III) complexes follow the order PANO > IANO > NANO. The ITC studies inferred an endothermic and innersphere complex formation of Eu(III)-PANO and Eu(III)-IANO whereas an exothermic and outer-sphere complex formation for Eu(III)-NANO. The luminescence life time data further supported the ITC results. Density functional theoretical calculations were carried out to optimize geometries of the complexes and to estimate the energies, structural parameters (bond distances, bond angles) and charges on individual atoms of the same. Theoretical approximations are found to be in good agreement with the experimental observations.
Haggag, Sawsan M S; Farag, A A M; Abdel Refea, M
2013-02-01
Nano Al(III)-8-hydroxy-5-nitrosoquinolate [Al(III)-(HNOQ)(3)] thin films were synthesized by the rapid, direct, simple and efficient successive ion layer adsorption and reaction (SILAR) technique. Thin film formation optimized factors were evaluated. Stoichiometry and structure were confirmed by elemental analysis and FT-IR. The particle size (27-71 nm) was determined using scanning electron microscope (SEM). Thermal stability and thermal parameters were determined by thermal gravimetric analysis (TGA). Optical properties were investigated using spectrophotometric measurements of transmittance and reflectance at normal incidence. Refractive index, n, and absorption index, k, were determined. Spectral behavior of the absorption coefficient in the intrinsic absorption region revealed a direct allowed transition with 2.45 eV band gap. The current-voltage (I-V) characteristics of [Al(III)-(HNOQ)(3)]/p-Si heterojunction was measured at room temperature. The forward and reverse I-V characteristics were analyzed. The calculated zero-bias barrier height (Φ(b)) and ideality factor (n) showed strong bias dependence. Energy distribution of interface states (N(ss)) was obtained. Copyright © 2012 Elsevier B.V. All rights reserved.
Novel Organic Field Effect Transistors via Nano-Modification
2005-07-01
mobility by using two kinds of nano-scale films. One is to apply the photoalignment method on a nano-scale film to control the orientation of pentacene ...scale film (polymer electrolyte) to control moving of ions in/out an active semiconducor, pentacene or conducting polymer, for improving carrier...mobility. In this project, pentacene or a series of conducting polymers, such as the derivatives of PANI and P3HT will be patterned and manufactured in
Bakhiet, Salaheldin Farah Attallah; Lynn, Richard
2015-12-01
Sex differences on the Wechsler Intelligence Scale for Children-III (WISC-III) are reported for children in Bahrain and the United States. The results for the two samples were consistent in showing no significant differences in Verbal, Performance, and Full Scale IQs, higher average scores by boys on the Block design and Mazes subtests of spatial ability, and higher average scores by girls on Coding. There was also greater variability in boys than in girls.
Yoshida, Hidetsugu; Tsubakimoto, Koji; Fujimoto, Yasushi; Mikami, Katsuhiro; Fujita, Hisanori; Miyanaga, Noriaki; Nozawa, Hoshiteru; Yagi, Hideki; Yanagitani, Takagimi; Nagata, Yutaka; Kinoshita, Hiroo
2011-08-01
The optical properties, Faraday effect and Verdet constant of ceramic terbium gallium garnet (TGG) have been measured at 1064 nm, and were found to be similar to those of single crystal TGG at room temperature. Observed optical characteristics, laser induced bulk-damage threshold and optical scattering properties of ceramic TGG were compared with those of single crystal TGG. Ceramic TGG is a promising Faraday material for high-average-power YAG lasers, Yb fiber lasers and high-peak power glass lasers for inertial fusion energy drivers.
Electrochemical micro/nano-machining: principles and practices.
Zhan, Dongping; Han, Lianhuan; Zhang, Jie; He, Quanfeng; Tian, Zhao-Wu; Tian, Zhong-Qun
2017-03-06
Micro/nano-machining (MNM) is becoming the cutting-edge of high-tech manufacturing because of the increasing industrial demand for supersmooth surfaces and functional three-dimensional micro/nano-structures (3D-MNS) in ultra-large scale integrated circuits, microelectromechanical systems, miniaturized total analysis systems, precision optics, and so on. Taking advantage of no tool wear, no surface stress, environmental friendliness, simple operation, and low cost, electrochemical micro/nano-machining (EC-MNM) has an irreplaceable role in MNM. This comprehensive review presents the state-of-art of EC-MNM techniques for direct writing, surface planarization and polishing, and 3D-MNS fabrications. The key point of EC-MNM is to confine electrochemical reactions at the micro/nano-meter scale. This review will bring together various solutions to "confined reaction" ranging from electrochemical principles through technical characteristics to relevant applications.
Schimke, Magdalena M; Stigler, Robert; Wu, Xujun; Waag, Thilo; Buschmann, Peter; Kern, Johann; Untergasser, Gerold; Rasse, Michael; Steinmüller-Nethl, Doris; Krueger, Anke; Lepperdinger, Günter
2016-04-01
Biofunctionalized scaffold facilitates complete healing of large defects. Biological constraints are induction and ingrowth of vessels. Angiogenic growth factors such as vascular endothelial growth factor or angiopoietin-1 can be bound to nano-scaled diamond particles. Corresponding bioactivities need to be examined after biofunctionalization. We therefore determined the physisorptive capacity of distinctly manufactured, differently sized nDP and the corresponding activities of bound factors. The properties of biofunctionalized nDPs were investigated on cultivated human mesenchymal stem cells and on the developing chicken embryo chorio-allantoic membrane. Eventually porous bone substitution material was coated with nDP to generate an interface that allows biofactor physisorption. Angiopoietin-1 was applied shortly before scaffold implantation into an osseous defect in sheep calvaria. Biofunctionalized scaffolds exhibited significantly increased rates of angiogenesis already one month after implantation. Conclusively, nDP can be used to ease functionalization of synthetic biomaterials. With the advances in nanotechnology, many nano-sized materials have been used in the biomedical field. This is also true for nano-diamond particles (nDP). In this article, the authors investigated the physical properties of functionalized nano-diamond particles in both in-vitro and in-vivo settings. The positive findings would help improve understanding of these nanomaterials in regenerative medicine. Copyright © 2015 Elsevier Inc. All rights reserved.
NASA Astrophysics Data System (ADS)
Gaur, A.; Klysubun, W.; Soni, Balram; Shrivastava, B. D.; Prasad, J.; Srivastava, K.
2016-10-01
X-ray absorption spectroscopy (XAS) is very useful in revealing the information about geometric and electronic structure of a transition-metal absorber and thus commonly used for determination of metal-ligand coordination. But XAFS analysis becomes difficult if differently coordinated metal centers are present in a system. In the present investigation, existence of distinct coordination geometries around metal centres have been studied by XAFS in a series of trimesic acid Cu(II) complexes. The complexes studied are: Cu3(tma)2(im)6 8H2O (1), Cu3(tma)2(mim)6 17H2O (2), Cu3(tma)2(tmen)3 8.5H2O (3), Cu3(tma) (pmd)3 6H2O (ClO4)3 (4) and Cu3(tma)2 3H2O (5). These complexes have not only Cu metal centres with different coordination but in complexes 1-3, there are multiple coordination geometries present around Cu centres. Using XANES spectra, different coordination geometries present in these complexes have been identified. The variation observed in the pre-edge features and edge features have been correlated with the distortion of the specific coordination environment around Cu centres in the complexes. XANES spectra have been calculated for the distinct metal centres present in the complexes by employing ab-initio calculations. These individual spectra have been used to resolve the spectral contribution of the Cu centres to the particular XANES features exhibited by the experimental spectra of the multinuclear complexes. Also, the variation in the 4p density of states have been calculated for the different Cu centres and then correlated with the features originated from corresponding coordination of Cu. Thus, these spectral features have been successfully utilized to detect the presence of the discrete metal centres in a system. The inferences about the coordination geometry have been supported by EXAFS analysis which has been used to determine the structural parameters for these complexes.
NASA Astrophysics Data System (ADS)
Le, Jia-Liang; Bažant, Zdeněk P.; Bazant, Martin Z.
2011-07-01
strength and tests of the power law for the crack growth rate. The theory is shown to match closely numerous test data on strength and static lifetime of ceramics and concrete, and explains why their histograms deviate systematically from the straight line in Weibull scale. Although the present unified theory is built on several previous advances, new contributions are here made to address: (i) a crack in a disordered nano-structure (such as that of hydrated Portland cement), (ii) tail probability of a fiber bundle (or parallel coupling) model with softening elements, (iii) convergence of this model to the Gaussian distribution, (iv) the stress-life curve under constant load, and (v) a detailed random walk analysis of crack front jumps in an atomic lattice. The nonlocal behavior is captured in the present theory through the finiteness of the number of links in the weakest-link model, which explains why the mean size effect coincides with that of the previously formulated nonlocal Weibull theory. Brittle structures correspond to the large-size limit of the present theory. An important practical conclusion is that the safety factors for strength and tolerable minimum lifetime for large quasibrittle structures (e.g., concrete structures and composite airframes or ship hulls, as well as various micro-devices) should be calculated as a function of structure size and geometry.
NASA Astrophysics Data System (ADS)
Almuslem, A. S.; Hanna, A. N.; Yapici, T.; Wehbe, N.; Diallo, E. M.; Kutbee, A. T.; Bahabry, R. R.; Hussain, M. M.
2017-02-01
In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO2) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.
Pereira, Dora I.A.; Bruggraber, Sylvaine F.A.; Faria, Nuno; Poots, Lynsey K.; Tagmount, Mani A.; Aslam, Mohamad F.; Frazer, David M.; Vulpe, Chris D.; Anderson, Gregory J.; Powell, Jonathan J.
2014-01-01
Iron deficiency is the most common nutritional disorder worldwide with substantial impact on health and economy. Current treatments predominantly rely on soluble iron which adversely affects the gastrointestinal tract. We have developed organic acid-modified Fe(III) oxo-hydroxide nanomaterials, here termed nano Fe(III), as alternative safe iron delivery agents. Nano Fe(III) absorption in humans correlated with serum iron increase (P < 0.0001) and direct in vitro cellular uptake (P = 0.001), but not with gastric solubility. The most promising preparation (iron hydroxide adipate tartrate: IHAT) showed ~80% relative bioavailability to Fe(II) sulfate in humans and, in a rodent model, IHAT was equivalent to Fe(II) sulfate at repleting haemoglobin. Furthermore, IHAT did not accumulate in the intestinal mucosa and, unlike Fe(II) sulfate, promoted a beneficial microbiota. In cellular models, IHAT was 14-fold less toxic than Fe(II) sulfate/ascorbate. Nano Fe(III) manifests minimal acute intestinal toxicity in cellular and murine models and shows efficacy at treating iron deficiency anaemia. From the Clinical Editor This paper reports the development of novel nano-Fe(III) formulations, with the goal of achieving a magnitude less intestinal toxicity and excellent bioavailability in the treatment of iron deficiency anemia. Out of the tested preparations, iron hydroxide adipate tartrate met the above criteria, and may become an important tool in addressing this common condition. PMID:24983890
Evaluation of shear bond strength of orthodontic brackets bonded with nano-filled composites.
Chalipa, Javad; Akhondi, Mohammad Sadegh Ahmad; Arab, Sepideh; Kharrazifard, Mohammad Javad; Ahmadyar, Maryam
2013-09-01
The purpose of this study was to evaluate the shear bond strength (SBS) of orthodontic brackets bonded with two types of nano-composites in comparison to a conventional orthodontic composite. Sixty extracted human first premolars were randomly divided into 3 groups each containing 20 teeth. In group I, a conventional orthodontic composite (Transbond XT) was used to bond the brackets, while two nano-composites (Filtek TM Supreme XT and AELITE Aesthetic Enamel) were used in groups II and III respectively. The teeth were stored in distilled water at 37°C for 24 hours, thermocycled in distilled water and debonded with a universal testing machine at a crosshead speed of 1 mm/min. The adhesive remnant index (ARI) was also evaluated using a stereomicroscope. AELITE Aesthetic Enamel nano-composite revealed a SBS value of 8.44±2.09 MPa, which was higher than Transbond XT (6.91±2.13) and Filtek TM Supreme XT (6.04±2.01). Statistical analysis revealed a significant difference between groups II and III (P < 0.05). No significant difference was found between groups I and III, and between groups I and II (P > 0.05). Evaluation of ARI showed that Transbond XT left fewer adhesive remains on teeth after debonding. Results of this study indicate that the aforementioned nano-composites can be successfully used for bonding orthodontic brackets.
Wan, Yuqing; Wang, Yong; Liu, Zhimin; Qu, Xue; Han, Buxing; Bei, Jianzhong; Wang, Shenguo
2005-07-01
The impact of the surface topography of polylactone-type polymer on cell adhesion was to be concerned because the micro-scale texture of a surface can provide a significant effect on the adhesion behavior of cells on the surface. Especially for the application of tissue engineering scaffold, the pore size could have an influence on cell in-growth and subsequent proliferation. Micro-fabrication technology was used to generate specific topography to investigate the relationship between the cells and surface. In this study the pits-patterned surfaces of polystyrene (PS) film with diameters 2.2 and 0.45 microm were prepared by phase-separation, and the corresponding scale islands-patterned PLLA surface was prepared by a molding technique using the pits-patterned PS as a template. The adhesion and proliferation behavior of OCT-1 osteoblast-like cells morphology on the pits- and islands-patterned surface were characterized by SEM observation, cell attachment efficiency measurement and MTT assay. The results showed that the cell adhesion could be enhanced on PLLA and PS surface with nano-scale and micro-scale roughness compared to the smooth surfaces of the PLLA and PS. The OCT-1 osteoblast-like cells could grow along the surface with two different size islands of PLLA and grow inside the micro-scale pits of the PS. However, the proliferation of cells on the micro- and nano-scale patterned surface has not been enhanced compared with the controlled smooth surface.
NASA Astrophysics Data System (ADS)
Asundi, Anand K.; Shang, Haixia; Xie, Huimin; Li, Biao
2003-10-01
Two novel micro/nano moire method, SEM scanning moiré and AFM scanning moire techniques are discussed in this paper. The principle and applications of two scanning moire methods are described in detail. The residual deformation in a polysilicon MEMS cantilever structure with a 5000 lines/mm grating after removing the SiO2 sacrificial layer is accurately measured by SEM scanning moire method. While AFM scanning moire method is used to detect thermal deformation of electronic package components, and formation of nano-moire on a freshly cleaved mica crystal. Experimental results demonstrate the feasibility of these two moire methods, and also show they are effective methods to measure the deformation from micron to nano-scales.
NASA Astrophysics Data System (ADS)
Jones, Andrew C.
Optical spectroscopy represents a powerful characterization technique with the ability to directly interact with the electronic, spin, and lattice excitations in matter. In addition, through implementation of ultrafast techniques, further insight into the real-time dynamics of elementary interactions can be gained. However, the resolution of far-field microscopy techniques is restricted by the diffraction limit setting a spatial resolution limit in the 100s nm to micron range for visible and IR light, respectively. This resolution is too coarse for the characterization of mesoscopic phenomena in condensed matter physics. The development of experimental techniques with nanoscale resolution and sensitivity to optical fields has been a long standing obstacle to the characterization of condensed matter systems on their natural length scales. This dissertation focuses on the fundamental near-field optical properties of surfaces and nanoscale systems as well as the utilization of nano-optical techniques, specifically apertureless scattering-type Scanning Near-field Optical Microscopy (s-SNOM), to characterize said optical properties with nanometer scale resolution. First, the s-SNOM characterization of the field enhancement associated with the localized surface plasmon resonances on metallic structures is discussed. With their ability to localize light, plasmonic nano-structures are promising candidate systems to serve as molecular sensors and nano-photonic devices; however, it is well known that particle morphology and the plasmon resonance alone do not uniquely reflect the details of the local field distribution. Here, I demonstrate the use interferometric s-SNOM for imaging of the near-fields associated with plasmonic resonances of crystalline triangular silver nano-prisms in the visible spectral range. I subsequently show the extension of the concept of a localized plasmon into the mid-IR spectral range with the characterization of near-fields of silver nano
NASA Astrophysics Data System (ADS)
Saif, M.; El-Shafiy, Hoda F.; Mashaly, Mahmoud M.; Eid, Mohamed F.; Nabeel, A. I.; Fouad, R.
2018-06-01
Three novel Eu(III) phosphor complexes, [Eu(HL)(OH2)2(C2H5OH)](NO3)2 (1), [Eu(HL)(Bpy)(NO3)2(EtOH)] (2) and [Eu(HL)(Phen)(NO3)2(H2O)] (3) (HL = (11E)-2-hydroxy-N/-((4-oxo-4H-chromen-3-yl)methylene)benzohydrazide; Bpy = 2,2/-bibyridyl and Phen = 1,10-phenanthroline) were prepared. Elemental, thermal, FT-IR and XRD methods were used to characterize their structural configuration. The HL behaves as a monoanionic tridentate ligand. The XRD analysis shows that the ligand and its Eu3+complexes are in nano domain. From fluorescence spectroscopy, all the prepared complexes are highly luminescent, having an impressive visual emission under UV excitation. The H2L and its Eu3+nano-complexes (1-3) were tested for their in vitro cytotoxicity against Ehrlich Ascites Carcinoma cell line (EAC). The Eu(III) nano-complexes (1-3) effectively inhibited EAC growth with IC50 value of 25 μM. The high antitumor activity of the Eu(III) nano-complexes (1-3) were attributed to its chemical structure, and nano size properties.
Scaling for quantum tunneling current in nano- and subnano-scale plasmonic junctions.
Zhang, Peng
2015-05-19
When two conductors are separated by a sufficiently thin insulator, electrical current can flow between them by quantum tunneling. This paper presents a self-consistent model of tunneling current in a nano- and subnano-meter metal-insulator-metal plasmonic junction, by including the effects of space charge and exchange correlation potential. It is found that the J-V curve of the junction may be divided into three regimes: direct tunneling, field emission, and space-charge-limited regime. In general, the space charge inside the insulator reduces current transfer across the junction, whereas the exchange-correlation potential promotes current transfer. It is shown that these effects may modify the current density by orders of magnitude from the widely used Simmons' formula, which is only accurate for a limited parameter space (insulator thickness > 1 nm and barrier height > 3 eV) in the direct tunneling regime. The proposed self-consistent model may provide a more accurate evaluation of the tunneling current in the other regimes. The effects of anode emission and material properties (i.e. work function of the electrodes, electron affinity and permittivity of the insulator) are examined in detail in various regimes. Our simple model and the general scaling for tunneling current may provide insights to new regimes of quantum plasmonics.
NASA Astrophysics Data System (ADS)
Hasan, Mohammad Nasim; Rabbi, Kazi Fazle; Sabah, Arefiny; Ahmed, Jannat; Kuri, Subrata Kumar; Rakibuzzaman, S. M.
2017-06-01
Investigation of Molecular level phase change phenomena are becoming important in heat and mass transfer research at a very high rate, driven both by the need to understand certain fundamental phenomena as well as by a plethora of new and forthcoming applications in the areas of micro- and nanotechnologies. Molecular dynamics simulation has been carried out to go through the evaporation and condensation characteristics of thin liquid argon film in Nano-scale confinement. In the present study, a cuboid system is modeled for understanding the Nano-scale physics of simultaneous evaporation and condensation. The cuboid system consists of hot and cold parallel platinum plates at the bottom and top ends. The fluid comprised of liquid argon film at the bottom plate and vapor argon in between liquid argon and upper plate of the domain. Three different simulation domains have been created here: (i) Both platinum plates are considered flat, (ii) Upper plate consisting of transverse slots of low height and (iii) Upper plate consisting of transverse slots of bigger height. Considering hydrophilic nature of top and bottom plates, two different high temperatures of the hot wall was set and an observation was made on normal and explosive vaporizations and their impacts on thermal transport. For all the structures, equilibrium molecular dynamics (EMD) was performed to reach equilibrium state at 90 K. Then the lower wall is set to two different temperatures like 110 K and 250 K for all three models to perform non-equilibrium molecular dynamics (NEMD). For vaporization, higher temperature of the hot wall led to faster transport of the liquid argon as a cluster moving from hot wall to cold wall. But excessive temperature causes explosive boiling which seems not good for heat transportation because of less phase change. In case of condensation, an observation was made which indicates that the nanostructured transverse slots facilitate condensation. Two factors affect the rate of
Superconducting properties of Nb-Cu nano-composites and nano-alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Parab, Pradnya, E-mail: pradnyaprb@gmail.com; Kumar, Sanjeev; Bhui, Prabhjyot
The evolution of the superconducting transition temperature (T{sub c}) in nano-composite and nano-alloys of Nb-Cu, grown by DC magnetron co-sputtering are investigated. Microstructure of these films depends less strongly on the ratio of Nb:Cu but more on the growth temperature. At higher growth temperature, phase separated granular films of Nb and Cu were formed which showed superconducting transition temperatures (T{sub c}) of ~ 7.2±0.5 K, irrespective of the composition. Our results show that this is primarily influenced by the microstructure of the films determined during growth which rules out the superconducting proximity effect expected in these systems. At room temperaturemore » growth, films with nano-scale alloying were obtained at the optimal compositional range of 45-70 atomic% (At%) of Nb. These were also superconducting with a T{sub c} of 3.2 K.« less
Preparation of biomimetic nano-structured films with multi-scale roughness
NASA Astrophysics Data System (ADS)
Shelemin, A.; Nikitin, D.; Choukourov, A.; Kylián, O.; Kousal, J.; Khalakhan, I.; Melnichuk, I.; Slavínská, D.; Biederman, H.
2016-06-01
Biomimetic nano-structured films are valuable materials in various applications. In this study we introduce a fully vacuum-based approach for fabrication of such films. The method combines deposition of nanoparticles (NPs) by gas aggregation source and deposition of overcoat thin film that fixes the nanoparticles on a surface. This leads to the formation of nanorough surfaces which, depending on the chemical nature of the overcoat, may range from superhydrophilic to superhydrophobic. In addition, it is shown that by proper adjustment of the amount of NPs it is possible to tailor adhesive force on superhydrophobic surfaces. Finally, the possibility to produce NPs in a wide range of their size (45-240 nm in this study) makes it possible to produce surfaces not only with single scale roughness, but also with bi-modal or even multi-modal character. Such surfaces were found to be superhydrophobic with negligible water contact angle hysteresis and hence truly slippery.
Park, Sang Jae; Sadasivam, Karthikeyan Giri; Chung, Tae Hoon; Hong, Gi Cheol; Kim, Jin Bong; Kim, Sang Mook; Park, Si-Hyun; Jeon, Seong-Ran; Lee, June Key
2008-10-01
Improvement in light extraction efficiency of Ultra Violet-Light Emitting Diode (UV-LED) is achieved by nano-scale roughening of p-type Gallium Nitride (p-GaN) surface. The process of surface roughening is carried out by using self assembled gold (Au) nano-clusters with support of nano-size silicon-oxide (SiO2) pillars on p-GaN surface as a dry etching mask and by p-GaN regrowth in the regions not covered by the mask after dry etching. Au nano-clusters are formed by rapid thermal annealing (RTA) process carried out at 600 degrees C for 1 min using 15 nm thick Au layer on top of SiO2. The p-GaN roughness is controlled by p-GaN regrowth time. Four different time values of 15 sec, 30 sec, 60 sec and 120 sec are considered for p-GaN regrowth. Among the four different p-GaN regrowth time values 30 sec regrown p-GaN sample has the optimum roughness to increase the electroluminescence (EL) intensity to a value approximately 60% higher than the EL intensity of a conventional LED.
NASA Astrophysics Data System (ADS)
Kaszewski, Jarosław; Borgstrom, Emanuel; Witkowski, Bartłomiej S.; Wachnicki, Łukasz; Kiełbik, Paula; Slonska, Anna; Domino, Malgorzata A.; Narkiewicz, Urszula; Gajewski, Zdzislaw; Hochepied, Jean-François; Godlewski, Michał M.; Godlewski, Marek
2017-12-01
The use of nanoparticles in medicine is a rapidly growing research field with numerous potential applications, especially in the field of cancer diagnosis and therapy. Nanoparticles can be intrinsically diagnostic of therapeutic, or they can be conjugated with diagnostic or therapeutic compounds. Nanoparticles may also passively or actively target tumor cells specifically using the enhanced permeation and retention (EPR) effect, or the addition of targeting ligands to their surface. This may provide a diagnostic or/and therapeutic tools to target primary as well as metastatic tumors. The transport, distribution and toxicity of nanoparticles depends greatly on their size and composition, thus every new formulation needs to be extensively researched. This work was focused on the development of Tb-doped ZrO2 nanoparticles (NPs) for application in cancer imaging. Obtained nanoparticles were below 10 nm with very low influence of Tb concentration on size. Terbium stabilization of ZrO2 had influence on the luminescence properties of obtained material. Partially stabilized zirconium dioxide exhibited broad host related emission peaking at 500 nm, disappearing with the terbium content. We confirmed alimentary absorption and wide distribution of luminescent ZrO2:Tb nanoparticles in mice with their gradual accumulation in the experimentally induced mammary cancers. Furthermore, a high concentration of NPs was found within the lung metastases as opposed to healthy lung tissue, where no NPs-related signal was observed.
Pereira, Dora I A; Bruggraber, Sylvaine F A; Faria, Nuno; Poots, Lynsey K; Tagmount, Mani A; Aslam, Mohamad F; Frazer, David M; Vulpe, Chris D; Anderson, Gregory J; Powell, Jonathan J
2014-11-01
Iron deficiency is the most common nutritional disorder worldwide with substantial impact on health and economy. Current treatments predominantly rely on soluble iron which adversely affects the gastrointestinal tract. We have developed organic acid-modified Fe(III) oxo-hydroxide nanomaterials, here termed nano Fe(III), as alternative safe iron delivery agents. Nano Fe(III) absorption in humans correlated with serum iron increase (P < 0.0001) and direct in vitro cellular uptake (P = 0.001), but not with gastric solubility. The most promising preparation (iron hydroxide adipate tartrate: IHAT) showed ~80% relative bioavailability to Fe(II) sulfate in humans and, in a rodent model, IHAT was equivalent to Fe(II) sulfate at repleting haemoglobin. Furthermore, IHAT did not accumulate in the intestinal mucosa and, unlike Fe(II) sulfate, promoted a beneficial microbiota. In cellular models, IHAT was 14-fold less toxic than Fe(II) sulfate/ascorbate. Nano Fe(III) manifests minimal acute intestinal toxicity in cellular and murine models and shows efficacy at treating iron deficiency anaemia. This paper reports the development of novel nano-Fe(III) formulations, with the goal of achieving a magnitude less intestinal toxicity and excellent bioavailability in the treatment of iron deficiency anemia. Out of the tested preparations, iron hydroxide adipate tartrate met the above criteria, and may become an important tool in addressing this common condition. Crown Copyright © 2014. Published by Elsevier Inc. All rights reserved.
Low-temperature method of producing nano-scaled graphene platelets and their nanocomposites
Zhamu, Aruna [Centerville, OH; Shi, Jinjun [Columbus, OH; Guo, Jiusheng [Centerville, OH; Jang, Bor Z [Centerville, OH
2012-03-13
A method of exfoliating a layered material to produce separated nano-scaled platelets having a thickness smaller than 100 nm. The method comprises: (a) providing a graphite intercalation compound comprising a layered graphite containing expandable species residing in an interlayer space of the layered graphite; (b) exposing the graphite intercalation compound to an exfoliation temperature lower than 650.degree. C. for a duration of time sufficient to at least partially exfoliate the layered graphite without incurring a significant level of oxidation; and (c) subjecting the at least partially exfoliated graphite to a mechanical shearing treatment to produce separated platelets. The method can further include a step of dispersing the platelets in a polymer or monomer solution or suspension as a precursor step to nanocomposite fabrication.
Transferable tight binding model for strained group IV and III-V heterostructures
NASA Astrophysics Data System (ADS)
Tan, Yaohua; Povolotskyi, Micheal; Kubis, Tillmann; Boykin, Timothy; Klimeck, Gerhard
Modern semiconductor devices have reached critical device dimensions in the range of several nanometers. For reliable prediction of device performance, it is critical to have a numerical efficient model that are transferable to material interfaces. In this work, we present an empirical tight binding (ETB) model with transferable parameters for strained IV and III-V group semiconductors. The ETB model is numerically highly efficient as it make use of an orthogonal sp3d5s* basis set with nearest neighbor inter-atomic interactions. The ETB parameters are generated from HSE06 hybrid functional calculations. Band structures of strained group IV and III-V materials by ETB model are in good agreement with corresponding HSE06 calculations. Furthermore, the ETB model is applied to strained superlattices which consist of group IV and III-V elements. The ETB model turns out to be transferable to nano-scale hetero-structure. The ETB band structures agree with the corresponding HSE06 results in the whole Brillouin zone. The ETB band gaps of superlattices with common cations or common anions have discrepancies within 0.05eV.
Evaluation of Shear Bond Strength of Orthodontic Brackets Bonded with Nano-Filled Composites
Chalipa, Javad; Akhondi, Mohammad Sadegh Ahmad; Arab, Sepideh; Kharrazifard, Mohammad Javad; Ahmadyar, Maryam
2013-01-01
Objectives: The purpose of this study was to evaluate the shear bond strength (SBS) of orthodontic brackets bonded with two types of nano-composites in comparison to a conventional orthodontic composite. Materials and Methods: Sixty extracted human first premolars were randomly divided into 3 groups each containing 20 teeth. In group I, a conventional orthodontic composite (Transbond XT) was used to bond the brackets, while two nano-composites (Filtek TM Supreme XT and AELITE Aesthetic Enamel) were used in groups II and III respectively. The teeth were stored in distilled water at 37°C for 24 hours, thermocycled in distilled water and debonded with a universal testing machine at a crosshead speed of 1 mm/min. The adhesive remnant index (ARI) was also evaluated using a stereomicroscope. Results: AELITE Aesthetic Enamel nano-composite revealed a SBS value of 8.44±2.09 MPa, which was higher than Transbond XT (6.91±2.13) and Filtek TM Supreme XT (6.04±2.01). Statistical analysis revealed a significant difference between groups II and III (P < 0.05). No significant difference was found between groups I and III, and between groups I and II (P > 0.05). Evaluation of ARI showed that Transbond XT left fewer adhesive remains on teeth after debonding. Conclusion: Results of this study indicate that the aforementioned nano-composites can be successfully used for bonding orthodontic brackets. PMID:24910655
NASA Astrophysics Data System (ADS)
Elantkowska, Magdalena; Ruczkowski, Jarosław; Sikorski, Andrzej; Dembczyński, Jerzy
2017-11-01
A parametric analysis of the hyperfine structure (hfs) for the even parity configurations of atomic terbium (Tb I) is presented in this work. We introduce the complete set of 4fN-core states in our high-performance computing (HPC) calculations. For calculations of the huge hyperfine structure matrix, requiring approximately 5000 hours when run on a single CPU, we propose the methods utilizing a personal computer cluster or, alternatively a cluster of Microsoft Azure virtual machines (VM). These methods give a factor 12 performance boost, enabling the calculations to complete in an acceptable time.
Sorio, Daniela; De Palo, Elio Franco; Bertaso, Anna; Bortolotti, Federica; Tagliaro, Franco
2017-02-01
This paper puts forward a new method for the transferrin (Tf) glycoform analysis in body fluids that involves the formation of a transferrin-terbium fluorescent adduct (TfFluo). The key idea is to validate the analytical procedure for carbohydrate-deficient transferrin (CDT), a traditional biochemical serum marker to identify chronic alcohol abuse. Terbium added to a human body-fluid sample produced TfFluo. Anion exchange HPLC technique, with fluorescence detection (λ exc 298 nm and λ em 550 nm), permitted clear separation and identification of Tf glycoform peaks without any interfering signals, allowing selective Tf sialoforms analysis in human serum and body fluids (cadaveric blood, cerebrospinal fluid, and dried blood spots) hampered for routine test. Serum samples (n = 78) were analyzed by both traditional absorbance (Abs) and fluorescence (Fl) HPLC methods and CDT% levels demonstrated a significant correlation (p < 0.001 Pearson). Intra- and inter-runs CV% was 3.1 and 4.6%, respectively. The cut-off of 1.9 CDT%, related to the HPLC Abs proposed as the reference method, by interpolation in the correlation curve with the present method demonstrated a 1.3 CDT% cut-off. Method comparison by Passing-Bablok and Bland-Altman tests demonstrated Fl versus Abs agreement. In conclusion, the novel method is a reliable test for CDT% analysis and provides a substantial analytical improvement offering important advantages in terms of types of body fluid analysis. Its sensitivity and absence of interferences extend clinical applications being reliable for CDT assay on body fluids usually not suitable for routine test. Graphical Abstract The formation of a transferrin-terbium fluorescent adduct can be used to analyze the transferrin glycoforms. The HPLC method for carbohydrate-deficient transferrin (CDT%) measurement was validated and employed to determine the levels in different body fluids.
NASA Astrophysics Data System (ADS)
Hasan, Mohammad Nasim; Shavik, Sheikh Mohammad; Rabbi, Kazi Fazle; Haque, Mominul
2016-07-01
Molecular dynamics simulation has been carried out to explore the evaporation characteristics of thin liquid argon film in nano-scale confinement. The present study has been conducted to realize the nano-scale physics of simultaneous evaporation and condensation inside a confined space for a three phase system with particular emphasis on the effect of surface wetting conditions. The simulation domain consisted of two parallel platinum plates; one at the top and another at the bottom. The fluid comprised of liquid argon film at the bottom plate and vapor argon in between liquid argon and upper plate of the domain. Considering hydrophilic and hydrophobic nature of top and bottom surfaces, two different cases have been investigated: (i) Case A: Both top and bottom surfaces are hydrophilic, (ii) Case B: both top and bottom surfaces are hydrophobic. For all cases, equilibrium molecular dynamics (EMD) was performed to reach equilibrium state at 90 K. Then the lower wall was set to four different temperatures such as 110 K, 120 K, 130 K and 140 K to perform non-equilibrium molecular dynamics (NEMD). The variation of temperature and density as well as the variation of system pressure with respect to time were closely monitored for each case. The heat fluxes normal to top and bottom walls were estimated and discussed to illuminate the effectiveness of heat transfer in both hydrophilic and hydrophobic confinement at various boundary temperatures of the bottom plate.
NASA Astrophysics Data System (ADS)
Chiu, Ching-Hsueh; Lin, Chien-Chung; Deng, Dongmei; Kuo, Hao-Chung; Lau, Kei-May
2011-10-01
We investigate the optical and electrical characteristics of the GaN-based light emitting diodes (LEDs) grown on Micro and Nano-scale Patterned silicon substrate (MPLEDs and NPLEDs). The transmission electron microscopy (TEM) images reveal the suppression of threading dislocation density in InGaN/GaN structure on nano-pattern substrate due to nanoscale epitaxial lateral overgrowth (NELOG). The plan-view and cross-section cathodoluminescence (CL) mappings show less defective and more homogeneous active quantum well region growth on nano-porous substrates. From temperature dependent photoluminescence (PL) and low temperature time-resolved photoluminescence (TRPL) measurement, NPLEDs has better carrier confinement and higher radiative recombination rate than MPLEDs. In terms of device performance, NPLEDs exhibits smaller electroluminescence (EL) peak wavelength blue shift, lower reverse leakage current and decreases efficiency droop compared with the MPLEDs. These results suggest the feasibility of using NPSi for the growth of high quality and power LEDs on Si substrates.
Fracture behavior of nano-scale rubber-modified epoxies
NASA Astrophysics Data System (ADS)
Bacigalupo, Lauren N.
The primary focus of the first portion of this study is to compare physical and mechanical properties of a model epoxy that has been toughened with one of three different types of rubber-based modifier: a traditional telechelic oligomer (phase separates into micro-size particles), a core-shell latex particle (preformed nano-scale particles) and a triblock copolymer (self-assembles into nano-scale particles). The effect of modifier content on the physical properties of the matrix was determined using several thermal analysis methods, which provided insight into any inherent alterations of the epoxy matrix. Although the primary objective is to study the role of particle size on the fracture toughness, stiffness and strength were also determined since these properties are often reduced in rubber-toughened epoxies. It was found that since the CSR- and SBM-modified epoxies are composed of less rubber, thermal and mechanical properties of the epoxy were better maintained. In order to better understand the fracture behavior and mechanisms of the three types of rubber particles utilized in this study, extensive microscopy analysis was conducted. Scanning transmission electron microscopy (STEM) was used to quantify the volume fraction of particles, transmission optical microscopy (TOM) was used to determine plastic damage zone size, and scanning electron microscopy (SEM) was used to assess void growth in the plastic zone after fracture. By quantifying these characteristics, it was then possible to model the plastic damage zone size as well as the fracture toughness to elucidate the behavior of the rubber-modified epoxies. It was found that localized shear yielding and matrix void growth are the active toughening mechanisms in all rubber-modified epoxies in this study, however, matrix void growth was more prevalent. The second portion of this study investigated the use of three acrylate-based triblocks and four acrylate-based diblocks to modify a model epoxy system. By
Gad, H M H; Youssef, M A
2017-08-16
Nano-pore hydroxyapatite (HAP) was prepared using physical activation of raw and chemically modified [using Acid; HNO 3 (HAPA) or Base; NaOH (HAPB)] bone char (BC) by heating at 900°C for 1 hr to obtain HAP9, HAPA9 and HAPB9, respectively. Investigation the effects of thermal and chemical treatment of prepared nano-hydroxyapatite on elemental analysis, FTIR, scanning electron microscopy, surface area and consequently, the sorption behavior of Eu (III) ions onto the prepared nano-pore hydroxyapatite. Batch adsorption technique was used and the obtained results revealed that the optimum pH = 5.0. The % removal of europium (III) using HAPA9 and HAPB9 reach to 100% within 15 min, while HAP9 after 180 min and the pseudo-second-order was found to be fit to the experimental data. According to Langmuir model, the maximum sorption capacities (q m ) were 123.8, 384.9 and 74.2 mg g -1 for HAP9, HAPA9 and HAPB9, respectively. The reaction is spontaneous according to ΔG° value. HCl (0.5 M) was the most efficient desorbing agent for recovery of Eu(III) and regeneration of adsorbents. Finally, nano-pore hydroxyapatite (HAP) was low cost and very effective adsorbent for sorption or recovery of Eu(III) from aqueous solutions and remediation of environmental pollution.
Wan, Xiao-Fang; Guo, Congbao; Liu, Yu; Chai, Xin-Sheng; Li, Youming; Chen, Guangxue
2018-03-01
In this study, we reported on the nano-scale nickel/iron particles loaded in carboxymethyl/nanofibrillated cellulose (CMC/NFC) hydrogel for the dechlorination of o-dichlorobenzene (DCB) in aqueous solution. The biodegradable hydrogel may provide an ideal supporting material for fastening the bimetallic nano-scale particles, which was examined and characterized by TEM, SEM-EDX, FT-IR and BET. The performance of the selected bimetallic particles was evaluated by conducting the dechlorination of DCB in the solution under different reaction conditions (e.g., pH, dosage of nickel/iron nanoparticles and temperature). The results showed that about 70% of DCB could be dechlorinated at 20 °C in 8 h, which indicated that the immobilized reactive material had a high reduction activity when Ni/Fe loading dosage in the hydrogel (18 wt%) was considered. Moreover, the reduction behavior agreed to the pseudo-first order reaction, in which the dechlorination rate was irrelative to the pH aqueous solution. A kinetic model for predicting the concentration of DCB during the reduction reaction was established based on the experimental data. Copyright © 2017 Elsevier Ltd. All rights reserved.
Scaling for quantum tunneling current in nano- and subnano-scale plasmonic junctions
Zhang, Peng
2015-01-01
When two conductors are separated by a sufficiently thin insulator, electrical current can flow between them by quantum tunneling. This paper presents a self-consistent model of tunneling current in a nano- and subnano-meter metal-insulator-metal plasmonic junction, by including the effects of space charge and exchange correlation potential. It is found that the J-V curve of the junction may be divided into three regimes: direct tunneling, field emission, and space-charge-limited regime. In general, the space charge inside the insulator reduces current transfer across the junction, whereas the exchange-correlation potential promotes current transfer. It is shown that these effects may modify the current density by orders of magnitude from the widely used Simmons’ formula, which is only accurate for a limited parameter space (insulator thickness > 1 nm and barrier height > 3 eV) in the direct tunneling regime. The proposed self-consistent model may provide a more accurate evaluation of the tunneling current in the other regimes. The effects of anode emission and material properties (i.e. work function of the electrodes, electron affinity and permittivity of the insulator) are examined in detail in various regimes. Our simple model and the general scaling for tunneling current may provide insights to new regimes of quantum plasmonics. PMID:25988951
NASA Astrophysics Data System (ADS)
Hart, Matthew
This paper develops scenarios of future rare-earth-magnet metal (neodymium, dysprosium, terbium, and praseodymium) consumption in the permanent magnets used in wind turbines and hybrid electric vehicles. The scenarios start with naive base-case scenarios for growth in wind-turbine and hybrid-electric-vehicle sales over the period 2011 to 2020, using historical data for each good. These naive scenarios assume that future growth follows time trends in historical data and does not depend on any exogenous variable. Specifically, growth of each technological market follows historical time trends, and the amount of rare earths used per unit of technology remains fixed. The chosen reference year is 2010. Implied consumptions of the rare earth magnet metals are calculated from these scenarios. Assumptions are made for the material composition of permanent magnets, the market share of permanent-magnet wind turbines and vehicles, and magnet weight per unit of technology. Different scenarios estimate how changes in factors like the material composition of magnets, growth of the economy, and the price of a substitute could affect future consumption. Each scenario presents a different method for reducing rare earth consumption and could be interpreted as potential policy choices. In 2010, the consumption (metric tons, rare-earth-oxide equivalent) of each rare-earth-magnet metal was as follows. Total neodymium consumption in the world for both technologies was 995 tons; dysprosium consumption was 133 tons; terbium consumption was 50 tons; praseodymium consumption was zero tons. The base scenario for wind turbines shows there could be strong, exponential growth in the global wind turbine market. New U.S. sales of hybrid vehicles would decline (in line with the current economic recession) while non-U.S. sales increase through 2020. There would be an overall increase in the total amount of magnetic rare earths consumed in the world. Total consumption of each rare earth in the short
The many faces of nano in newspaper reporting
NASA Astrophysics Data System (ADS)
Boholm, Max; Boholm, Åsa
2012-02-01
The morpheme nano in languages such as Swedish and English is a constituent of many words. This article linguistically analyses the meaning potential of nano by focusing on word use in a Swedish newspaper corpus comprising 2,564 articles (1.6 million words) covering a 22-year period (1988-2010). Close to 400 word forms having nano as a constituent have been identified and analyzed. The results suggest that nano covers a broad and heterogeneous conceptual field: (i) as a prefix of the SI system; (ii) in relation to the scientific activities of nanoscience and nanotechnology, including their sub-processes and actors; and (iii) in relation to objects. The identified meanings of nano, besides the standard definition (i.e. `billionth part' in relation to SI units), are `operating at the nanometre level' in relation to activities and their actors and `nanometre sized' and `nanotechnological' in relation to objects; in addition, the less precise and non-technical meaning `very small' is identified. We discuss the implications of the findings for a hypothesis about media influence on public understanding of technology, suggesting that repeated findings in Europe and the USA of little self-reported understanding and knowledge of nanotechnology or nanoscience among the public make sense in light of the polysemy of nano reflected in its broad variety of verbal forms and usages.
Metal-Semiconductor Nanocomposites for High Efficiency Thermoelectric Power Generation
2013-12-07
standard III–V compound semiconductor processing techniques with terbium- doped InGaAs of high terbium concentration, Journal of Vacuum Science...even lower the required temperature for strong covalent bonding. We performed the oxide bonding for this substrate transfer task (see Figure 16 for...appropriate controls for assessing ErSb:InGaSb and other nanocomposites of p-type III-V compound semiconductors and their alloys. UCSC group calculated
Yan, Sen; Chen, Yongheng; Xiang, Wu; Bao, Zhengyu; Liu, Chongxuan; Deng, Baolin
2014-12-01
The role of Fe(II) and Fe(III) in U(VI) reduction by nanoscale zerovalent iron (nanoFe0) was investigated using two iron chelators 1,10-phenanthroline and triethanolamine (TEA) under a CO2-free anoxic condition. The results showed that U(VI) reduction was strongly inhibited by 1,10-phenanthroline and TEA in a pH range from 6.9 to 9.0. For instance, at pH 6.9 the observed U(VI) reduction rates decreased by 81% and 82% in the presence of 1,10-phenanthroline and TEA, respectively. The inhibition was attributed to the formation of stable complexes between 1,10-phenanthroline and Fe(II) or TEA and Fe(III). In the absence of iron chelators, U(VI) reduction can be enhanced by surface-bound Fe(II) on nanoFe0. Our results suggested that Fe(III) and Fe(II) possibly acted as an electron shuttle to ferry the electrons from nanoFe0 to U(VI), therefore a combined system with Fe(II), Fe(III) and nanoFe0 could facilitate U(VI) reductive immobilization in the contaminated groundwater.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yan, Sen; Chen, Yongheng; Xiang, Wu
2014-12-01
The role of Fe(II) and Fe(III) on U(VI) reduction by nanoscale zerovalent iron (nanoFe0) was investigated using two iron chelators 1,10-phenanthroline and triethanolamine (TEA) under a CO2-free anoxic condition. The results showed U(VI) reduction was strongly inhibited by 1,10-phenanthroline and TEA in a pH range from 6.92 to 9.03. For instance, at pH 6.92 the observed U(VI) reduction rates decreased by 80.7% and 82.3% in the presence of 1,10-phenanthroline and TEA, respectively. The inhibition was attributed to the formation of stable complexes between 1,10-phenanthroline and Fe(II) or TEA and Fe(III). In the absence of iron chelators, U(VI) reduction can bemore » enhanced by surface-bound Fe(II) on nanoFe0. Our results suggested that Fe(III) and Fe(II) probably acted as an electron shuttle to mediate the transfer of electrons from nanoFe0 to U(VI), therefore a combined system with Fe(II), Fe(III) and nanoFe0 can facilitate the U(VI) reductive immobilization in the contaminated groundwater.« less
Tareq, Foysal Kabir; Fayzunnesa, Mst; Kabir, Md Shahariar; Nuzat, Musrat
2018-01-01
The aim of this investigation to preparation of silver nanoparticles organized chitosan nano polymer, which effective against microbial and pathogens, when apply to liquid medium and edible food products surface, will rescue the growth of microbes. Self-assembly approach used to synthesis of silver nanoparticles and silver nanoparticles organized chitosan nano polymer. Silver nanoparticles and silver nanoparticles organized chitosan nano polymer and film characterized using Ultra-violate visible spectrometer (UV-vis), X-ray diffraction (X-ray), and Scanning electronic microscope (SEM). The crystalline structured protein capped nano silver successfully synthesized at range of 12 nm-29 nm and organized into chitosan nano polymer. Antimicrobial ingredient in liquid medium and food product surface provide to rescue oxidative change and growth of microorganism to provide higher safety. The silver nanoparticles organized chitosan nano polymer caused the death of microorganism. The materials in nano scale synthesized successfully using self-assembly method, which showed good antimicrobial properties. Copyright © 2017 Elsevier Ltd. All rights reserved.
Yamawaki, I; Taguchi, Y; Komasa, S; Tanaka, A; Umeda, M
2017-08-01
Diabetes mellitus (DM) is a common disease worldwide. Patients with DM have an increased risk of losing their teeth compared with other individuals. Dental implants are a standard of care for treating partial or full edentulism, and various implant surface treatments have recently been developed to increase dental implant stability. However, some studies have reported that DM reduces osseointegration and the success rate of dental implants. The purpose of this study was to determine the effects of high glucose levels for hard tissue formation on a nano-scale modified titanium surface. Titanium disks were heated at 600°C for 1 h after treatment with or without 10 m NaOH solution. All disks were incubated with type II DM rat bone marrow-derived mesenchymal stromal cells before exposure to one of four concentrations of glucose (5.5, 8.0, 12.0 or 24.0 mm). The effect of different glucose concentrations on bone marrow-derived mesenchymal stromal cell osteogenesis and inflammatory cytokines on the nano-scale modified titanium surface was evaluated. Alkaline phosphatase activity decreased with increasing glucose concentration. In contrast, osteocalcin production and calcium deposition were significantly decreased at 8.0 mm glucose, but increased with glucose concentrations over 8.0 mm. Differences in calcium/phosphate ratio associated with the various glucose concentrations were similar to osteocalcin production and calcium deposition. Inflammatory cytokines were expressed at high glucose concentrations, but the nano-scale modified titanium surface inhibited the effect of high glucose concentrations. High glucose concentration increased hard tissue formation, but the quality of the mineralized tissue decreased. Furthermore, the nano-scale modified titanium surface increased mineralized tissue formation and anti-inflammation, but the quality of hard tissue was dependent on glucose concentration. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
Nano-technology and nano-toxicology.
Maynard, Robert L
2012-01-01
Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology.
Nano-technology and nano-toxicology
Maynard, Robert L.
2012-01-01
Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology. PMID:22662021
Markwalter, Chester E; Prud'homme, Robert K
2018-05-14
Flash NanoPrecipitation (FNP) is a scalable approach to generate polymeric nanoparticles using rapid micromixing in specially-designed geometries such as a confined impinging jets (CIJ) mixer or a Multi-Inlet Vortex Mixer (MIVM). A major limitation of formulation screening using the MIVM is that a single run requires tens of milligrams of the therapeutic. To overcome this, we have developed a scaled-down version of the MIVM, requiring as little as 0.2 mg of therapeutic, for formulation screening. The redesigned mixer can then be attached to pumps for scale-up of the identified formulation. It was shown that Reynolds Number allowed accurate scaling between the two MIVM designs. The utility of the small-scale MIVM for formulation development was demonstrated through the encapsulation of a number of hydrophilic macromolecules using inverse Flash NanoPrecipitation with target loadings as high as 50% by mass. Copyright © 2018. Published by Elsevier Inc.
NASA Astrophysics Data System (ADS)
Zhu, Zhiwei; To, Suet; Ehmann, Kornel F.; Xiao, Gaobo; Zhu, Wule
2016-03-01
A new mechanical micro-/nano-machining process that combines rotary spatial vibrations (RSV) of a diamond tool and the servo motions of the workpiece is proposed and applied for the generation of multi-tier hierarchical micro-/nano-structures. In the proposed micro-/nano-machining system, the servo motion, as the primary cutting motion generated by a slow-tool-servo, is adopted for the fine generation of the primary surfaces with complex shapes. The RSV, as the tertiary cutting operation, is superimposed on the secondary fundamental rotary cutting motion to construct secondary nano-structures on the primary surface. Since the RSV system generally works at much higher frequencies and motion resolution than the primary and secondary motions, it leads to an inherent hierarchical cutting architecture. To investigate the machining performance, complex micro-/nano-structures were generated and explored by both numerical simulations and actual cutting tests. Rotary vibrations of the diamond tool at a constant rotational distance offer an inherent constant cutting velocity, leading to the ability for the generation of homogeneous micro-/nano-structures with fixed amplitudes and frequencies of the vibrations, even over large-scale surfaces. Furthermore, by deliberately combining the non-resonant three-axial vibrations and the servo motion, the generation of a variety of micro-/nano-structures with complex shapes and with flexibly tunable feature sizes can be achieved.
Bone-repair properties of biodegradable hydroxyapatite nano-rod superstructures
NASA Astrophysics Data System (ADS)
D'Elía, Noelia L.; Mathieu, Colleen; Hoemann, Caroline D.; Laiuppa, Juan A.; Santillán, Graciela E.; Messina, Paula V.
2015-11-01
Nano-hydroxyapatite (nano-HAp) materials show an analogous chemical composition to the biogenic mineral components of calcified tissues and depending on their topography they may mimic the specific arrangement of the crystals in bone. In this work, we have evaluated the potential of four synthesized nano-HAp superstructures for the in vitro conditions of bone-repair. Experiments are underway to investigate the effects of the material microstructure, surface roughness and hydrophilicity on their osseo-integration, osteo-conduction and osteo-induction abilities. Materials were tested in the presence of both, rat primary osteoblasts and rabbit mesenchymal stem cells. The following aspects are discussed: (i) cytotoxicity and material degradation; (ii) rat osteoblast spreading, proliferation and differentiation; and (iii) rabbit mesenchymal stem cell adhesion on nano-HAp and nano-HAp/collagen type I coatings. We effectively prepared a material based on biomimetic HAp nano-rods displaying the appropriate surface topography, hydrophilicity and degradation properties to induce the in vitro desired cellular responses for bone bonding and healing. Cells seeded on the selected material readily attached, proliferated and differentiated, as confirmed by cell viability, mitochondrial metabolic activity, alkaline phosphatase (ALP) activity and cytoskeletal integrity analysis by immunofluorescence localization of alpha-smooth muscle actin (α-SMA) protein. These results highlight the influence of material's surface characteristics to determine their tissue regeneration potential and their future use in engineering osteogenic scaffolds for orthopedic implants.Nano-hydroxyapatite (nano-HAp) materials show an analogous chemical composition to the biogenic mineral components of calcified tissues and depending on their topography they may mimic the specific arrangement of the crystals in bone. In this work, we have evaluated the potential of four synthesized nano-HAp superstructures
Galhoum, Ahmed A.; Mafhouz, Mohammad G.; Abdel-Rehem, Sayed T.; Gomaa, Nabawia A.; Atia, Asem A.; Vincent, Thierry; Guibal, Eric
2015-01-01
Cysteine-functionalized chitosan magnetic nano-based particles were synthesized for the sorption of light and heavy rare earth (RE) metal ions (La(III), Nd(III) and Yb(III)). The structural, surface, and magnetic properties of nano-sized sorbent were investigated by elemental analysis, FTIR, XRD, TEM and VSM (vibrating sample magnetometry). Experimental data show that the pseudo second-order rate equation fits the kinetic profiles well, while sorption isotherms are described by the Langmuir model. Thermodynamic constants (ΔG°, ΔH°) demonstrate the spontaneous and endothermic nature of sorption. Yb(III) (heavy RE) was selectively sorbed while light RE metal ions La(III) and Nd(III) were concentrated/enriched in the solution. Cationic species RE(III) in aqueous solution can be adsorbed by the combination of chelating and anion-exchange mechanisms. The sorbent can be efficiently regenerated using acidified thiourea. PMID:28347004
Folate Receptor Targeted Alpha-Therapy Using Terbium-149
Müller, Cristina; Reber, Josefine; Haller, Stephanie; Dorrer, Holger; Köster, Ulli; Johnston, Karl; Zhernosekov, Konstantin; Türler, Andreas; Schibli, Roger
2014-01-01
Terbium-149 is among the most interesting therapeutic nuclides for medical applications. It decays by emission of short-range α-particles (Eα = 3.967 MeV) with a half-life of 4.12 h. The goal of this study was to investigate the anticancer efficacy of a 149Tb-labeled DOTA-folate conjugate (cm09) using folate receptor (FR)-positive cancer cells in vitro and in tumor-bearing mice. 149Tb was produced at the ISOLDE facility at CERN. Radiolabeling of cm09 with purified 149Tb resulted in a specific activity of ~1.2 MBq/nmol. In vitro assays performed with 149Tb-cm09 revealed a reduced KB cell viability in a FR-specific and activity concentration-dependent manner. Tumor-bearing mice were injected with saline only (group A) or with 149Tb-cm09 (group B: 2.2 MBq; group C: 3.0 MBq). A significant tumor growth delay was found in treated animals resulting in an increased average survival time of mice which received 149Tb-cm09 (B: 30.5 d; C: 43 d) compared to untreated controls (A: 21 d). Analysis of blood parameters revealed no signs of acute toxicity to the kidneys or liver in treated mice over the time of investigation. These results demonstrated the potential of folate-based α-radionuclide therapy in tumor-bearing mice. PMID:24633429
NASA Astrophysics Data System (ADS)
Malakar, Arindam; Das, Bidisa; Islam, Samirul; Meneghini, Carlo; de Giudici, Giovanni; Merlini, Marco; Kolen'Ko, Yury V.; Iadecola, Antonella; Aquilanti, Giuliana; Acharya, Somobrata; Ray, Sugata
2016-05-01
Increasing exposure to arsenic (As) contaminated ground water is a great threat to humanity. Suitable technology for As immobilization and removal from water, especially for As(III) than As(V), is not available yet. However, it is known that As(III) is more toxic than As(V) and most groundwater aquifers, particularly the Gangetic basin in India, is alarmingly contaminated with it. In search of a viable solution here, we took a cue from the natural mineralization of Tooeleite, a mineral containing Fe(III) and As(III)ions, grown under acidic condition, in presence of SO42- ions. Complying to this natural process, we could grow and separate Tooeleite-like templates from Fe(III) and As(III) containing water at overall circumneutral pH and in absence of SO42- ions by using highly polar Zn-only ends of wurtzite ZnS nanorods as insoluble nano-acidic-surfaces. The central idea here is to exploit these insoluble nano-acidic-surfaces (called as INAS in the manuscript) as nucleation centres for Tooeleite growth while keeping the overall pH of the aqueous media neutral. Therefore, we propose a novel method of artificial mineralization of As(III) by mimicking a natural process at nanoscale.
NASA Astrophysics Data System (ADS)
Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong
2014-01-01
Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.
Software Architecture for a Virtual Environment for Nano Scale Assembly (VENSA).
Lee, Yong-Gu; Lyons, Kevin W; Feng, Shaw C
2004-01-01
A Virtual Environment (VE) uses multiple computer-generated media to let a user experience situations that are temporally and spatially prohibiting. The information flow between the user and the VE is bidirectional and the user can influence the environment. The software development of a VE requires orchestrating multiple peripherals and computers in a synchronized way in real time. Although a multitude of useful software components for VEs exists, many of these are packaged within a complex framework and can not be used separately. In this paper, an architecture is presented which is designed to let multiple frameworks work together while being shielded from the application program. This architecture, which is called the Virtual Environment for Nano Scale Assembly (VENSA), has been constructed for interfacing with an optical tweezers instrument for nanotechnology development. However, this approach can be generalized for most virtual environments. Through the use of VENSA, the programmer can rely on existing solutions and concentrate more on the application software design.
Nano-scale hydrogen-bond network improves the durability of greener cements
Jacobsen, Johan; Rodrigues, Michelle Santos; Telling, Mark T. F.; Beraldo, Antonio Ludovico; Santos, Sérgio Francisco; Aldridge, Laurence P.; Bordallo, Heloisa N.
2013-01-01
More than ever before, the world's increasing need for new infrastructure demands the construction of efficient, sustainable and durable buildings, requiring minimal climate-changing gas-generation in their production. Maintenance-free “greener” building materials made from blended cements have advantages over ordinary Portland cements, as they are cheaper, generate less carbon dioxide and are more durable. The key for the improved performance of blends (which substitute fine amorphous silicates for cement) is related to their resistance to water penetration. The mechanism of this water resistance is of great environmental and economical impact but is not yet understood due to the complexity of the cement's hydration reactions. Using neutron spectroscopy, we studied a blend where cement was replaced by ash from sugar cane residuals originating from agricultural waste. Our findings demonstrate that the development of a distinctive hydrogen bond network at the nano-scale is the key to the performance of these greener materials. PMID:24036676
Software Architecture for a Virtual Environment for Nano Scale Assembly (VENSA)
Lee, Yong-Gu; Lyons, Kevin W.; Feng, Shaw C.
2004-01-01
A Virtual Environment (VE) uses multiple computer-generated media to let a user experience situations that are temporally and spatially prohibiting. The information flow between the user and the VE is bidirectional and the user can influence the environment. The software development of a VE requires orchestrating multiple peripherals and computers in a synchronized way in real time. Although a multitude of useful software components for VEs exists, many of these are packaged within a complex framework and can not be used separately. In this paper, an architecture is presented which is designed to let multiple frameworks work together while being shielded from the application program. This architecture, which is called the Virtual Environment for Nano Scale Assembly (VENSA), has been constructed for interfacing with an optical tweezers instrument for nanotechnology development. However, this approach can be generalized for most virtual environments. Through the use of VENSA, the programmer can rely on existing solutions and concentrate more on the application software design. PMID:27366610
Ecological assessment of nano-enabled supercapacitors for automotive applications
NASA Astrophysics Data System (ADS)
Weil, M.; Dura, H.; Shimon, B.; Baumann, M.; Zimmermann, B.; Ziemann, S.; Lei, C.; Markoulidis, F.; Lekakou, T.; Decker, M.
2012-09-01
New materials on nano scale have the potential to overcome existing technical barriers and are one of the most promising key technologies to enable the decoupling of economic growth and resource consumption. Developing these innovative materials for industrial applications means facing a complex quality profile, which includes among others technical, economic, and ecological aspects. So far the two latter aspects are not sufficiently included in technology development, especially from a life cycle point of view. Supercapacitors are considered a promising option for electric energy storage in hybrid and full electric cars. In comparison with presently used lithium based electro chemical storage systems supercapacitors possess a high specific power, but a relatively low specific energy. Therefore, the goal of ongoing research is to develop a new generation of supercapacitors with high specific power and high specific energy. To reach this goal particularly nano materials are developed and tested on cell level. In the presented study the ecological implications (regarding known environmental effects) of carbon based nano materials are analysed using Life Cycle Assessment (LCA). Major attention is paid to efficiency gains of nano particle production due to scaling up of such processes from laboratory to industrial production scales. Furthermore, a developed approach will be displayed, how to assess the environmental impact of nano materials on an automotive system level over the whole life cycle.
Hossain, R.; Pahlevani, F.; Quadir, M. Z.; Sahajwalla, V.
2016-01-01
Although high carbon martensitic steels are well known for their industrial utility in high abrasion and extreme operating environments, due to their hardness and strength, the compressive stability of their retained austenite, and the implications for the steels’ performance and potential uses, is not well understood. This article describes the first investigation at both the macro and nano scale of the compressive stability of retained austenite in high carbon martensitic steel. Using a combination of standard compression testing, X-ray diffraction, optical microstructure, electron backscattering diffraction imaging, electron probe micro-analysis, nano-indentation and micro-indentation measurements, we determined the mechanical stability of retained austenite and martensite in high carbon steel under compressive stress and identified the phase transformation mechanism, from the macro to the nano level. We found at the early stage of plastic deformation hexagonal close-packed (HCP) martensite formation dominates, while higher compression loads trigger body-centred tetragonal (BCT) martensite formation. The combination of this phase transformation and strain hardening led to an increase in the hardness of high carbon steel of around 30%. This comprehensive characterisation of stress induced phase transformation could enable the precise control of the microstructures of high carbon martensitic steels, and hence their properties. PMID:27725722
Oscillation-based methods for actuation and manipulation of nano-objects
NASA Astrophysics Data System (ADS)
Popov, V. L.
2017-09-01
We discuss how oscillations can be used for fixation or manipulation of nano-objects or producing nano-drives. The underlying principles are scale-invariant and principally can be scaled down up to the molecular scale. The main underlying principle of fixation and actuation occurs to be symmetry breaking of an oscillating system. From this unifying standpoint, a series of actuation principles are discussed as dragging, ratchets, micro walking, friction-inertia actuators, oscillation tweezers, flagella motors for propulsion in liquids as well as some recently proposed actuation principles.
NASA Astrophysics Data System (ADS)
Meier, G.; Gapinski, J.; Ratajczyk, M.; Lettinga, M. P.; Hirtz, K.; Banachowicz, E.; Patkowski, A.
2018-03-01
The Stokes-Einstein relation allows us to calculate apparent viscosity experienced by tracers in complex media on the basis of measured self-diffusion coefficients. Such defined nano-viscosity values can be obtained through single particle techniques, like fluorescence correlation spectroscopy (FCS) and particle tracking (PT). In order to perform such measurements, as functions of pressure and temperature, a new sample cell was designed and is described in this work. We show that this cell in combination with a long working distance objective of the confocal microscope can be used for successful FCS, PT, and confocal imaging experiments in broad pressure (0.1-100 MPa) and temperature ranges. The temperature and pressure dependent nano-viscosity of a van der Waals liquid obtained from the translational diffusion coefficient measured in this cell by means of FCS obeys the same scaling as the rotational relaxation and macro-viscosity of the system.
Tao, Dingyin; Zhang, Lihua; Shan, Yichu; Liang, Zhen; Zhang, Yukui
2011-01-01
High-performance liquid chromatography-electrospray ionization tandem mass spectrometry (HPLC-ESI-MS-MS) is regarded as one of the most powerful techniques for separation and identification of proteins. Recently, much effort has been made to improve the separation capacity, detection sensitivity, and analysis throughput of micro- and nano-HPLC, by increasing column length, reducing column internal diameter, and using integrated techniques. Development of HPLC columns has also been rapid, as a result of the use of submicrometer packing materials and monolithic columns. All these innovations result in clearly improved performance of micro- and nano-HPLC for proteome research.
Optimization of Nano-Carbon Materials for Hydrogen Sorption
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yakobson, Boris I
2013-08-02
Research undertaken has added to the understanding of several critical areas, by providing both negative answers (and therefore eliminating expensive further studies of unfeasible paths) and positive feasible options for storage. Theoretical evaluation of the early hypothesis of storage on pure carbon single wall nanotubes (SWNT) has been scrutinized with the use of comprehensive computational methods (and experimental tests by the Center partners), and demonstrated that the fundamentally weak binding energy of hydrogen is not sufficiently enhanced by the SWNT curvature or even defects, which renders carbon nanotubes not practical media. More promising direction taken was towards 3-dimensional architectures ofmore » high porosity where concurrent attraction of H2 molecule to surrounding walls of nano-scale cavities can double or even triple the binding energy and therefore make hydrogen storage feasible even at ambient or somewhat lower temperatures. An efficient computational tool has been developed for the rapid capacity assessment combining (i) carbon-foam structure generation, (ii) accurate empirical force fields, with quantum corrections for the lightweight H2, and (iii) grand canonical Monte Carlo simulation. This made it possible to suggest optimal designs for carbon nanofoams, obtainable via welding techniques from SWNT or by growth on template-zeolites. As a precursor for 3D-foams, we have investigated experimentally the synthesis of VANTA (Vertically Aligned NanoTube Arrays). This can be used for producing nano-foams. On the other hand, fluorination of VANTA did not show promising increase of hydrogen sorption in several tests and may require further investigation and improvements. Another significant result of this project was in developing a fundamental understanding of the elements of hydrogen spillover mechanisms. The benefit of developed models is the ability to foresee possible directions for further improvement of the spillover mechanism.« less
Gregg, Chelsea L; Recknagel, Andrew K; Butcher, Jonathan T
2015-01-01
Tissue morphogenesis and embryonic development are dynamic events challenging to quantify, especially considering the intricate events that happen simultaneously in different locations and time. Micro- and more recently nano-computed tomography (micro/nanoCT) has been used for the past 15 years to characterize large 3D fields of tortuous geometries at high spatial resolution. We and others have advanced micro/nanoCT imaging strategies for quantifying tissue- and organ-level fate changes throughout morphogenesis. Exogenous soft tissue contrast media enables visualization of vascular lumens and tissues via extravasation. Furthermore, the emergence of antigen-specific tissue contrast enables direct quantitative visualization of protein and mRNA expression. Micro-CT X-ray doses appear to be non-embryotoxic, enabling longitudinal imaging studies in live embryos. In this chapter we present established soft tissue contrast protocols for obtaining high-quality micro/nanoCT images and the image processing techniques useful for quantifying anatomical and physiological information from the data sets.
Modeling and analysis of sub-surface leakage current in nano-MOSFET under cutoff regime
NASA Astrophysics Data System (ADS)
Swami, Yashu; Rai, Sanjeev
2017-02-01
The high leakage current in nano-meter regimes is becoming a significant portion of power dissipation in nano-MOSFET circuits as threshold voltage, channel length, and gate oxide thickness are scaled down to nano-meter range. Precise leakage current valuation and meticulous modeling of the same at nano-meter technology scale is an increasingly a critical work in designing the low power nano-MOSFET circuits. We present a specific compact model for sub-threshold regime leakage current in bulk driven nano-MOSFETs. The proposed logical model is instigated and executed into the latest updated PTM bulk nano-MOSFET model and is found to be in decent accord with technology-CAD simulation data. This paper also reviews various transistor intrinsic leakage mechanisms for nano-MOSFET exclusively in weak inversion, like drain-induced barricade lowering (DIBL), gate-induced drain leakage (GIDL), gate oxide tunneling (GOT) leakage etc. The root cause of the sub-surface leakage current is mainly due to the nano-scale short channel length causing source-drain coupling even in sub-threshold domain. Consequences leading to carriers triumphing the barricade between the source and drain. The enhanced model effectively considers the following parameter dependence in the account for better-quality value-added results like drain-to-source bias (VDS), gate-to-source bias (VGS), channel length (LG), source/drain junction depth (Xj), bulk doping concentration (NBULK), and operating temperature (Top).
Mangla, Onkar; Roy, Savita; Ostrikov, Kostya Ken
2015-12-29
The hot and dense plasma formed in modified dense plasma focus (DPF) device has been used worldwide for the nanofabrication of several materials. In this paper, we summarize the fabrication of III-V semiconductor nanostructures using the high fluence material ions produced by hot, dense and extremely non-equilibrium plasma generated in a modified DPF device. In addition, we present the recent results on the fabrication of porous nano-gallium arsenide (GaAs). The details of morphological, structural and optical properties of the fabricated nano-GaAs are provided. The effect of rapid thermal annealing on the above properties of porous nano-GaAs is studied. The study reveals that it is possible to tailor the size of pores with annealing temperature. The optical properties of these porous nano-GaAs also confirm the possibility to tailor the pore sizes upon annealing. Possible applications of the fabricated and subsequently annealed porous nano-GaAs in transmission-type photo-cathodes and visible optoelectronic devices are discussed. These results suggest that the modified DPF is an effective tool for nanofabrication of continuous and porous III-V semiconductor nanomaterials. Further opportunities for using the modified DPF device for the fabrication of novel nanostructures are discussed as well.
Watts, Mathew P.; Coker, Victoria S.; Parry, Stephen A.; Pattrick, Richard A.D.; Thomas, Russell A.P.; Kalin, Robert; Lloyd, Jonathan R.
2015-01-01
Highly reactive nano-scale biogenic magnetite (BnM), synthesized by the Fe(III)-reducing bacterium Geobacter sulfurreducens, was tested for the potential to remediate alkaline Cr(VI) contaminated waters associated with chromite ore processing residue (COPR). The performance of this biomaterial, targeting aqueous Cr(VI) removal, was compared to a synthetic alternative, nano-scale zero valent iron (nZVI). Samples of highly contaminated alkaline groundwater and COPR solid waste were obtained from a contaminated site in Glasgow, UK. During batch reactivity tests, Cr(VI) removal from groundwater was inhibited by ∼25% (BnM) and ∼50% (nZVI) when compared to the treatment of less chemically complex model pH 12 Cr(VI) solutions. In both the model Cr(VI) solutions and contaminated groundwater experiments the surface of the nanoparticles became passivated, preventing complete coupling of their available electrons to Cr(VI) reduction. To investigate this process, the surfaces of the reacted samples were analyzed by TEM-EDX, XAS and XPS, confirming Cr(VI) reduction to the less soluble Cr(III) on the nanoparticle surface. In groundwater reacted samples the presence of Ca, Si and S was also noted on the surface of the nanoparticles, and is likely responsible for earlier onset of passivation. Treatment of the solid COPR material in contact with water, by addition of increasing weight % of the nanoparticles, resulted in a decrease in aqueous Cr(VI) concentrations to below detection limits, via the addition of ⩾5% w/w BnM or ⩾1% w/w nZVI. XANES analysis of the Cr K edge, showed that the % Cr(VI) in the COPR dropped from 26% to a minimum of 4–7% by the addition of 5% w/w BnM or 2% w/w nZVI, with higher additions unable to reduce the remaining Cr(VI). The treated materials exhibited minimal re-mobilization of soluble Cr(VI) by re-equilibration with atmospheric oxygen, with the bulk of the Cr remaining in the solid fraction. Both nanoparticles exhibited a considerable
Watts, Mathew P.; Coker, Victoria S.; Parry, Stephen A.; ...
2014-12-11
Highly reactive nano-scale biogenic magnetite (BnM), synthesized by the Fe(III)-reducing bacterium Geobacter sulfurreducens, was tested for the potential to remediate alkaline Cr(VI) contaminated waters associated with chromite ore processing residue (COPR). The performance of this biomaterial, targeting aqueous Cr(VI) removal, was compared to a synthetic alternative, nano-scale zero valent iron (nZVI). Samples of highly contaminated alkaline groundwater and COPR solid waste were obtained from a contaminated site in Glasgow, UK. During batch reactivity tests, Cr(VI) removal from groundwater was inhibited by ~25% (BnM) and ~50% (nZVI) when compared to the treatment of less chemically complex model pH 12 Cr(VI) solutions.more » In both the model Cr(VI) solutions and contaminated groundwater experiments the surface of the nanoparticles became passivated, preventing complete coupling of their available electrons to Cr(VI) reduction. To investigate this process, the surfaces of the reacted samples were analyzed by TEM-EDX, XAS and XPS, confirming Cr(VI) reduction to the less soluble Cr(III) on the nanoparticle surface. In groundwater reacted samples the presence of Ca, Si and S was also noted on the surface of the nanoparticles, and is likely responsible for earlier onset of passivation. Treatment of the solid COPR material in contact with water, by addition of increasing weight % of the nanoparticles, resulted in a decrease in aqueous Cr(VI) concentrations to below detection limits, via the addition of ≥5% w/w BnM or ≥1% w/w nZVI. XANES analysis of the Cr K edge, showed that the % Cr(VI) in the COPR dropped from 26% to a minimum of 4–7% by the addition of 5% w/w BnM or 2% w/w nZVI, with higher additions unable to reduce the remaining Cr(VI). The treated materials exhibited minimal re-mobilization of soluble Cr(VI) by re-equilibration with atmospheric oxygen, with the bulk of the Cr remaining in the solid fraction. Both nanoparticles exhibited a considerable
NASA Astrophysics Data System (ADS)
Kim, Jandee; Lee, Jaesung; Rhee, Choong Kyun
2016-02-01
Presented is a scanning tunneling microscopy (STM) study of structural evolution of TMA/Zn2 + ion network on Au(111) to the final structure of (10√3 × 10√3) during solution phase post-modification of pristine trimesic acid (TMA) network of a (5√3 × 5√3) structure with Zn2 + ions. Coordination of Zn2 + ions into adsorbed TMA molecules transforms crown-like TMA hexamers in pristine TMA network to chevron pairs in TMA/Zn2 + ion network. Two ordered transient structures of TMA/Zn2 + ion network were observed. One is a (5√7 × 5√7) structure consisting of Zn2 + ion-containing chevron pairs and Zn2 + ion-free TMA dimers. The other is a (5√39 × 5√21) structure made of chevron pairs and chevron-pair-missing sites. An STM image showing domains of different stages of crystallization of chevron pairs demonstrates that the TMA/Zn2 + network before reaching to the final one is quite dynamic. The observed structural evolution of the TMA/Zn2 + ion network is discussed in terms of modification of configurations of adsorbed TMA as accommodating Zn2 + ions and re-ordering of Zn2 + ion-containing chevron pairs.
Gu, Qing-Yang; Qiu, Xiao; Liu, Jing-Jing; Fu, Min; Chao, Jian-Ping; Ju, Rui-Jun; Li, Xue-Tao
2018-08-01
Diclofenac sodium (abrr. DS) and indomethacin (abrr. IMC) have been intercalated into the layered terbium hydroxide (LTbH) by anion exchange method. Chemical compositions, thermostability, morphology, luminescence property, release behaviors and cytotoxic effects have been investigated. The DS molecules may embed between layers with a bilayered arrangement and the IMC may correspond to a monolayered arrangement. The Tb3+ luminescence in DS-LTbH and IMC-LTbH composites were enhanced compared with LTbH precusor and the luminescence intensity increases with the deprotonation degree. Drug release was measured with HPLC, and LTbH showed sustained release behavior on both drugs. Further In Vitro evaluation were carried out on cancer cells. Cytotoxic effect of LTbH was observed with a sulforhodamine B colorimetric assay on a variety of cancer cell lines, which revealed that the LTbH showed little cytotoxic effect. Results indicate LTbH may offer a potential vehicle as an effective drug delivery system along with diagnostic integration.
NASA Astrophysics Data System (ADS)
Dutta, Tanmay; Chauniyal, Ashish; Singh, I.; Narasimhan, R.; Thamburaja, P.; Ramamurty, U.
2018-02-01
In this work, numerical simulations using molecular dynamics and non-local plasticity based finite element analysis are carried out on tensile loading of nano-scale double edge notched metallic glass specimens. The effect of acuteness of notches as well as the metallic glass chemical composition or internal material length scale on the plastic deformation response of the specimens are studied. Both MD and FE simulations, in spite of the fundamental differences in their nature, indicate near-identical deformation features. Results show two distinct transitions in the notch tip deformation behavior as the acuity is increased, first from single shear band dominant plastic flow localization to ligament necking, and then to double shear banding in notches that are very sharp. Specimens with moderately blunt notches and composition showing wider shear bands or higher material length scale characterizing the interaction stress associated with flow defects display profuse plastic deformation and failure by ligament necking. These results are rationalized from the role of the interaction stress and development of the notch root plastic zones.
Increasing the Impact of Materials in and beyond Bio-Nano Science.
Björnmalm, Mattias; Faria, Matthew; Caruso, Frank
2016-10-19
This is an exciting time for the field of bio-nano science: enormous progress has been made in recent years, especially in academic research, and materials developed and studied in this area are poised to make a substantial impact in real-world applications. Herein, we discuss ways to leverage the strengths of the field, current limitations, and valuable lessons learned from neighboring fields that can be adopted to accelerate scientific discovery and translational research in bio-nano science. We identify and discuss five interconnected topics: (i) the advantages of cumulative research; (ii) the necessity of aligning projects with research priorities; (iii) the value of transparent science; (iv) the opportunities presented by "dark data"; and (v) the importance of establishing bio-nano standards.
Micro/nano-particles and Cells: Manipulation, Transport, and Self-assembly
2014-10-23
SECURITY CLASSIFICATION OF: Technologies that control nano- and micron- sized inert as well as biological materials are crucial to realizing engineered...that control nano- and micron- sized inert as well as biological materials are crucial to realizing engineered systems that can assemble, transport, and...nano-scale particles offer several advantages as building blocks of artificial materials . The relative ease of modifying their charge states
NASA Astrophysics Data System (ADS)
Kim, Yeong E.; Koltick, David S.; Reifenberger, Ronald G.; Zubarev, Alexander L.
2006-02-01
Most of experimental results of low-energy nuclear reaction (LENR) reported so far cannot be reproduced on demand. There have been persistent experimental results indicating that the LENR and transmutation processes in condensed matters (LENRTPCM) are surface phenomena rather than bulk phenomena. Recently proposed Bose-Einstein condensation (BEC) mechanism may provide a suitable theoretical description of the surface phenomena. New experiments are proposed and described for testing the BEC mechanism for LENR and transmutation processes in micro- and nano-scale traps. (1) We propose the use of micro- or nano-porous conducting materials as a cathode in electrolysis experiments with heavy water with or without Li in order to stabilize the active surface spots and to enhance the effect for the purpose of improving the reproducibility of excess heat generation and nuclear emission. (2) We propose new experimental tests of the BEC mechanism by measuring the pressure and temperature dependence of LENR events using deuterium gas and these deuterated metals with or without Li. If the LENRTPCM are surface phenomena, the proposed use of micro-/nano-scale porous materials is expected to enhance and scale up the LENRTPCM effects by many order of magnitude, and thus may lead to better reproductivity and theoretical understanding of the phenomena.
Anton, Nicolas; Mojzisova, Halina; Porcher, Emilien; Benoit, Jean-Pierre; Saulnier, Patrick
2010-10-15
This study presents novel, recently patented technology for encapsulating hydrophilic species in lipid nano-emulsions. The method is based on the phase-inversion temperature method (the so-called PIT method), which follows a low-energy and solvent-free process. The nano-emulsions formed are stable for months, and exhibit droplet sizes ranging from 10 to 200 nm. Hydrophilic model molecules of fluorescein sodium salt are encapsulated in the oily core of these nano-emulsion droplets through their solubilisation in the reverse micellar system. As a result, original, multi-scaled nano-objects are generated with a 'hydrophilic molecule in a reverse-micelles-in-oil-in-water' structure. Once fluorescein has been encapsulated it remains stable, for thermodynamic reasons, and the encapsulation yields can reach 90%. The reason why such complex objects can be formed is due to the soft method used (PIT method) which allows the conservation of the structure of the reverse micelles throughout the formulation process, up to their entrapment in the nano-emulsion droplets. In this study, we focus the investigation on the process itself, revealing its potential and limits. Since the formulation of nanocarriers for the encapsulation of hydrophilic substances still remains a challenge, this study may constitute a significant advance in this field. Copyright 2010 Elsevier B.V. All rights reserved.
Hybrid Plasmonic Microring Nano-Ruler.
Du, Jing; Wang, Jian
2018-06-15
Surface plasmonic polariton (SPP) has attracted increasing interest for its ability of confining light in the subwavelength scale and breaking the diffraction limit. Recently, there have appeared several important developments of SPP applied in plasmon rulers, waveguides and resonators. By combing these concepts we present a novel hybrid plasmonic microring nano-ruler relying on the sensitive hybrid mode property and the microring resonator structure. The designed nano-ruler can measure distance in nanoscale resolution and offer adjustable sensitivity, which exceeds 14.8 as the distance is less than 5 nm by recording the transmission spectra and outstrips 200 dB/nm by observing the shift of output intensity. These demonstrations suggest that hybrid plasmonic microring nano-ruler could be a promising candidate enabling high-resoluation measurement.
Huang, Yifeng; Deng, Zexiang; Wang, Weiliang; Liang, Chaolun; She, Juncong; Deng, Shaozhi; Xu, Ningsheng
2015-01-01
Nano-scale vacuum channel transistors possess merits of higher cutoff frequency and greater gain power as compared with the conventional solid-state transistors. The improvement in cathode reliability is one of the major challenges to obtain high performance vacuum channel transistors. We report the experimental findings and the physical insight into the field induced crystalline-to-amorphous phase transformation on the surface of the Si nano-cathode. The crystalline Si tip apex deformed to amorphous structure at a low macroscopic field (0.6~1.65 V/nm) with an ultra-low emission current (1~10 pA). First-principle calculation suggests that the strong electrostatic force exerting on the electrons in the surface lattices would take the account for the field-induced atomic migration that result in an amorphization. The arsenic-dopant in the Si surface lattice would increase the inner stress as well as the electron density, leading to a lower amorphization field. Highly reliable Si nano-cathodes were obtained by employing diamond like carbon coating to enhance the electron emission and thus decrease the surface charge accumulation. The findings are crucial for developing highly reliable Si-based nano-scale vacuum channel transistors and have the significance for future Si nano-electronic devices with narrow separation. PMID:25994377
NASA Astrophysics Data System (ADS)
Zhang, Weidong; Pan, Feng; Li, Jinjun; Wang, Zhen; Ding, Wei; Qin, Yi; Wu, Feng
2018-06-01
Silica-supported highly dispersed cobalt oxides prepared by adsorption are likely to be poorly reducible Co-phyllosilicates or CoO species. Here we report the synthesis of silica-supported monodispersed spinel nano-Co3O4 catalysts by inner-sphere complexation using CoIII ammine hydroxo complexes as precursors. The precursors were facilely prepared by stirring ammoniacal CoII solutions exposed to air. The cobalt loadings (up to 188 mg/g) and particle sizes (3-10 nm) were tailored by successive complexation-calcination cycles. Such catalysts showed significantly superior reducibility and catalytic activity in complete propane oxidation in comparison to supported Co-phyllosilicates and CoO. A further development of this synthesis process may provide a variety of cobalt-based catalysts for important catalytic applications.
Performance on the Wechsler Adult Intelligence Scale-III in Japanese patients with schizophrenia.
Fujino, Haruo; Sumiyoshi, Chika; Sumiyoshi, Tomiki; Yasuda, Yuka; Yamamori, Hidenaga; Ohi, Kazutaka; Fujimoto, Michiko; Umeda-Yano, Satomi; Higuchi, Arisa; Hibi, Yumiko; Matsuura, Yukako; Hashimoto, Ryota; Takeda, Masatoshi; Imura, Osamu
2014-07-01
Patients with schizophrenia have been reported to perform worse than non-schizophrenic populations on neuropsychological tests, which may be affected by cultural factors. The aim of this study was to examine the performance of a sizable number of patients with schizophrenia on the Japanese version of the Wechsler Adult Intelligence Scale-III (WAIS-III) compared with healthy controls. Performance on the WAIS-III was evaluated in 157 Japanese patients with schizophrenia and in 264 healthy control subjects. All IQ scores and four indices from the WAIS-III were impaired for patients with schizophrenia compared with healthy controls. Processing Speed was markedly disturbed, approximately 2 SD below that of the healthy control group. Among the 13 subtests, Comprehension (z = -1.70, d = 1.55), Digit Symbol Coding (z = -1.84, d = 1.88), and Symbol Search (z = -1.85, d = 1.77) were profoundly impaired relative to the healthy controls. These results indicate that the pattern and degree of impairment, as evaluated by the WAIS-III, in Japanese patients are similar to those previously reported in English-speaking patients and that the deficits of some neuropsychological domains relevant to functional outcomes are universally characteristic of schizophrenia. © 2014 The Authors. Psychiatry and Clinical Neurosciences © 2014 Japanese Society of Psychiatry and Neurology.
NASA Astrophysics Data System (ADS)
Schlichting, Wolfgang; Stevens, Kevin; Foundos, Greg; Payne, Alexis
2017-10-01
Many scientific lasers and increasingly industrial laser systems operate in <500W and kW output power regime, require high-performance optical isolators to prevent disruptive light feedback into the laser cavity. The optically active Faraday material is the key optical element inside the isolator. SYNOPTICS has been supplying the laser market with Terbium Gallium Garnet (TGG - Tb3Ga5O12) for many years. It is the most commonly used material for the 650-1100nm range and the key advantages for TGG include its cubic crystal structure for alignment free processing, little to no intrinsic birefringence, and ease of manufacture. However, for high-power laser applications TGG is limited by its absorption at 1064nm and its thermo-optic coefficient, dn/dT. Specifically, thermal lensing and depolarization effects become a limiting factor at high laser powers. While TGG absorption has improved significantly over the past few years, there is an intrinsic limit. Now, SYNOPTICS is commercializing the enhanced new crystal Potassium Terbium Fluoride KTF (KTb3F10) that exhibits much smaller nonlinear refractive index and thermo-optic coefficients, and still exhibits a Verdet constant near that of TGG. This cubic crystal has relatively low absorption and thermo-optic coefficients. It is now fully characterized and available for select production orders. At OPTIFAB in October 2017 we present recent results comparing the performance of KTF to TGG in optical isolators and show SYNOPTICS advances in large volume crystal growth and the production ramp up.
Comparison of WAIS-III Short Forms for Measuring Index and Full-Scale Scores
ERIC Educational Resources Information Center
Girard, Todd A.; Axelrod, Bradley N.; Wilkins, Leanne K.
2010-01-01
This investigation assessed the ability of the Wechsler Adult Intelligence Scale-Third Edition (WAIS-III) short forms to estimate both index and IQ scores in a large, mixed clinical sample (N = 809). More specifically, a commonly used modification of Ward's seven-subtest short form (SF7-A), a recently proposed index-based SF7-C and eight-subtest…
Micro- and Nano-Scale Fabrication of Fluorinated Polymers by Direct Etching Using Focused Ion Beam
NASA Astrophysics Data System (ADS)
Fukutake, Naoyuki; Miyoshi, Nozomi; Takasawa, Yuya; Urakawa, Tatsuya; Gowa, Tomoko; Okamoto, Kazumasa; Oshima, Akihiro; Tagawa, Seiichi; Washio, Masakazu
2010-06-01
Micro- and nano-scale fabrications of various fluorinated polymers were demonstrated by direct maskless etching using a focused ion beam (FIB). The etching rates of perfluorinated polymers, such as poly(tetrafluoroethylene) (PTFE), poly(tetrafluoroethylene-co-hexafluoropropylene) (FEP), poly(tetrafluoroethylene-co-perfluoroalkoxyvinylether) (PFA), were about 500-1000 times higher than those of partially fluorinated polymers, such as poly(tetrafluoroethylene-co-ethylene) (ETFE) and poly(vinilydene-fluoride) (PVdF). Controlled high quality and high aspect-ratio nanostructures of spin-coated cross-linked PTFE were obtained without solid debris. The height and diameter of the fibers were about 1.5 µm and 90 nm, respectively. Their aspect ratio was about 17.
Micro- and Nano-Scale Fabrication of Fluorinated Polymers by Direct Etching Using Focused Ion Beam
NASA Astrophysics Data System (ADS)
Naoyuki Fukutake,; Nozomi Miyoshi,; Yuya Takasawa,; Tatsuya Urakawa,; Tomoko Gowa,; Kazumasa Okamoto,; Akihiro Oshima,; Seiichi Tagawa,; Masakazu Washio,
2010-06-01
Micro- and nano-scale fabrications of various fluorinated polymers were demonstrated by direct maskless etching using a focused ion beam (FIB). The etching rates of perfluorinated polymers, such as poly(tetrafluoroethylene) (PTFE), poly(tetrafluoroethylene-co-hexafluoropropylene) (FEP), poly(tetrafluoroethylene-co-perfluoroalkoxyvinylether) (PFA), were about 500-1000 times higher than those of partially fluorinated polymers, such as poly(tetrafluoroethylene-co-ethylene) (ETFE) and poly(vinilydene-fluoride) (PVdF). Controlled high quality and high aspect-ratio nanostructures of spin-coated cross-linked PTFE were obtained without solid debris. The height and diameter of the fibers were about 1.5 μm and 90 nm, respectively. Their aspect ratio was about 17.
Nano-structured surface plasmon resonance sensor for sensitivity enhancement
NASA Astrophysics Data System (ADS)
Kim, Jae-Ho; Kim, Hyo-Sop; Kim, Jin-Ho; Choi, Sung-Wook; Cho, Yong-Jin
2008-08-01
A new nano-structured SPR sensor was devised to improve its sensitivity. Nano-scaled silica particles were used as the template to fabricate nano-structure. The surface of the silica particles was modified with thiol group and a single layer of the modified silica particles was attached on the gold or silver thin film using Langmuir-Blodgett (LB) method. Thereafter, gold or silver was coated on the template by an e-beam evaporator. Finally, the nano-structured surface with basin-like shape was obtained after removing the silica particles by sonication. Applying the new developed SPR sensor to a model food of alcoholic beverage, the sensitivities for the gold and silver nano-structured sensors, respectively, had 95% and 126% higher than the conventional one.
ERIC Educational Resources Information Center
Hopwood, Christopher J.; Richard, David C. S.
2005-01-01
Research on the Wechsler Adult Intelligence Scale-Revised and Wechsler Adult Intelligence Scale-Third Edition (WAIS-III) suggests that practicing clinical psychologists and graduate students make item-level scoring errors that affect IQ, index, and subtest scores. Studies have been limited in that Full-Scale IQ (FSIQ) and examiner administration,…
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chen, K.; Meng, W. J.; Mei, F.
2011-02-01
A single crystal Al specimen was molded at room temperature with long, rectangular, strip diamond punches. Quantitative molding response curves were obtained at a series of punch widths, ranging from 5 {micro}m to 550 nm. A significant size effect was observed, manifesting itself in terms of significantly increasing characteristic molding pressure as the punch width decreases to 1.5 {micro}m and below. A detailed comparison of the present strip punch molding results was made with Berkovich pyramidal indentation on the same single crystal Al specimen. The comparison reveals distinctly different dependence of the characteristic pressure on corresponding characteristic length. The presentmore » results show the feasibility of micro-/nano-scale compression molding as a micro-/nano-fabrication technique, and offer an experimental test case for size-dependent plasticity theories.« less
NASA Astrophysics Data System (ADS)
Lee, El-Hang; Lee, Seung-Gol; O, Beom Hoan; Park, Se Geun
2004-08-01
Scientific and technological issues and considerations regarding the integration of miniaturized microphotonic devices, circuits and systems in micron, submicron, and quantum scale, are presented. First, we examine the issues regarding the miniaturization of photonic devices including the size effect, proximity effect, energy confinement effect, microcavity effect, optical and quantum interference effect, high field effect, nonlinear effect, noise effect, quantum optical effect, and chaotic effect. Secondly, we examine the issues regarding the interconnection including the optical alignment, minimizing the interconnection losses, and maintaining optical modes. Thirdly, we address the issues regarding the two-dimensional or three-dimensional integration either in a hybrid format or in a monolithic format between active devices and passive devices of varying functions. We find that the concept of optical printed circuit board (O-PCB) that we propose is highly attractive as a platform for micro/nano/quantum-scale photonic integration. We examine the technological issues to be addressed in the process of fabrication, characterization, and packaging for actual implementation of the miniaturization, interconnection and integration. Devices that we have used for our study include: mode conversion schemes, micro-ring and micro-racetrack resonator devices, multimode interference devices, lasers, vertical cavity surface emitting microlasers, and their arrays. Future prospects are also discussed.
The potential phototoxicity of nano-scale ZnO induced by visible light on freshwater ecosystems.
Du, Jingjing; Qv, Mingxiang; Zhang, Yuyan; Yin, Xiaoyun; Wan, Ning; Zhang, Baozhong; Zhang, Hongzhong
2018-06-06
With the development of nanotechnology, nanomaterials have been widely applied in anti-bacterial coating, electronic device, and personal care products. NanoZnO is one of the most used materials and its ecotoxicity has been extensively studied. To explore the potential phototoxicity of nanoZnO induced by visible light, we conducted a long-term experiment on litter decomposition of Typha angustifolia leaves with assessment of fungal multifaceted natures. After 158 d exposure, the decomposition rate of leaf litter was decreased by nanoZnO but no additional effect by visible light. However, visible light enhanced the inhibitory effect of nanoZnO on fungal sporulation rate due to light-induced dissolution of nanoZnO. On the contrary, enzymes such as β-glucosidase, cellobiohydrolase, and leucine-aminopeptidase were significantly increased by the interaction of nanoZnO and visible light, which led to high efficiency of leaf carbon decomposition. Furthermore, different treatments and exposure time separated fungal community associated with litter decomposition. Therefore, the study provided the evidence of the contribution of visible light to nanoparticle phototoxicity at the ecosystem level. Copyright © 2018 Elsevier Ltd. All rights reserved.
Quantum Control of Light and Matter: From the Macroscopic to the Nano Scale
2016-02-02
navigation, and hybrid bio -graphene devices, incorporating enzymes positioned on graphene, for light-driven bio -fuel production with controlled...enzymatic rates. 15. SUBJECT TERMS Light-matter interactions; Quantum control; Slow light; Bose-Einstein condensates; Nano-science; Hybrid bio -nano...precise navigation. They also include hybrid bio -graphene devices incorporating enzymes positioned on graphene for dynamic control of enzymatic
DOE Office of Scientific and Technical Information (OSTI.GOV)
Allen, M J; Rudd, R E; McElfresh, M W
Nano-scale mechanical forces generated by motor proteins are crucial to normal cellular and organismal functioning. The ability to measure and exploit such forces would be important to developing motile biomimetic nanodevices powered by biological motors for Nanomedicine. Axonemal dynein motors positioned inside the sperm flagellum drive microtubule sliding giving rise to rhythmic beating of the flagellum. This force-generating action makes it possible for the sperm cell to move through viscous media. Here we report new nano-scale information on how the propulsive force is generated by the sperm flagellum and how this force varies over time. Single cell recordings reveal discretemore » {approx}50 ms pulses oscillating with amplitude 9.8 {+-} 2.6 nN independent of pulse frequency (3.5-19.5 Hz). The average work carried out by each cell is 4.6 x 10{sup -16} J per pulse, equivalent to the hydrolysis of {approx}5,500 ATP molecules. The mechanochemical coupling at each active dynein head is {approx}2.2 pN/ATP, and {approx}3.9 pN per dynein arm, in agreement with previously published values obtained using different methods.« less
Performance of concrete incorporating colloidal nano-silica
NASA Astrophysics Data System (ADS)
Zeidan, Mohamed Sabry
Nanotechnology, as one of the most modern fields of science, has great market potential and economic impact. The need for research in the field of nanotechnology is continuously on the rise. During the last few decades, nanotechnology was developing rapidly into many fields of applied sciences, engineering and industrial applications, especially through studies of physics, chemistry, medicine and fundamental material science. These new developments may be attributed to the fact that material properties and performance can be significantly improved and controlled through nano-scale processes and nano-structures. This research program aims at 1) further understanding the behavior of cementitious materials when amended on the nano-scale level and 2) exploring the effect of this enhancement on the microstructure of cement matrix. This study may be considered as an important step towards better understanding the use of nano-silica in concrete. The main goal of the study is to investigate the effect of using colloidal nano-silica on properties of concrete, including mechanical properties, durability, transport properties, and microstructure. The experimental program that was conducted included a laboratory investigation of concrete mixtures in which nano-silica was added to cement or to a combination of cement and Class F fly ash. Various ratios of nano-silica were used in concrete mixtures to examine the extent and types of improvements that could be imparted to concrete. The conducted experimental program assessed these improvements in terms of reactivity, mechanical properties, and durability of the mixtures under investigation. Advanced testing techniques---including mercury intrusion porosimetry (MIP) and scanning electron microscopy (SEM)---were used to investigate the effect of nano-silica on the microstructure of the tested mixtures. In addition, the effect of nano-silica on the alkali-silica reaction (ASR) was examined using various techniques, including testing
Aramesh-Boroujeni, Zahra; Bordbar, Abdol-Khalegh; Khorasani-Motlagh, Mozhgan; Sattarinezhad, Elham; Fani, Najme; Noroozifar, Meissam
2018-05-18
In this work, the terbium(III), dysprosium(III), and ytterbium(III) complexes containing 2, 2'-bipyridine (bpy) ligand have been synthesized and characterized using CHN elemental analysis, FT-IR, UV-Vis and 1 H-NMR techniques and their binding behavior with human serum albumin (HSA) was studied by UV-Vis, fluorescence and molecular docking examinations. The experimental data indicated that all three lanthanide complexes have high binding affinity to HSA with effective quenching of HSA fluorescence via static mechanism. The binding parameters, the type of interaction, the value of resonance energy transfer, and the binding distance between complexes and HSA were estimated from the analysis of fluorescence measurements and Förster theory. The thermodynamic parameters suggested that van der Waals interactions and hydrogen bonds play an important role in the binding mechanism. While, the energy transfer from HSA molecules to all these complexes occurs with high probability, the order of binding constants (BpyTb > BpyDy > BpyYb) represents the importance of radius of Ln 3+ ion in the complex-HSA interaction. The results of molecular docking calculation and competitive experiments assessed site 3 of HSA, located in subdomain IB, as the most probable binding site for these ligands and also indicated the microenvironment residues around the bound mentioned complexes. The computational results kept in good agreement with experimental data.
Nano-imaging enabled via self-assembly
McLeod, Euan; Ozcan, Aydogan
2014-01-01
SUMMARY Imaging object details with length scales below approximately 200 nm has been historically difficult for conventional microscope objective lenses because of their inability to resolve features smaller than one-half the optical wavelength. Here we review some of the recent approaches to surpass this limit by harnessing self-assembly as a fabrication mechanism. Self-assembly can be used to form individual nano- and micro-lenses, as well as to form extended arrays of such lenses. These lenses have been shown to enable imaging with resolutions as small as 50 nm half-pitch using visible light, which is well below the Abbe diffraction limit. Furthermore, self-assembled nano-lenses can be used to boost contrast and signal levels from small nano-particles, enabling them to be detected relative to background noise. Finally, alternative nano-imaging applications of self-assembly are discussed, including three-dimensional imaging, enhanced coupling from light-emitting diodes, and the fabrication of contrast agents such as quantum dots and nanoparticles. PMID:25506387
Amini, Abbas; Cheng, Chun; Naebe, Minoo; Church, Jeffrey S; Hameed, Nishar; Asgari, Alireza; Will, Frank
2013-07-21
The detection and control of the temperature variation at the nano-scale level of thermo-mechanical materials during a compression process have been challenging issues. In this paper, an empirical method is proposed to predict the temperature at the nano-scale level during the solid-state phase transition phenomenon in NiTi shape memory alloys. Isothermal data was used as a reference to determine the temperature change at different loading rates. The temperature of the phase transformed zone underneath the tip increased by ∼3 to 40 °C as the loading rate increased. The temperature approached a constant with further increase in indentation depth. A few layers of graphene were used to enhance the cooling process at different loading rates. Due to the presence of graphene layers the temperature beneath the tip decreased by a further ∼3 to 10 °C depending on the loading rate. Compared with highly polished NiTi, deeper indentation depths were also observed during the solid-state phase transition, especially at the rate dependent zones. Larger superelastic deformations confirmed that the latent heat transfer through the deposited graphene layers allowed a larger phase transition volume and, therefore, more stress relaxation and penetration depth.
Ning, Yingying; Ke, Xian-Sheng; Hu, Ji-Yun; Liu, Yi-Wei; Ma, Fang; Sun, Hao-Ling; Zhang, Jun-Long
2017-02-20
"Configurational isomerism" is an important approach found in naturally occurring chlorophylls to modulate light harvesting function without significant structural changes; however, this feature has been seldom applied in design of antenna ligands for lanthanide (Ln) sensitization. In this work, we introduced a bioinspired approach by orientation of β-dilactone moieties on porphyrinates, namely cis-/trans-porphodilactones, to modulate the energy transfer process from the lowest triplet excited state of the ligand (T 1 ) to the emitting level of ytterbium(III) ( 2 F 5/2 , Yb*). Interestingly, near-infrared (NIR) emission of Yb(III) could be switched "on" by the cis-porphodilactone ligand, while the trans-isomer renders Yb(III) emission "off" and the ratio of quantum yields is ∼8. Analysis of the structure-photophysical properties relationship suggests that the significant emission difference is correlated to the energy gaps between T 1 and Yb* (1152 cm -1 in the cis- vs -25 cm -1 in the trans-isomer). More interestingly, due to back energy transfer (BEnT), the Yb(III) complex of cis-porphodilactone exhibits NIR emission with high thermosensitivity (4.0%°C -1 in solution and 4.9%°C -1 in solid state), comparable to previously reported terbium (Tb) and europium (Eu) visible emitters, in contrast to the trivial emission changes of the trans-isomer and porphyrin and porpholactone analogues. This work opens up new access to design NIR emissive Ln complexes by bioinspired modification of antenna ligands.
Nano-scale synthesis of the complex silicate minerals forsterite and enstatite
Anovitz, Lawrence M.; Rondinone, Adam Justin; Sochalski-Kolbus, Lindsay; ...
2017-01-18
Olivine is a relatively common family of silicate minerals in many terrestrial and extraterrestrial environments, and is also useful as a refractory ceramic. A capability to synthesize fine particles of olivine will enable additional studies on surface reactivity under geologically relevant conditions. This paper presents a method for the synthesis of nanocrystalline samples of the magnesium end-member, forsterite (Mg 2SiO 4) in relatively large batches (15–20 g) using a sol-gel/surfactant approach. Magnesium methoxide and tetraethylorthosilicate (TEOS) are refluxed in a toluene/methanol mixture using dodecylamine as a surfactant and tert-butyl amine and water as hydrolysis agents. This material is then cleanedmore » and dried, and fired at 800 °C. Post-firing reaction in hydrogen peroxide was used to remove residual organic surfactant. X-ray diffraction showed that a pure material resulted, with a BET surface area of up to 76.6 m 2/g. Finally, the results of a preliminary attempt to use this approach to synthesize nano-scale orthopyroxene (MgSiO 3) are also reported.« less
NASA Astrophysics Data System (ADS)
Kostopoulos, Vassilis; Vavouliotis, Antonios; Baltopoulos, Athanasios; Sotiririadis, George; Masouras, Athanasios; Pambaguian, Laurent
2014-06-01
The past decade, extensive efforts have been invested in understanding the nano-scale and revealing the capabilities offered by nanotechnology products to structural materials. Nevertheless, a major issue faced lately more seriously due to the interest of industry is on how to incorporate these nano-species into the final composite structure through existing manufacturing processes and infrastructure. In this work, we present the experience obtained from the latest nanotechnology research activities supported by ESA. The paper focuses on prepreg composite manufacturing technology and addresses:- Approaches for nano-enabling of composites- Up-scaling strategies towards final structures- Latest results on performance of nano-enabledfiber reinforced compositesSeveral approaches for the utilization of nanotechnology products in structural composite structures have been proposed and are reviewed, in short along with respective achieved results. A variety of nano-fillers has been proposed and employed, individually or in combination in hybrid forms, to approach the desired performance. A major part of the work deals with the up-scaling routes of these technologies to reach final products and industrial scales and processes while meeting end-user performance.
Bhardwaj, Neha; Bhardwaj, Sanjeev; Mehta, Jyotsana; Kim, Ki-Hyun; Deep, Akash
2016-12-15
The sensitive detection of dipicolinic acid (DPA) is strongly associated with the sensing of bacterial organisms in food and many types of environmental samples. To date, the demand for a sensitive detection method for bacterial toxicity has increased remarkably. Herein, we investigated the DPA detection potential of a water-dispersible terbium-metal organic framework (Tb-MOF) based on the fluorescence quenching mechanism. The Tb-MOF showed a highly sensitive ability to detect DPA at a limit of detection of 0.04nM (linear range of detection: 1nM to 5µM) and also offered enhanced selectivity from other commonly associated organic molecules. The present study provides a basis for the application of Tb-MOF for direct, convenient, highly sensitive, and specific detection of DPA in the actual samples. Copyright © 2016 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Subramanian, S. V.; Ma, Xiaoping; Rehman, Kashif
There is a growing demand for thicker gage pipes particularly for off-shore projects. Austenite grain size control in upstream processing before pancaking is essential to obtain excellent DBTT and DWTT properties in thicker gage product. This paper examines the basic science aspects of austenite grain size control by nano-scale precipitate engineering.
Fracture and Failure in Micro- and Nano-Scale
NASA Astrophysics Data System (ADS)
Charitidis, Costas A.
Indentation and scratch in micro- and nano-scale are the most commonly used techniques for quantifying thin film and systems properties. Among them are different failure modes such as deformation, friction, fracture toughness, fatigue. Failure modes can be activated either by a cycle of indentation or by scratching of the samples to provide an estimation of the fracture toughness and interfacial fracture energies. In the present study, we report on the failure and fracture modes in two cases of engineering materials; that is transparent SiOx thin films onto poly(ethylene terephthalate) (PET) membranes and glass-ceramic materials. The SiOx/PET system meets the demands regarding scratch-resistance, wettability, biocompatibility, gas transmission, or friction, while maintaining the bulk characteristics of PET (such as easy processing, good mechanical properties, reasonably low permeability to oxygen and carbon dioxide gases (barrier properties), and good chemical coupling with antibacterial coatings). Glass-ceramic materials, since their first accidental production in the mid fifties by S.D. Stookey, have been used in a vast area of applications, from household cooktops and stoves, to missile nose cones and mirror mounts of orbital telescopes and from decorative wall coverings to medical applications. The fracture modes, namely transgranular and intergranular modes in glass-ceramic materials have paid less attention in literature comparing with ceramic materials. In the former case the crack paves its way irrespectively of the direction of the grain boundaries, i.e., the interfaces between the different phases. In the latter case the crack preferentially follows them, i.e., debonds the interfaces.
Spiders spinning electrically charged nano-fibres
Kronenberger, Katrin; Vollrath, Fritz
2015-01-01
Most spider threads are on the micrometre and sub-micrometre scale. Yet, there are some spiders that spin true nano-scale fibres such as the cribellate orb spider, Uloborus plumipes. Here, we analyse the highly specialized capture silk-spinning system of this spider and compare it with the silk extrusion systems of the more standard spider dragline threads. The cribellar silk extrusion system consists of tiny, morphologically basic glands each terminating through exceptionally long and narrow ducts in uniquely shaped silk outlets. Depending on spider size, hundreds to thousands of these outlet spigots cover the cribellum, a phylogenetically ancient spinning plate. We present details on the unique functional design of the cribellate gland–duct–spigot system and discuss design requirements for its specialist fibrils. The spinning of fibres on the nano-scale seems to have been facilitated by the evolution of a highly specialist way of direct spinning, which differs from the aqua-melt silk extrusion set-up more typical for other spiders. PMID:25631231
Application of nonlocal models to nano beams. Part II: Thickness length scale effect.
Kim, Jun-Sik
2014-10-01
Applicability of nonlocal models to nano-beams is discussed in terms of the Eringen's nonlocal Euler-Bernoulli (EB) beam model. In literature, most work has taken the axial coordinate derivative in the Laplacian operator presented in nonlocal elasticity. This causes that the non-locality always makes the beam soften as compared to the local counterpart. In this paper, the thickness scale effect is solely considered to investigate if the nonlocal model can simulate stiffening effect. Taking the thickness derivative in the Laplacian operator leads to the presence of a surface stress state. The governing equation derived is compared to that of the EB model with the surface stress. The results obtained reveal that the nonlocality tends to decrease the bending moment stiffness whereas to increase the bending rigidity in the governing equation. This tendency also depends on the surface conditions.
Nano-scale Stripe Structures on FeTe Observed by Low-temperature STM/STS
NASA Astrophysics Data System (ADS)
Sugimoto, A.; Ukita, R.; Ekino, T.
We have investigated the nano-scale stripe structures on a parent compound of the iron chalcogenide superconductor Fe1+dTe (d=0.033) by using low-temperature scanning tunneling microscopy (STM). The STM topographies and the dI/dV maps show clear stripe structures with the period of twice as large as the Te-Te atomic displacement (~0.76 nm = 2a0, a0 is lattice constant), in addition to weak modulation with the same period of lattice constant (~0.38 nm). The bias-voltage dependence of both STM topographies and dI/dV maps show the several kinds of the stripe structures. The 2a0 modulations are similar to the bicollinear spin order of the parent compound FeTe, indicating the possibility of the coupling with spin density wave and electronic structures.
Burakevych, Nataliia; Mckinlay, Christopher Joel Dorman; Alsweiler, Jane Marie; Wouldes, Trecia Ann; Harding, Jane Elizabeth
2017-02-01
To determine whether Bayley Scales of Infant and Toddler Development (3rd edition) (Bayley-III) motor scores and neurological examination at 2 years corrected age predict motor difficulties at 4.5 years corrected age. A prospective cohort study of children born at risk of neonatal hypoglycaemia in Waikato Hospital, Hamilton, New Zealand. Assessment at 2 years was performed using the Bayley-III motor scale and neurological examination, and at 4.5 years using the Movement Assessment Battery for Children (2nd edition) (MABC-2). Of 333 children, 8 (2%) had Bayley-III motor scores below 85, and 50 (15%) had minor deficits on neurological assessment at 2 years; 89 (27%) scored less than or equal to the 15th centile, and 54 (16%) less than or equal to the 5th centile on MABC-2 at 4.5 years. Motor score, fine and gross motor subtest scores, and neurological assessments at 2 years were poorly predictive of motor difficulties at 4.5 years, explaining 0 to 7% of variance in MABC-2 scores. A Bayley-III motor score below 85 predicted MABC-2 scores less than or equal to the 15th centile with a positive predictive value of 30% and a negative predictive value of 74% (7% sensitivity and 94% specificity). Bayley-III motor scale and neurological examination at 2 years were poorly predictive of motor difficulties at 4.5 years. © 2016 Mac Keith Press.
Burakevych, Nataliia; Mckinlay, Christopher Joel Dorman; Alsweiler, Jane Marie; Wouldes, Trecia An; Harding, Jane Elizabeth
2016-01-01
Aim To determine whether Bayley Scales of Infant and Toddler Development (3rd edition) (Bayley-III) motor scores and neurological examination at 2 years' corrected age predict motor difficulties at 4.5 years' corrected age. Method A prospective cohort study of children born at risk of neonatal hypoglycaemia in Waikato Hospital, Hamilton, New Zealand. Assessment at 2 years was performed using the Bayley-III motor scale and neurological examination, and at 4.5 years using the Movement Assessment Battery for Children (2nd edition) (MABC-2). Results Of 333 children, 8 (2%) had Bayley-III motor scores below 85, and 50 (15%) had minor deficits on neurological assessment at 2 years; 89 (27%) scored less than or equal to the 15th centile, and 54 (16%) less than or equal to the 5th centile on MABC-2 at 4.5 years. Motor score, fine and gross motor subtest scores, and neurological assessments at 2 years were poorly predictive of motor difficulties at 4.5 years, explaining 0 to 7% of variance in MABC-2 scores. A Bayley-III motor score below 85 predicted MABC-2 scores less than or equal to the 15th centile with a positive predictive value of 30% and a negative predictive value of 74% (7% sensitivity and 94% specificity). Interpretation Bayley-III motor scale and neurological examination at 2 years were poorly predictive of motor difficulties at 4.5 years. PMID:27543144
Liaparinos, P F
2015-11-21
X-ray phosphor-based detectors have enormously improved the quality of medical imaging examinations through the optimization of optical diffusion. In recent years, with the development of science and technology in the field of materials, improved powder phosphors require structural and optical properties that contribute to better optical signal propagation. The purpose of this paper was to provide a quantitative and qualitative understanding of the optical absorption characteristics in the assessment of powder phosphor-based detectors (from nano- scale up to micro-scale). Variations on the optical absorption parameters (i.e. the light extinction coefficient [Formula: see text] and the percentage probability of light absorption p%) were evaluated based on Mie calculations examining a wide range of light wavelengths, particle refractive indices and sizes. To model and assess the effects of the aforementioned parameters on optical diffusion, Monte Carlo simulation techniques were employed considering: (i) phosphors of different layer thickness, 100 μm (thin layer) and 300 μm (thick layer), respectively, (ii) light extinction coefficient values, 1, 3 and 6 μm(-1), and (iii) percentage probability of light absorption p% in the range 10(-4)-10(-2). Results showed that the [Formula: see text] coefficient is high for phosphor grains in the submicron scale and for low light wavelengths. At higher wavelengths (above 650 nm), optical quanta follow approximately similar depths until interaction for grain diameter 500 nm and 1 μm. Regarding the variability of the refractive index, high variations of the [Formula: see text] coefficient occurred above 1.6. Furthermore, results derived from Monte Carlo modeling showed that high spatial resolution phosphors can be accomplished by increasing the [Formula: see text] parameter. More specifically, the FWHM was found to decrease (i.e. higher resolution): (i) 4.8% at 100 μm and (ii) 9.5%, at 300 μm layer thickness. This study
Multi-scale predictive modeling of nano-material and realistic electron devices
NASA Astrophysics Data System (ADS)
Palaria, Amritanshu
Among the challenges faced in further miniaturization of electronic devices, heavy influence of the detailed atomic configuration of the material(s) involved, which often differs significantly from that of the bulk material(s), is prominent. Device design has therefore become highly interrelated with material engineering at the atomic level. This thesis aims at outlining, with examples, a multi-scale simulation procedure that allows one to integrate material and device aspects of nano-electronic design to predict behavior of novel devices with novel material. This is followed in four parts: (1) An approach that combines a higher time scale reactive force field analysis with density functional theory to predict structure of new material is demonstrated for the first time for nanowires. Novel stable structures for very small diameter silicon nanowires are predicted. (2) Density functional theory is used to show that the new nanowire structures derived in 1 above have properties different from diamond core wires even though the surface bonds in some may be similar to the surface of bulk silicon. (3) Electronic structure of relatively large-scale germanium sections of realistically strained Si/strained Ge/ strained Si nanowire heterostructures is computed using empirical tight binding and it is shown that the average non-homogeneous strain in these structures drives their interesting non-conventional electronic characteristics such as hole effective masses which decrease as the wire cross-section is reduced. (4) It is shown that tight binding, though empirical in nature, is not necessarily limited to the material and atomic structure for which the parameters have been empirically derived, but that simple changes may adapt the derived parameters to new bond environments. Si (100) surface electronic structure is obtained from bulk Si parameters.
NASA Astrophysics Data System (ADS)
Al-Khodir, Fatima A. I.; Refat, Moamen S.
2016-09-01
Four Ca(II), Fe(III), Pd(II) and Au(III) complexes of chloramphenicol drug have been synthesized and well characterized using elemental analyses, (infrared, electronic, and 1H-NMR) spectra, magnetic susceptibility measurement, and thermal analyses. Infrared spectral data show that the chloramphenicol drug coordinated to Ca(II), Pd(II) and Au(III) metal ions through two hydroxyl groups with 1:1 or 1:2 M ratios, but Fe(III) ions chelated towards chloramphenicol drug via the oxygen and nitrogen atoms of amide group with 1:2 ratio based on presence of keto↔enol form. The X-ray powder diffraction (XRD), scanning electron microscope (SEM) and transmission electron microscopy (TEM) techniques were used to identify the nano-size particles of both iron(III) and gold(III) chloramphenicol complexes. The antimicrobial assessments of the chloramphenicol complexes were scanned and collected the results against of some kind of bacteria and fungi. The cytotoxic activity of the gold(III) complex was tested against the human colon carcinoma (HCT-116) and human hepatocellular carcinoma (HepG-2) tumor cell lines.
Magnetic study of the low temperature anomalies in the magnetodielectric terbium iron garnet
NASA Astrophysics Data System (ADS)
Lahoubi, Mahieddine
2018-05-01
The anomalous magnetic properties at low temperatures of terbium iron garnet (TbIG) are analyzed and summarized using neutron powder diffraction (NPD) experiments together with high field magnetization, magnetostriction and specific heat measurements performed on single crystals. Reliable information at both microscopic and macroscopic levels is provided about the significant change of the double umbrella structure observed in the NPD results near 54 K. The positions of the observed maxima at 55-65 K in the paraprocess magnetic susceptibility along the three mean directions and paraprocess of the forced magnetostriction along the easy axis of magnetization 〈111〉 agree with the manifestations of the "low-temperature point" TB predicted by Belov at 58 K. However, the pronounced maximum at 57 K in the excess of specific heat in zero magnetic fields reveals that the Schottky effect causes anomaly at temperature close the TB point. The results are discussed and compared with previous magnetic, magneto-optical and magnetodielectric reports.
DeAngelis, Lisa M.; Brandes, Alba A.; Peereboom, David M.; Galanis, Evanthia; Lin, Nancy U.; Soffietti, Riccardo; Macdonald, David R.; Chamberlain, Marc; Perry, James; Jaeckle, Kurt; Mehta, Minesh; Stupp, Roger; Muzikansky, Alona; Pentsova, Elena; Cloughesy, Timothy; Iwamoto, Fabio M.; Tonn, Joerg-Christian; Vogelbaum, Michael A.; Wen, Patrick Y.; van den Bent, Martin J.; Reardon, David A.
2017-01-01
Abstract Background. The Macdonald criteria and the Response Assessment in Neuro-Oncology (RANO) criteria define radiologic parameters to classify therapeutic outcome among patients with malignant glioma and specify that clinical status must be incorporated and prioritized for overall assessment. But neither provides specific parameters to do so. We hypothesized that a standardized metric to measure neurologic function will permit more effective overall response assessment in neuro-oncology. Methods. An international group of physicians including neurologists, medical oncologists, radiation oncologists, and neurosurgeons with expertise in neuro-oncology drafted the Neurologic Assessment in Neuro-Oncology (NANO) scale as an objective and quantifiable metric of neurologic function evaluable during a routine office examination. The scale was subsequently tested in a multicenter study to determine its overall reliability, inter-observer variability, and feasibility. Results. The NANO scale is a quantifiable evaluation of 9 relevant neurologic domains based on direct observation and testing conducted during routine office visits. The score defines overall response criteria. A prospective, multinational study noted a >90% inter-observer agreement rate with kappa statistic ranging from 0.35 to 0.83 (fair to almost perfect agreement), and a median assessment time of 4 minutes (interquartile range, 3–5). Conclusion. The NANO scale provides an objective clinician-reported outcome of neurologic function with high inter-observer agreement. It is designed to combine with radiographic assessment to provide an overall assessment of outcome for neuro-oncology patients in clinical trials and in daily practice. Furthermore, it complements existing patient-reported outcomes and cognition testing to combine for a global clinical outcome assessment of well-being among brain tumor patients. PMID:28453751
Terbium-Aspartic Acid Nanocrystals with Chirality-Dependent Tunable Fluorescent Properties.
Ma, Baojin; Wu, Yu; Zhang, Shan; Wang, Shicai; Qiu, Jichuan; Zhao, Lili; Guo, Daidong; Duan, Jiazhi; Sang, Yuanhua; Li, Linlin; Jiang, Huaidong; Liu, Hong
2017-02-28
Terbium-aspartic acid (Tb-Asp) nanocrystals with chirality-dependent tunable fluorescent properties can be synthesized through a facile synthesis method through the coordination between Tb and Asp. Asp with different chirality (dextrorotation/d and levogyration/l) changes the stability of the coordination center following fluorescent absorption/emission ability differences. Compared with l-Asp, d-Asp can coordinate Tb to form a more stable center, following the higher quantum yield and longer fluorescence life. Fluorescence intensity of Tb-Asp linearly increases with increase ratio of d-Asp in the mixed chirality Tb-Asp system, and the fluorescent properties of Tb-Asp nanocrystals can be tuned by adjusting the chirality ratio. Tb-Asp nanocrystals possess many advantage, such as high biocompatibility, without any color in visible light irradiation, monodispersion with very small size, and long fluorescent life. Those characteristics will give them great potential in many application fields, such as low-cost antifake markers and advertisements using inkjet printers or for molds when dispersed in polydimethylsiloxane. In addition, europium can also be used to synthesize Eu-Asp nanoparticles. Importantly, the facile, low-cost, high-yield, mass-productive "green" process provides enormous advantages for synthesis and application of fluorescent nanocrystals, which will have great impact in nanomaterial technology.
Pinning in high performance MgB2 thin films and bulks: Role of Mg-B-O nano-scale inhomogeneities
NASA Astrophysics Data System (ADS)
Prikhna, Tatiana; Shapovalov, Andrey; Eisterer, Michael; Shaternik, Vladimir; Goldacker, Wilfried; Weber, Harald W.; Moshchil, Viktor; Kozyrev, Artem; Sverdun, Vladimir; Boutko, Viktor; Grechnev, Gennadiy; Gusev, Alexandr; Kovylaev, Valeriy; Shaternik, Anton
2017-02-01
The comparison of nano-crystalline MgB2 oxygen-containing thin film (140 nm) and highly dense bulk materials showed that the critical current density, Jc, depends on the distribution of Mg-B-O nano-scale inhomogeneities. It has been shown that MgB2 bulks with high Jc in low (∼106 A/cm2 in 0-1 T at 10 K) and medium magnetic fields contain MgB0.6-0.8O0.8-0.9 nano-inclusions, where δTc or a combined δTc (dominant) / δl pinning mechanism prevails, while in bulk MgB2 with high Jc in high magnetic fields (Birr(18.5 K) = 15 T, Bc2(0 K) = 42.1 T) MgB1.2-2.7O1.8-2.5 nano-layers are present and δl pinning prevails. The structure of oxygen-containing films with high Jc in low and high magnetic fields (Jc (0 Т) = 1.8 × 107 А/сm2 and Jc (5 Т) = 2 × 106 А/сm2 at 10 К) contains very fine oxygen-enriched Mg-B-O inhomogeneities and δl pinning is realized. The results of DOS calculations in MgB2-xOx cells for x = 0, 0.125, 0.25, 0.5, 1 demonstrate that all compounds are conductors with metal-like behaviour. In the case of ordered oxygen substitution for boron the binding energy, Eb, does not increase sufficiently as compared with that for MgB2, while when oxygen atoms form zigzag chains the calculated Eb is even lower (Eb = -1.15712 Ry).
USDA-ARS?s Scientific Manuscript database
Rater reproducibility of the Bristol Stool Form Scale (BSFS), which categorizes stools into one of seven types, is unknown. We sought to determine reliability and agreement by individual stool type and when responses are categorized by Rome III clinical designation as normal or abnormal (constipatio...
NASA Astrophysics Data System (ADS)
Hu, Yandi
Geologic CO2 sequestration (GCS) is a promising approach to reduce anthropogenic CO2 emissions into the atmosphere. At GCS sites, injected CO2 is kept in formation rock by an overlying low permeability caprock. During and after CO2 injection, geochemical reactions can affect the porosity, permeability, and pollutant transport in aquifers. Despite their importance, nano- and micro-scale subsurface geochemical reactions are far from well-understood. Clay mobilization has been reported to decrease aquifer permeability during water flooding, and clay minerals are abundant in caprock. Thus, we studied CO2-brine-clay interactions under varied conditions relevant to different GCS sites (at 35-95°C and under 35-120 atm CO2, in water, NaCl, MgCl2, or CaCl2 solutions). Biotite, Fe-bearing mica, was used as a model clay mineral. We observed numerous fibrous illite precipitates on mica after reaction for only 3 h, which had not been previously reported. A few hours later, the mica surface cracked and fibrous illite detached. The mobilization of fibrous illite can decrease the aquifer's permeability greatly and affect the safety and efficiency of GCS. Mechanisms related to ion exchange, mica swelling, and CO2 intercalation were explored. Oriented aggregation of illite nanoparticles forming the fibrous illite was directly observed, suggesting a new mechanism for fibrous illite formation. Interestingly, besides the pH effect, aqueous CO2 enhances mica cracking over N2. These findings can help to achieve safer subsurface operations. At GCS field sites, Fe concentration increased near the injection sites and originally adsorbed pollutants were released. As the brine flows, Fe re-precipitated because of pH increase. To better predict the fate and transport of aqueous pollutants, the nucleation and growth of Fe(III) (hydr)oxides were studied. New information about sizes and volumes of the Fe(III) (hydr)oxide nanoparticles precipitated in solution and on quartz, mica, and sapphire
Behavior of a nano-particle and a polymer molecule in a nano-scale four-roll mill
NASA Astrophysics Data System (ADS)
Vo, Minh; Papavassiliou, Dimitrios
2016-11-01
The four-roll mill device could be used to create a mixed flow from purely extensional stresses to completely rotational through the proper selection of speed and direction of each of the four cylindrical rollers. Considerable research has been done with this device for macroscale rheological studies.. In our study, the dissipative particle dynamics (DPD) method was employed to investigate the behavior of a nano-sphere and a polymer molecule in different conditions within a four-roll mill device. Hydrophilic properties of each roll were generated by adjusting interaction parameters and using bounce back boundary condition at the solid surface. All simulations were run up to 4x106 time steps at room temperature using the open source LAMMPS package. After the flow in the system reached equilibrium, a nano-sphere and then a polymer chain were released at the center of the simulation box. Their trajectories were recorded at different shear rate conditions. The propagation of nanosphere in different rotational flow will be discussed. Additionally, the deformation of polymer chains will be compared to that in a simple shear flow.
NASA Astrophysics Data System (ADS)
Ren, Liyun; Pandit, Vaibhav; Elkin, Joshua; Denman, Tyler; Cooper, James A.; Kotha, Shiva P.
2013-02-01
PLLA fibrous tissue scaffolds with controlled fiber nanoscale surface roughness are fabricated with a novel centrifugal jet spinning process. The centrifugal jet spinning technique is a highly efficient synthesis method for micron- to nano-sized fibers with a production rate up to 0.5 g min-1. During the centrifugal jet spinning process, a polymer solution jet is stretched by the centrifugal force of a rotating chamber. By engineering the rheological properties of the polymer solution, solvent evaporation rate and centrifugal force that are applied on the solution jet, polyvinylpyrrolidone (PVP) and poly(l-lactic acid) (PLLA) composite fibers with various diameters are fabricated. Viscosity measurements of polymer solutions allowed us to determine critical polymer chain entanglement limits that allow the generation of continuous fiber as opposed to beads or beaded fibers. Above a critical concentration at which polymer chains are partially or fully entangled, lower polymer concentrations and higher centrifugal forces resulted in thinner fibers. Etching of PVP from the PLLA-PVP composite fibers doped with increasing PVP concentrations yielded PLLA fibers with increasing nano-scale surface roughness and porosity, which increased the fiber hydrophilicity dramatically. Scanning electron micrographs of the etched composite fibers suggest that PVP and PLLA were co-contiguously phase separated within the composite fibers during spinning and nano-scale roughness features were created after the partial etching of PVP. To study the tissue regeneration efficacy of the engineered PLLA fiber matrix, human dermal fibroblasts are used to simulate partial skin graft. Fibers with increased PLLA surface roughness and porosity demonstrated a trend towards higher cell attachment and proliferation.PLLA fibrous tissue scaffolds with controlled fiber nanoscale surface roughness are fabricated with a novel centrifugal jet spinning process. The centrifugal jet spinning technique is a
Powell, Jonathan J.; Bruggraber, Sylvaine F.A.; Faria, Nuno; Poots, Lynsey K.; Hondow, Nicole; Pennycook, Timothy J.; Latunde-Dada, Gladys O.; Simpson, Robert J.; Brown, Andy P.; Pereira, Dora I.A.
2014-01-01
The 2-5 nm Fe(III) oxo-hydroxide core of ferritin is less ordered and readily bioavailable compared to its pure synthetic analogue, ferrihydrite. We report the facile synthesis of tartrate-modified, nano-disperse ferrihydrite of small primary particle size, but with enlarged or strained lattice structure (~ 2.7 Å for the main Bragg peak versus 2.6 Å for synthetic ferrihydrite). Analysis indicated that co-precipitation conditions can be achieved for tartrate inclusion into the developing ferrihydrite particles, retarding both growth and crystallization and favoring stabilization of the cross-linked polymeric structure. In murine models, gastrointestinal uptake was independent of luminal Fe(III) reduction to Fe(II) and, yet, absorption was equivalent to that of ferrous sulphate, efficiently correcting the induced anemia. This process may model dietary Fe(III) absorption and potentially provide a side effect-free form of cheap supplemental iron. From the Clinical Editor Small size tartrate-modified, nano-disperse ferrihydrite was used for efficient gastrointestinal delivery of soluble Fe(III) without the risk for free radical generation in murine models. This method may provide a potentially side effect-free form iron supplementation. PMID:24394211
Nano- and Macro-wear of Bio-carbo-nitrided AISI 8620 Steel Surfaces
NASA Astrophysics Data System (ADS)
Arthur, Emmanuel Kwesi; Ampaw, Edward; Zebaze Kana, M. G.; Adetunji, A. R.; Olusunle, S. O. O.; Adewoye, O. O.; Soboyejo, W. O.
2015-12-01
This paper presents the results of an experimental study of nano- and macro-scale wear in a carbo-nitrided AISI 8620 steel. Carbo-nitriding is carried out using a novel method that involves the use of dried, cyanide-containing cassava leaves, as sources of carbon and nitrogen. These are used in a pack cementation that is used to diffuse carbon and nitrogen into case layers at intermediate temperatures [673.15 K, 723.15 K, 773.15 K, and 823.15 K (400 °C, 450 °C, 500 °C, and 550 °C)]. Nano- and macro-scale wear properties are studied in the case-hardened surfaces, using a combination of nano-scratch and pin-on-disk experiments. The measured wear volumes (at both nano- and macro-length scales) are shown to increase with decreasing pack cyaniding temperature. The nano- and macro-wear resistances are also shown to be enhanced by the in situ diffusion of carbon and nitrogen from cyanide-containing bio-processed waste. The underlying wear mechanisms are also elucidated via atomic force microscopy and scanning electron microscopy observations of the wear tracks. The implications of the results are discussed for the design of hardened carbo-nitrided steel surfaces with improved wear resistance.
Zhamu, Aruna; Jang, Bor Z.
2014-06-17
A carboxylic-intercalated graphite compound composition for the production of exfoliated graphite, flexible graphite, or nano-scaled graphene platelets. The composition comprises a layered graphite with interlayer spaces or interstices and a carboxylic acid residing in at least one of the interstices, wherein the composition is prepared by a chemical oxidation reaction which uses a combination of a carboxylic acid and hydrogen peroxide as an intercalate source. Alternatively, the composition may be prepared by an electrochemical reaction, which uses a carboxylic acid as both an electrolyte and an intercalate source. Exfoliation of the invented composition does not release undesirable chemical contaminants into air or drainage.
NASA Astrophysics Data System (ADS)
Asgari, Somayyeh; Ghattan Kashani, Zahra; Granpayeh, Nosrat
2018-04-01
The performances of three optical devices including a refractive index sensor, a power splitter, and a 4-channel multi/demultiplexer based on graphene cylindrical resonators are proposed, analyzed, and simulated numerically by using the finite-difference time-domain method. The proposed sensor operates on the principle of the shift in resonance wavelength with a change in the refractive index of dielectric materials. The sensor sensitivity has been numerically derived. In addition, the performances of the power splitter and the multi/demultiplexer based on the variation of the resonance wavelengths of cylindrical resonator have been thoroughly investigated. The simulation results are in good agreement with the theoretical ones. Our studies demonstrate that the graphene based ultra-compact, nano-scale devices can be improved to be used as photonic integrated devices, optical switching, and logic gates.
Controlling nitrogen migration through micro-nano networks
NASA Astrophysics Data System (ADS)
Cai, Dongqing; Wu, Zhengyan; Jiang, Jiang; Wu, Yuejin; Feng, Huiyun; Brown, Ian G.; Chu, Paul K.; Yu, Zengliang
2014-01-01
Nitrogen fertilizer unabsorbed by crops eventually discharges into the environment through runoff, leaching and volatilization, resulting in three-dimensional (3D) pollution spanning from underground into space. Here we describe an approach for controlling nitrogen loss, developed using loss control fertilizer (LCF) prepared by adding modified natural nanoclay (attapulgite) to traditional fertilizer. In the aqueous phase, LCF self-assembles to form 3D micro/nano networks via hydrogen bonds and other weak interactions, obtaining a higher nitrogen spatial scale so that it is retained by a soil filtering layer. Thus nitrogen loss is reduced and sufficient nutrition for crops is supplied, while the pollution risk of the fertilizer is substantially lowered. As such, self-fabrication of nano-material was used to manipulate the nitrogen spatial scale, which provides a novel and promising approach for the research and control of the migration of other micro-scaled pollutants in environmental medium.
Controlling nitrogen migration through micro-nano networks.
Cai, Dongqing; Wu, Zhengyan; Jiang, Jiang; Wu, Yuejin; Feng, Huiyun; Brown, Ian G; Chu, Paul K; Yu, Zengliang
2014-01-14
Nitrogen fertilizer unabsorbed by crops eventually discharges into the environment through runoff, leaching and volatilization, resulting in three-dimensional (3D) pollution spanning from underground into space. Here we describe an approach for controlling nitrogen loss, developed using loss control fertilizer (LCF) prepared by adding modified natural nanoclay (attapulgite) to traditional fertilizer. In the aqueous phase, LCF self-assembles to form 3D micro/nano networks via hydrogen bonds and other weak interactions, obtaining a higher nitrogen spatial scale so that it is retained by a soil filtering layer. Thus nitrogen loss is reduced and sufficient nutrition for crops is supplied, while the pollution risk of the fertilizer is substantially lowered. As such, self-fabrication of nano-material was used to manipulate the nitrogen spatial scale, which provides a novel and promising approach for the research and control of the migration of other micro-scaled pollutants in environmental medium.
Controlling nitrogen migration through micro-nano networks
Cai, Dongqing; Wu, Zhengyan; Jiang, Jiang; Wu, Yuejin; Feng, Huiyun; Brown, Ian G.; Chu, Paul K.; Yu, Zengliang
2014-01-01
Nitrogen fertilizer unabsorbed by crops eventually discharges into the environment through runoff, leaching and volatilization, resulting in three-dimensional (3D) pollution spanning from underground into space. Here we describe an approach for controlling nitrogen loss, developed using loss control fertilizer (LCF) prepared by adding modified natural nanoclay (attapulgite) to traditional fertilizer. In the aqueous phase, LCF self-assembles to form 3D micro/nano networks via hydrogen bonds and other weak interactions, obtaining a higher nitrogen spatial scale so that it is retained by a soil filtering layer. Thus nitrogen loss is reduced and sufficient nutrition for crops is supplied, while the pollution risk of the fertilizer is substantially lowered. As such, self-fabrication of nano-material was used to manipulate the nitrogen spatial scale, which provides a novel and promising approach for the research and control of the migration of other micro-scaled pollutants in environmental medium. PMID:24419037
NASA Astrophysics Data System (ADS)
Yoshida, Koji; Baron, Alfred Q. R.; Uchiyama, Hiroshi; Tsutsui, Satoshi; Yamaguchi, Toshio
2016-04-01
We investigated hydrated antifreeze protein type III (AFP III) powder with a hydration level h (=mass of water/mass of protein) of 0.4 in the temperature range between 180 K and 298 K using X-ray diffraction and inelastic X-ray scattering (IXS). The X-ray diffraction data showed smooth, largely monotonic changes between 180 K and 298 K without freezing water. Meanwhile, the collective dynamics observed by IXS showed a strong change in the sound velocity at 180 K, after being largely temperature independent at higher temperatures (298-220 K). We interpret this change in terms of the dynamic transition previously discussed using other probes including THz IR absorption spectroscopy and incoherent elastic and quasi-elastic neutron scattering. This finding suggests that the dynamic transition of hydrated proteins is observable on the subpicosecond time scale as well as nano- and pico-second scales, both in collective dynamics from IXS and single particle dynamics from neutron scattering. Moreover, it is most likely that the dynamic transition of hydrated AFP III is not directly correlated with its hydration structure.
Yoshida, Koji; Baron, Alfred Q R; Uchiyama, Hiroshi; Tsutsui, Satoshi; Yamaguchi, Toshio
2016-04-07
We investigated hydrated antifreeze protein type III (AFP III) powder with a hydration level h (=mass of water/mass of protein) of 0.4 in the temperature range between 180 K and 298 K using X-ray diffraction and inelastic X-ray scattering (IXS). The X-ray diffraction data showed smooth, largely monotonic changes between 180 K and 298 K without freezing water. Meanwhile, the collective dynamics observed by IXS showed a strong change in the sound velocity at 180 K, after being largely temperature independent at higher temperatures (298-220 K). We interpret this change in terms of the dynamic transition previously discussed using other probes including THz IR absorption spectroscopy and incoherent elastic and quasi-elastic neutron scattering. This finding suggests that the dynamic transition of hydrated proteins is observable on the subpicosecond time scale as well as nano- and pico-second scales, both in collective dynamics from IXS and single particle dynamics from neutron scattering. Moreover, it is most likely that the dynamic transition of hydrated AFP III is not directly correlated with its hydration structure.
Tunable far-infrared plasmonically induced transparency in graphene based nano-structures
NASA Astrophysics Data System (ADS)
Dolatabady, Alireza; Granpayeh, Nosrat
2018-07-01
In this paper, a structure is proposed to show the phenomenon of tunable far-infrared plasmonically induced transparency. The structure includes a nano-ribbon waveguide side-coupled to nano-stub resonators. The realized effect is due to the coupling between the consecutive nano-stub resonators spaced in properly designed distances, providing a constructive interference in the virtually created Fabry–Perot cavity. Due to the Fabry–Perot like cavity created between two consecutive nano-stubs, periodic values of nano-stubs separation can produce transparency windows. Increasing the number of nano-stubs would increase the number of transparency windows in different frequencies. The structure is theoretically investigated and numerically simulated by using the finite difference time domain method. Owing to the chemical potential dependency of graphene conductivity, the transparency windows can be actively tuned. The proposed component can be extensively utilized in nano-scale switching and slow-light systems.
Feng, Shu-Yan; Li, Wen-Xian; Guo, Feng; Cao, Xiao-Fang
2014-11-01
A novel ternary complex, Tb(2)L4 · L'·(ClO4)6 · 8H2O, has been synthesized using bis(benzylsulfinyl)methane as the first ligand L and 2,2'-dipyridyl as the second ligand L'. The ternary complex was characterized by element analysis, molar conductivity, coordination titration analysis, infrared, thermogravimetric-differential scanning calorimetric and ultraviolet spectra. The results indicated that the composition of the complex was Tb2 L4 · L'·(ClO4)6 · 8H2O (L = C(6)H(5)CH(2) SOCH(2)SOCH(2)C(6)H(5); L' = Dipy). Fourier transform infrared results revealed that the perchlorate group was bonded with the Tb(III) ion by the oxygen atom, and the coordination was bidentate. The fluorescent spectra illustrated that the complex displayed characteristic fluorescence in the solid state. After the introduction of the second ligand, 2,2-dipyridyl, the relative emission intensity and fluorescence lifetime of the ternary complex Tb(2)L(4) · L'·(ClO(4))(6) · 8H2O were enhanced compared to the binary complex TbL(2.5)(ClO4)3 · 3H2O. This indicated that the presence of both organic ligand bis(benzylsulfinyl)methane and the second ligand 2,2-dipyridyl could sensitize the fluorescence intensity of Tb(III) ion, and introduction of the 2,2-dipyridyl group resulted in an enhancement of the fluorescence of the Tb(III) ternary rare earth complex. The strongest characteristic fluorescence emission intensity of the ternary complex was 9.36 times that of the binary complex. The phosphorescence spectra and fluorescence lifetime of the complex were also measured. Copyright © 2014 John Wiley & Sons, Ltd.
Abolhasani, Jafar; Naderali, Roza; Hassanzadeh, Javad
2016-01-01
We describe the effect of different sized gold and silver nanoparticles on the terbium sensitized fluorescence of deferasirox. It is indicated that silver nanostructures, especially 18 nm Ag nanoparticles (AgNPs), have a remarkable amplifying effect compared to Au nanoparticles. Based on this observation, a highly sensitive and selective method was developed for the determination of deferasirox. Effects of various parameters like AgNPs and Tb(3+) concentration and pH of media were investigated. Under the optimal conditions, a calibration curve was plotted as the fluorescence intensities versus the concentration of deferasirox in the range of 0.1 to 200 nmol L(-1), and detection limit of 0.03 nmol L(-1) was obtained. The method has good linearity, recovery, reproducibility and sensitivity, and was satisfactorily applied for the determination of deferasirox in urine and pharmaceutical samples.
SCIL nanoimprint solutions: high-volume soft NIL for wafer scale sub-10nm resolution
NASA Astrophysics Data System (ADS)
Voorkamp, R.; Verschuuren, M. A.; van Brakel, R.
2016-10-01
Nano-patterning materials and surfaces can add unique functionalities and properties which cannot be obtained in bulk or micro-structured materials. Examples range from hetro-epitaxy of semiconductor nano-wires to guiding cell expression and growth on medical implants. [1] Due to the cost and throughput requirements conventional nano-patterning techniques such as deep UV lithography (cost and flat substrate demands) and electron-beam lithography (cost, throughput) are not an option. Self-assembly techniques are being considered for IC manufacturing, but require nano-sized guiding patterns, which have to be fabricated in any case.[2] Additionally, the self-assembly process is highly sensitive to the environment and layer thickness, which is difficult to control on non-flat surfaces such as PV silicon wafers or III/V substrates. Laser interference lithography can achieve wafer scale periodic patterns, but is limited by the throughput due to intensity of the laser at the pinhole and only regular patterns are possible where the pattern fill fraction cannot be chosen freely due to the interference condition.[3] Nanoimprint lithography (NIL) is a promising technology for the cost effective fabrication of sub-micron and nano-patterns on large areas. The challenges for NIL are related to the technique being a contact method where a stamp which holds the patterns is required to be brought into intimate contact with the surface of the product. In NIL a strong distinction is made between the type of stamp used, either rigid or soft. Rigid stamps are made from patterned silicon, silica or plastic foils and are capable of sub-10nm resolution and wafer scale patterning. All these materials behave similar at the micro- to nm scale and require high pressures (5 - 50 Bar) to enable conformal contact to be made on wafer scales. Real world conditions such as substrate bow and particle contaminants complicate the use of rigid stamps for wafer scale areas, reducing stamp lifetime and
Nayak, Lakshmi; DeAngelis, Lisa M; Brandes, Alba A; Peereboom, David M; Galanis, Evanthia; Lin, Nancy U; Soffietti, Riccardo; Macdonald, David R; Chamberlain, Marc; Perry, James; Jaeckle, Kurt; Mehta, Minesh; Stupp, Roger; Muzikansky, Alona; Pentsova, Elena; Cloughesy, Timothy; Iwamoto, Fabio M; Tonn, Joerg-Christian; Vogelbaum, Michael A; Wen, Patrick Y; van den Bent, Martin J; Reardon, David A
2017-05-01
The Macdonald criteria and the Response Assessment in Neuro-Oncology (RANO) criteria define radiologic parameters to classify therapeutic outcome among patients with malignant glioma and specify that clinical status must be incorporated and prioritized for overall assessment. But neither provides specific parameters to do so. We hypothesized that a standardized metric to measure neurologic function will permit more effective overall response assessment in neuro-oncology. An international group of physicians including neurologists, medical oncologists, radiation oncologists, and neurosurgeons with expertise in neuro-oncology drafted the Neurologic Assessment in Neuro-Oncology (NANO) scale as an objective and quantifiable metric of neurologic function evaluable during a routine office examination. The scale was subsequently tested in a multicenter study to determine its overall reliability, inter-observer variability, and feasibility. The NANO scale is a quantifiable evaluation of 9 relevant neurologic domains based on direct observation and testing conducted during routine office visits. The score defines overall response criteria. A prospective, multinational study noted a >90% inter-observer agreement rate with kappa statistic ranging from 0.35 to 0.83 (fair to almost perfect agreement), and a median assessment time of 4 minutes (interquartile range, 3-5). The NANO scale provides an objective clinician-reported outcome of neurologic function with high inter-observer agreement. It is designed to combine with radiographic assessment to provide an overall assessment of outcome for neuro-oncology patients in clinical trials and in daily practice. Furthermore, it complements existing patient-reported outcomes and cognition testing to combine for a global clinical outcome assessment of well-being among brain tumor patients. © The Author(s) 2017. Published by Oxford University Press on behalf of the Society for Neuro-Oncology. All rights reserved. For permissions
New understanding of nano-scale interstitial dislocation loops in BCC iron
NASA Astrophysics Data System (ADS)
Gao, N.; Chen, J.; Kurtz, R. J.; Wang, Z. G.; Zhang, R. F.; Gao, F.
2017-11-01
Complex states of nanoscale interstitial dislocation loop can be described by its habit plane and Burgers vector. Using atomistic simulations, we provide direct evidences on the change of the habit plane of a 1/2〈1 1 1〉 loop from {1 1 1} to {1 1 0} and {2 1 1}, in agreement with TEM observations. A new {1 0 0} habit plane of this loop is also predicted by simulations. The non-conservation of the Burgers vector is approved theoretically for: (1) dislocation reactions between loops with different Burgers vectors and (2) the transition between 〈1 0 0〉 loops and 1/2〈1 1 1〉 loops. The rotation from a 1/2〈1 1 1〉 to a 〈1 0 0〉 loop has also been explored, which occurs at 570 K for time on the order of 10 s. The dislocation-precipitate phase duality and change of habit plane are then proposed as new features for nano-scale dislocation loops.
Mechanochemical mechanism for reaction of aluminium nano- and micrometre-scale particles.
Levitas, Valery I
2013-11-28
A recently suggested melt-dispersion mechanism (MDM) for fast reaction of aluminium (Al) nano- and a few micrometre-scale particles during fast heating is reviewed. Volume expansion of 6% during Al melting produces pressure of several GPa in a core and tensile hoop stresses of 10 GPa in an oxide shell. Such stresses cause dynamic fracture and spallation of the shell. After spallation, an unloading wave propagates to the centre of the particle and creates a tensile pressure of 3-8 GPa. Such a tensile pressure exceeds the cavitation strength of liquid Al and disperses the melt into small, bare clusters (fragments) that fly at a high velocity. Reaction of the clusters is not limited by diffusion through a pre-existing oxide shell. Some theoretical and experimental results related to the MDM are presented. Various theoretical predictions based on the MDM are in good qualitative and quantitative agreement with experiments, which resolves some basic puzzles in combustion of Al particles. Methods to control and improve reactivity of Al particles are formulated, which are exactly opposite to the current trends based on diffusion mechanism. Some of these suggestions have experimental confirmation.
Rabia, Mohamed; Mohamed, H S H; Shaban, Mohamed; Taha, S
2018-01-18
Lead sulfide (PbS) and polyaniline (PANI) nano/microparticles were prepared. Then, PANI/PbS core-shell nano/microcomposites (I, II, and III) were prepared by oxidative polymerization of different aniline concentrations (0.01, 0.03, and 0.05 M), respectively, in the presence of 0.05 M PbS. FT-IR, XRD, SEM, HR-TEM, and UV-Vis analyses were carried out to characterize the samples. From the FT-IR data, there are redshifts in PbS and PANI nano/microparticles bands in comparison with PANI/PbS nano/microcomposites. The average crystallite sizes of PANI/PbS core-shell nano/microcomposites (I, II, and III) from XRD analyses were 46.5, 55, and 42.16 nm, respectively. From the optical analyses, nano/microcomposite (II) has the optimum optical properties with two band gaps values of 1.41 and 2.79 eV. Then, the nano/microcomposite (II) membrane electrode supported on ITO glass was prepared and applied on the photoelectrochemical (PEC) H 2 generation from H 2 O. The characteristics current-voltage and current-time behaviors were measured at different wavelengths from 390 to 636 nm. Also, the incident photon-to-current conversion efficiency (IPCE) under monochromatic illumination condition was calculated. The optimum values for IPCE were 36.5 and 35.2% at 390 and 405 nm, respectively. Finally, a simple mechanism for PEC H 2 generation from H 2 O using the nano/microcomposite (II) membrane electrode was mentioned.
Aerosol synthesis of nano and micro-scale zero valent metal particles from oxide precursors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Phillips, Jonathan; Luhrs, Claudia; Lesman, Zayd
2010-01-01
In this work a novel aerosol method, derived form the batch Reduction/Expansion Synthesis (RES) method, for production of nano / micro-scale metal particles from oxides and hydroxides is presented. In the Aerosol-RES (A-RES) method, an aerosol, consisting of a physical mixture of urea and metal oxide or hydroxides, is passed through a heated oven (1000 C) with a residence time of the order of 1 second, producing pure (zero valent) metal particles. It appears that the process is flexible regarding metal or alloy identity, allows control of particle size and can be readily scaled to very large throughput. Current workmore » is focused on creating nanoparticles of metal and metal alloy using this method. Although this is primarily a report on observations, some key elements of the chemistry are clear. In particular, the reducing species produced by urea decomposition are the primary agents responsible for reduction of oxides and hydroxides to metal. It is also likely that the rapid expansion that takes place when solid/liquid urea decomposes to form gas species influences the final morphology of the particles.« less
Spiders spinning electrically charged nano-fibres.
Kronenberger, Katrin; Vollrath, Fritz
2015-01-01
Most spider threads are on the micrometre and sub-micrometre scale. Yet, there are some spiders that spin true nano-scale fibres such as the cribellate orb spider, Uloborus plumipes. Here, we analyse the highly specialized capture silk-spinning system of this spider and compare it with the silk extrusion systems of the more standard spider dragline threads. The cribellar silk extrusion system consists of tiny, morphologically basic glands each terminating through exceptionally long and narrow ducts in uniquely shaped silk outlets. Depending on spider size, hundreds to thousands of these outlet spigots cover the cribellum, a phylogenetically ancient spinning plate. We present details on the unique functional design of the cribellate gland-duct-spigot system and discuss design requirements for its specialist fibrils. The spinning of fibres on the nano-scale seems to have been facilitated by the evolution of a highly specialist way of direct spinning, which differs from the aqua-melt silk extrusion set-up more typical for other spiders. © 2015 The Author(s) Published by the Royal Society. All rights reserved.
Magnetic bead detection using nano-transformers.
Kim, Hyung Kwon; Hwang, Jong Seung; Hwang, Sung Woo; Ahn, Doyeol
2010-11-19
A novel scheme to detect magnetic beads using a nano-scale transformer with a femtoweber resolution is reported. We have performed a Faraday's induction experiment with the nano-transformer at room temperature. The transformer shows the linear output voltage responses to the sinusoidal input current. When magnetic beads are placed on the transformer, the output responses are increased by an amount corresponding to the added magnetic flux from the beads when compared with the case of no beads on the transformer. In this way, we could determine whether magnetic beads are on top of the transformer in a single particle level.
Investigation of relationships between parameters of solar nano-flares and solar activity
NASA Astrophysics Data System (ADS)
Safari, Hossein; Javaherian, Mohsen; Kaki, Bardia
2016-07-01
Solar flares are one of the important coronal events which are originated in solar magnetic activity. They release lots of energy during the interstellar medium, right after the trigger. Flare prediction can play main role in avoiding eventual damages on the Earth. Here, to interpret solar large-scale events (e.g., flares), we investigate relationships between small-scale events (nano-flares) and large-scale events (e.g., flares). In our method, by using simulations of nano-flares based on Monte Carlo method, the intensity time series of nano-flares are simulated. Then, the solar full disk images taken at 171 angstrom recorded by SDO/AIA are employed. Some parts of the solar disk (quiet Sun (QS), coronal holes (CHs), and active regions (ARs)) are cropped and the time series of these regions are extracted. To compare the simulated intensity time series of nano-flares with the intensity time series of real data extracted from different parts of the Sun, the artificial neural networks is employed. Therefore, we are able to extract physical parameters of nano-flares like both kick and decay rate lifetime, and the power of their power-law distributions. The procedure of variations in the power value of power-law distributions within QS, CH is similar to AR. Thus, by observing the small part of the Sun, we can follow the procedure of solar activity.
Analog and RF performance of a multigate FinFET at nano scale
NASA Astrophysics Data System (ADS)
Kumar, Abhishek
2016-12-01
In this paper, analog and RF performance of the Fin field effect transistor (FET) at Nano scale is observed through 3D simulation. FinFET devices like rectangular gate all around (RE-GAA) FinFET, cylindrical gate all around (CY-GAA) FinFET and triple gate (TG) FinFET are observed. The figure of merit (FOMs) such as input-output characteristics, trans-conductance (gm), output-conductance (gd), intrinsic gain (gm/gd), gate capacitance (gate to source and total gate capacitance), unity gain cut-off frequency (ft), trans-conductance generation factor (TGF), gain frequency product (GFP), gain bandwidth product (GBP) and gain transconductance frequency product (GTFP) are observed. The analog performance of a FinFETs are observed by realising source follower circuit with NMOS transistor as a current source. The source follower circuit gain is observed. It has been observed that maximum capacitance is observed in case gate all around condition. Rectangular gate all around has the highest transconductance. In the source follower circuit, the gain curve (Vout/Vin) is sharper for TG-FinFET.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cao, Xin; Chen, Xueli, E-mail: xlchen@xidian.edu.cn, E-mail: jimleung@mail.xidian.edu.cn; Cao, Xu
2015-05-25
Our previous study showed a great attenuation for the Cerenkov luminescence endoscope (CLE), resulting in relatively low detection sensitivity of radiotracers. Here, a kind of radioluminescence nanoparticles (RLNPs), terbium doped Gd{sub 2}O{sub 2}S was mixed with the radionuclide {sup 68}Ga to enhance the intensity of emitted luminescence, which finally improved the detection sensitivity of the CLE by using the radioluminescence imaging technique. With the in vitro and in vivo pseudotumor experiments, we showed that the use of RLNPs mixed with the radionuclide {sup 68}Ga enabled superior sensitivity compared with the radionuclide {sup 68}Ga only, with 50-fold improvement on detection sensitivity,more » which guaranteed meeting the demands of the clinical diagnosis of gastrointestinal tract tumors.« less
Long-Duration Carbon Dioxide Anesthesia of Fish Using Ultra Fine (Nano-Scale) Bubbles.
Kugino, Kenji; Tamaru, Shizuka; Hisatomi, Yuko; Sakaguchi, Tadashi
2016-01-01
We investigated whether adding ultrafine (nano-scale) oxygen-carrying bubbles to water concurrently with dissolved carbon-dioxide (CO2) could result in safe, long-duration anesthesia for fish. To confirm the lethal effects of CO2 alone, fishes were anesthetized with dissolved CO2 in 20°C seawater. Within 30 minutes, all fishes, regardless of species, died suddenly due to CO2-induced narcosis, even when the water was saturated with oxygen. Death was attributed to respiration failure caused by hypoxemia. When ultrafine oxygen-carrying bubbles were supplied along with dissolved CO2, five chicken grunts were able to remain anesthetized for 22 hours and awoke normally within 2-3 hours after cessation of anesthesia. The high internal pressures and oxygen levels of the ultrafine bubbles enabled efficient oxygen diffusion across the branchia and permitted the organismal oxygen demands of individual anesthetized fish to be met. Thus, we demonstrated a method for safe, long-duration carbon dioxide anesthesia in living fish under normal water temperatures.
Sobhani-Nasab, Ali; Rahimi-Nasrabadi, Mehdi; Naderi, Hamid Reza; Pourmohamadian, Vafa; Ahmadi, Farhad; Ganjali, Mohammad Reza; Ehrlich, Hermann
2018-07-01
Sonochemically prepared nanoparticles of terbium tungstate (TWNPs) were evaluated through scanning electron microscopy (SEM), thermogravimetric analysis (TGA), X-ray diffraction (XRD) and Fourier transform infrared spectroscopy (FT-IR), UV-Vis spectroscopy, and the optimal products were further characterized in terms of their electrochemical properties using conventional and continuous cyclic voltammetry (CV, and CCV), galvanostatic charge/discharge technique, and electrochemical impedance spectroscopy (EIS). The CV studies indicated the TWNPs to have specific capacitance (SC) values of 336 and 205 F g -1 at 1 and 200 mV s -1 , and galvanostatic charge-discharge tests revealed the SC of the TWNP-based electrodes to be 300 F g -1 at 1 Ag -1 . Also continuous cyclic voltammetry evaluations proved the sample as having a capacitance retention value of 95.3% after applying 4000 potential cycles. In the light of the results TWNPs were concluded as favorable electrode materials for use in hybrid vehicle systems. Copyright © 2018 Elsevier B.V. All rights reserved.
Micro-to-nano-scale deformation mechanisms of a bimodal ultrafine eutectic composite
Lee, Seoung Wan; Kim, Jeong Tae; Hong, Sung Hwan; Park, Hae Jin; Park, Jun-Young; Lee, Nae Sung; Seo, Yongho; Suh, Jin Yoo; Eckert, Jürgen; Kim, Do Hyang; Park, Jin Man; Kim, Ki Buem
2014-01-01
The outstading mechanical properties of bimodal ultrafine eutectic composites (BUECs) containing length scale hierarchy in eutectic structure were demonstrated by using AFM observation of surface topography with quantitative height measurements and were interpreted in light of the details of the deformation mechanisms by three different interface modes. It is possible to develop a novel strain accommodated eutectic structure for triggering three different interface-controlled deformation modes; (I) rotational boundary mode, (II) accumulated interface mode and (III) individual interface mode. A strain accommodated microstructure characterized by the surface topology gives a hint to design a novel ultrafine eutectic alloys with excellent mechanical properties. PMID:25265897
Structure-mechanical function relations at nano-scale in heat-affected human dental tissue.
Sui, Tan; Sandholzer, Michael A; Le Bourhis, Eric; Baimpas, Nikolaos; Landini, Gabriel; Korsunsky, Alexander M
2014-04-01
The knowledge of the mechanical properties of dental materials related to their hierarchical structure is essential for understanding and predicting the effect of microstructural alterations on the performance of dental tissues in the context of forensic and archaeological investigation as well as laser irradiation treatment of caries. So far, few studies have focused on the nano-scale structure-mechanical function relations of human teeth altered by chemical or thermal treatment. The response of dental tissues to thermal treatment is thought to be strongly affected by the mineral crystallite size, their spatial arrangement and preferred orientation. In this study, synchrotron-based small and wide angle X-ray scattering (SAXS/WAXS) techniques were used to investigate the micro-structural alterations (mean crystalline thickness, crystal perfection and degree of alignment) of heat-affected dentine and enamel in human dental teeth. Additionally, nanoindentation mapping was applied to detect the spatial and temperature-dependent nano-mechanical properties variation. The SAXS/WAXS results revealed that the mean crystalline thickness distribution in dentine was more uniform compared with that in enamel. Although in general the mean crystalline thickness increased both in dentine and enamel as the temperature increased, the local structural variations gradually reduced. Meanwhile, the hardness and reduced modulus in enamel decreased as the temperature increased, while for dentine, the tendency reversed at high temperature. The analysis of the correlation between the ultrastructure and mechanical properties coupled with the effect of temperature demonstrates the effect of mean thickness and orientation on the local variation of mechanical property. This structural-mechanical property alteration is likely to be due to changes of HAp crystallites, thus dentine and enamel exhibit different responses at different temperatures. Our results enable an improved understanding of
Synthesis procedure optimization and characterization of europium (III) tungstate nanoparticles
NASA Astrophysics Data System (ADS)
Rahimi-Nasrabadi, Mehdi; Pourmortazavi, Seied Mahdi; Ganjali, Mohammad Reza; Reza Banan, Ali; Ahmadi, Farhad
2014-09-01
Taguchi robust design as a statistical method was applied for the optimization of process parameters in order to tunable, facile and fast synthesis of europium (III) tungstate nanoparticles. Europium (III) tungstate nanoparticles were synthesized by a chemical precipitation reaction involving direct addition of europium ion aqueous solution to the tungstate reagent solved in an aqueous medium. Effects of some synthesis procedure variables on the particle size of europium (III) tungstate nanoparticles were studied. Analysis of variance showed the importance of controlling tungstate concentration, cation feeding flow rate and temperature during preparation of europium (III) tungstate nanoparticles by the proposed chemical precipitation reaction. Finally, europium (III) tungstate nanoparticles were synthesized at the optimum conditions of the proposed method. The morphology and chemical composition of the prepared nano-material were characterized by means of X-ray diffraction, scanning electron microscopy, transmission electron microscopy, FT-IR spectroscopy and fluorescence.
Nano iron particles transport in fractured rocks: laboratory and field scale
NASA Astrophysics Data System (ADS)
Cohen, Meirav; Weisbrod, Noam
2017-04-01
Our study deals with the transport potential of nano iron particles (NIPs) in fractured media. Two different systemswere used to investigate transport on two scales: (1 )a laboratory flow system of a naturally discrete fractured chalk core, 0.43 and 0.18 m in length and diamater, respectively; and (2) a field system of hydraulically connected boreholes located 47 m apart which penetrate a fractured chalk aquifer. We started by testing the transport potential of various NIPs under different conditions. Particle stability experiments were conducted using various NIPs and different stabilizersat two ionic strengths. Overall, four different NIPs and three stabilizers were tested. Particles and solution properties (stability, aggregate/particle size, viscosity and density) were tested in batch experiments, and transport experiments (breakthrough curves (BTCs) and recovery) were conduted in the fractured chalk core. We have learned that the key parameters controlling particle transport are the particle/aggregate size and stability, which govern NIP settling rates and ultimately their migration distance. The governing mechanism controlling NIP transport was found to be sedimentation, and to a much lesser extent, processes such as diffusion, straining or interception. On the basis of these experiments, Carbo-Iron® particles ( 800 nm activated carbon particles doped with nano zero valent iron particles) and Carboxymethyl cellulose (CMC) stabilizer were selected for the field test injection. In the field, Carbo-Iron particles were initially injected into the fractured aquifer using an excess of stabilizer in order to ensure maximum recovery. This resulted in high particle recovery and fast arrival time, similar to the ideal tracer (iodide). The high recovery of the stable particle solution emphasized the importance of particle stability for transport in fractures. To test mobility manipulation potential of the particles and simulate more realistic scenarios, a second field
Impact of Subsurface Heterogeneities on nano-Scale Zero Valent Iron Transport
NASA Astrophysics Data System (ADS)
Krol, M. M.; Sleep, B. E.; O'Carroll, D. M.
2011-12-01
Nano-scale zero valent iron (nZVI) has been applied as a remediation technology at sites contaminated with chlorinated compounds and heavy metals. Although laboratory studies have demonstrated high reactivity for the degradation of target contaminants, the success of nZVI in the field has been limited due to poor subsurface mobility. When injected into the subsurface, nZVI tends to aggregate and be retained by subsurface soils. As such nZVI suspensions need to be stabilized for increased mobility. However, even with stabilization, soil heterogeneities can still lead to non-uniform nZVI transport, resulting in poor distribution and consequently decreased degradation of target compounds. Understanding how nZVI transport can be affected by subsurface heterogeneities can aid in improving the technology. This can be done with the use of a numerical model which can simulate nZVI transport. In this study CompSim, a finite difference groundwater model, is used to simulate the movement of nZVI in a two-dimensional domain. CompSim has been shown in previous studies to accurately predict nZVI movement in the subsurface, and is used in this study to examine the impact of soil heterogeneity on nZVI transport. This work also explores the impact of different viscosities of the injected nZVI suspensions (corresponding to different stabilizing polymers) and injection rates on nZVI mobility. Analysis metrics include travel time, travel distance, and average nZVI concentrations. Improving our understanding of the influence of soil heterogeneity on nZVI transport will lead to improved field scale implementation and, potentially, to more effective remediation of contaminated sites.
Simulation Studies of Mechanical Properties of Novel Silica Nano-structures
NASA Astrophysics Data System (ADS)
Muralidharan, Krishna; Torras Costa, Joan; Trickey, Samuel B.
2006-03-01
Advances in nanotechnology and the importance of silica as a technological material continue to stimulate computational study of the properties of possible novel silica nanostructures. Thus we have done classical molecular dynamics (MD) and multi-scale quantum mechanical (QM/MD) simulation studies of the mechanical properties of single-wall and multi-wall silica nano-rods of varying dimensions. Such nano-rods have been predicted by Mallik et al. to be unusually strong in tensile failure. Here we compare failure mechanisms of such nano-rods under tension, compression, and bending. The concurrent multi-scale QM/MD studies use the general PUPIL system (Torras et al.). In this case, PUPIL provides automated interoperation of the MNDO Transfer Hamiltonian QM code (Taylor et al.) and a locally written MD code. Embedding of the QM-forces domain is via the scheme of Mallik et al. Work supported by NSF ITR award DMR-0325553.
NASA Astrophysics Data System (ADS)
El-Moslamy, Shahira H.; Elkady, Marwa F.; Rezk, Ahmed H.; Abdel-Fattah, Yasser R.
2017-03-01
Development of reliable and low-cost requirement for large-scale eco-friendly biogenic synthesis of metallic nanoparticles is an important step for industrial applications of bionanotechnology. In the present study, the mycosynthesis of spherical nano-Ag (12.7 ± 0.8 nm) from extracellular filtrate of local endophytic T. harzianum SYA.F4 strain which have interested mixed bioactive metabolites (alkaloids, flavonoids, tannins, phenols, nitrate reductase (320 nmol/hr/ml), carbohydrate (25 μg/μl) and total protein concentration (2.5 g/l) was reported. Industrial mycosynthesis of nano-Ag can be induced with different characters depending on the fungal cultivation and physical conditions. Taguchi design was applied to improve the physicochemical conditions for nano-Ag production, and the optimum conditions which increased its mass weight 3 times larger than a basal condition were as follows: AgNO3 (0.01 M), diluted reductant (10 v/v, pH 5) and incubated at 30 °C, 200 rpm for 24 hr. Kinetic conversion rates in submerged batch cultivation in 7 L stirred tank bioreactor on using semi-defined cultivation medium was as follows: the maximum biomass production (Xmax) and maximum nano-Ag mass weight (Pmax) calculated (60.5 g/l and 78.4 g/l respectively). The best nano-Ag concentration that formed large inhibition zones was 100 μg/ml which showed against A.alternate (43 mm) followed by Helminthosporium sp. (35 mm), Botrytis sp. (32 mm) and P. arenaria (28 mm).
Golay, Philippe; Lecerf, Thierry
2011-03-01
According to the most widely accepted Cattell-Horn-Carroll (CHC) model of intelligence measurement, each subtest score of the Wechsler Intelligence Scale for Adults (3rd ed.; WAIS-III) should reflect both 1st- and 2nd-order factors (i.e., 4 or 5 broad abilities and 1 general factor). To disentangle the contribution of each factor, we applied a Schmid-Leiman orthogonalization transformation (SLT) to the standardization data published in the French technical manual for the WAIS-III. Results showed that the general factor accounted for 63% of the common variance and that the specific contributions of the 1st-order factors were weak (4.7%-15.9%). We also addressed this issue by using confirmatory factor analysis. Results indicated that the bifactor model (with 1st-order group and general factors) better fit the data than did the traditional higher order structure. Models based on the CHC framework were also tested. Results indicated that a higher order CHC model showed a better fit than did the classical 4-factor model; however, the WAIS bifactor structure was the most adequate. We recommend that users do not discount the Full Scale IQ when interpreting the index scores of the WAIS-III because the general factor accounts for the bulk of the common variance in the French WAIS-III. The 4 index scores cannot be considered to reflect only broad ability because they include a strong contribution of the general factor.
Micro- and Nano-scale Technologies for Delivery into Adherent Cells
Kang, Wonmo; McNaughton, Rebecca L.; Espinosa, Horacio D.
2016-01-01
process will require the ability to accurately monitor the sequence of intracellular events, within individual cells, in a non-destructive manner. In addition, neuronal maturation is influenced by interactions with surrounding cells and with extracellular matrix, so it is necessary to be able to simultaneously monitor events occurring in multiple cells that are interacting with each other and with the matrix. While the requirements are challenging, these experimental capabilities would provide unprecedented insight into the determinants of both the timing of cellular processes and their phenotype, the principles of cell heterogeneity, and the role of cell-cell communication in homogeneous cell populations and co-cultures. Because most cells adhere to a substrate or to other cells during their growth or differentiation [1], it is advantageous for new technologies to be capable of accessing adhered cells to avoid the need to disrupt cell processes by suspension and replating. Several technologies for studying adhered cells are currently being developed, and due to the need for individual cell access and non-destructive probing, micro- and nano-technologies are a natural choice because they interact with cells at the appropriate length scale, reduce the working volume of expensive reagents, require less time and space for replicates, allow for automation and integration of sequential analyses, enable portability, and reduce waste [2, 3]. Here we present an overview of recently developed micro- and nano-tools, with a focus on trends in intracellular delivery for in vitro studies of adhered cells, and highlight major advantages/disadvantages of these technologies with respect to features such as individual cell selectivity, spatial resolution, non-destructive cell analysis, and potential for high throughput or automation. Finally, we discuss the exciting promise for these technologies to cause a paradigm shift in biological research by providing methods to study cells over
Optical Detection and Sizing of Single Nano-Particles Using Continuous Wetting Films
Hennequin, Yves; McLeod, Euan; Mudanyali, Onur; Migliozzi, Daniel; Ozcan, Aydogan; Dinten, Jean-Marc
2013-01-01
The physical interaction between nano-scale objects and liquid interfaces can create unique optical properties, enhancing the signatures of the objects with sub-wavelength features. Here we show that the evaporation on a wetting substrate of a polymer solution containing sub-micrometer or nano-scale particles creates liquid micro-lenses that arise from the local deformations of the continuous wetting film. These micro-lenses have properties similar to axicon lenses that are known to create beams with a long depth of focus. This enhanced depth of focus allows detection of single nanoparticles using a low magnification microscope objective lens, achieving a relatively wide field-of-view, while also lifting the constraints on precise focusing onto the object plane. Hence, by creating these liquid axicon lenses through spatial deformations of a continuous thin wetting film, we transfer the challenge of imaging individual nano-particles to detecting the light focused by these lenses. As a proof of concept, we demonstrate the detection and sizing of single nano-particles (100 and 200 nm), CpGV granuloviruses as well as Staphylococcus epidermidis bacteria over a wide field of view of e.g., 5.10×3.75 mm2 using a ×5 objective lens with a numerical aperture of 0.15. In addition to conventional lens-based microscopy, this continuous wetting film based approach is also applicable to lensfree computational on-chip imaging, which can be used to detect single nano-particles over a large field-of-view of e.g., >20-30 mm2. These results could be especially useful for high-throughput field-analysis of nano-scale objects using compact and cost-effective microscope designs. PMID:23889001
NASA Astrophysics Data System (ADS)
Jiang, Xiaolong; Zhang, Lijuan; Bai, Yang; Liu, Ying; Liu, Zhengkun; Qiu, Keqiang; Liao, Wei; Zhang, Chuanchao; Yang, Ke; Chen, Jing; Jiang, Yilan; Yuan, Xiaodong
2017-07-01
In this work, we experimentally investigate the surface nano-roughness during the inductively coupled plasma etching of fused silica, and discover a novel bi-stage time evolution of surface nano-morphology. At the beginning, the rms roughness, correlation length and nano-mound dimensions increase linearly and rapidly with etching time. At the second stage, the roughening process slows down dramatically. The switch of evolution stage synchronizes with the morphological change from dual-scale roughness comprising long wavelength underlying surface and superimposed nano-mounds to one scale of nano-mounds. A theoretical model based on surface morphological change is proposed. The key idea is that at the beginning, etched surface is dual-scale, and both larger deposition rate of etch inhibitors and better plasma etching resistance at the surface peaks than surface valleys contribute to the roughness development. After surface morphology transforming into one-scale, the difference of plasma resistance between surface peaks and valleys vanishes, thus the roughening process slows down.
Effects of nano-scaled fish bone on the gelation properties of Alaska pollock surimi.
Yin, Tao; Park, Jae W
2014-05-01
Gelation properties of Alaska pollock surimi as affected by addition of nano-scaled fish bone (NFB) at different levels (0%, 0.1%, 0.25%, 0.5%, 1% and 2%) were investigated. Breaking force and penetration distance of surimi gels after setting increased significantly as NFB concentration increased up to 1%. The first peak temperature and value of storage modulus (G'), which is known to relate to the unfolding and aggregation of light meromyosin, increased as NFB concentration increased. In addition, 1% NFB treatment demonstrated the highest G' after gelation was completed. The activity of endogenous transglutaminase (TGase) in Alaska pollock surimi increased as NFB calcium concentration increased. The intensity of myosin heavy chain cross-links also increased as NFB concentration increased indicating the formation of more ε-(γ-glutamyl) lysine covalent bond by endogenous TGase and calcium ions from NFB. Copyright © 2013 Elsevier Ltd. All rights reserved.
Full-field x-ray nano-imaging at SSRF
NASA Astrophysics Data System (ADS)
Deng, Biao; Ren, Yuqi; Wang, Yudan; Du, Guohao; Xie, Honglan; Xiao, Tiqiao
2013-09-01
Full field X-ray nano-imaging focusing on material science is under developing at SSRF. A dedicated full field X-ray nano-imaging beamline based on bending magnet will be built in the SSRF phase-II project. The beamline aims at the 3D imaging of the nano-scale inner structures. The photon energy range is of 5-14keV. The design goals with the field of view (FOV) of 20μm and a spatial resolution of 20nm are proposed at 8 keV, taking a Fresnel zone plate (FZP) with outermost zone width of 25 nm. Futhermore, an X-ray nano-imaging microscope is under developing at the SSRF BL13W beamline, in which a larger FOV will be emphasized. This microscope is based on a beam shaper and a zone plate using both absorption contrast and Zernike phase contrast, with the optimized energy set to 10keV. The detailed design and the progress of the project will be introduced.
Chen, Duan; Wei, Guo-Wei
2010-01-01
The miniaturization of nano-scale electronic devices, such as metal oxide semiconductor field effect transistors (MOSFETs), has given rise to a pressing demand in the new theoretical understanding and practical tactic for dealing with quantum mechanical effects in integrated circuits. Modeling and simulation of this class of problems have emerged as an important topic in applied and computational mathematics. This work presents mathematical models and computational algorithms for the simulation of nano-scale MOSFETs. We introduce a unified two-scale energy functional to describe the electrons and the continuum electrostatic potential of the nano-electronic device. This framework enables us to put microscopic and macroscopic descriptions in an equal footing at nano scale. By optimization of the energy functional, we derive consistently-coupled Poisson-Kohn-Sham equations. Additionally, layered structures are crucial to the electrostatic and transport properties of nano transistors. A material interface model is proposed for more accurate description of the electrostatics governed by the Poisson equation. Finally, a new individual dopant model that utilizes the Dirac delta function is proposed to understand the random doping effect in nano electronic devices. Two mathematical algorithms, the matched interface and boundary (MIB) method and the Dirichlet-to-Neumann mapping (DNM) technique, are introduced to improve the computational efficiency of nano-device simulations. Electronic structures are computed via subband decomposition and the transport properties, such as the I-V curves and electron density, are evaluated via the non-equilibrium Green's functions (NEGF) formalism. Two distinct device configurations, a double-gate MOSFET and a four-gate MOSFET, are considered in our three-dimensional numerical simulations. For these devices, the current fluctuation and voltage threshold lowering effect induced by the discrete dopant model are explored. Numerical convergence
NASA Astrophysics Data System (ADS)
El-Megharbel, Samy M.; Refat, Moamen S.
2015-04-01
This article presents a synthesis, characterization, theoretical and biological (anti-bacterial, and anti-fugal) evaluation studies of Ag(I), Hg(II), Cr(III) and Fe(III) complexes of clioquinol (CQ) drug ligand. Structures of the titled complexes cited herein were discussed using elemental analyses and spectral measurements e.g., IR, 1H NMR, and electronic studies. The results confirmed the formation of the clioquinol complexes by three molar ratios (1:1) for Ag(I), (1:2) for Hg(II) and (1:3) for both Cr(III) and Fe(III) metal ions. The clioquinol reacts as a bidentate chelate bound to all respected metal ions through the oxygen and nitrogen of quinoline-8-ol. The metal(II) ions coordinated to clioquinol ligand through deprotonation of sbnd OH terminal group. Infrared and 1H NMR spectral data confirm that coordination is via the oxygen of phenolic group and nitrogen atom of quinoline moiety. The molar conductance measurements of the CQ complexes in DMSO correspond to be non-electrolyte nature. Thus, these complexes may be formulated as [Ag(CQ)(H2O)2] H2O, [Hg(CQ)2]ṡ2H2O, [Cr(CQ)3] and [Fe(CQ)3]H2O. The Coats-Redfern method, the kinetic thermodynamic parameters like activation energies (E∗), entropies (ΔS∗), enthalpies (ΔH∗), and Gibbs free energies (ΔG∗) of the thermal decomposition reactions have been deduced from thermogravimetric curves (TG) with helpful of differential thermo gravimetric (DTG) curves. The narrow size distribution in nano-scale range for the clioquinol complexes have been discussed using X-ray powder diffraction (XRD), scanning electron microscope (SEM), and X-ray energy dispersive spectrometer (EDX) analyzer.
Bamdad Barari; Thomas K. Ellingham; Issam I. Ghamhia; Krishna M. Pillai; Rani El-Hajjar; Lih-Sheng Turng; Ronald Sabo
2016-01-01
Plant derived cellulose nano-fibers (CNF) are a material with remarkable mechanical properties compared to other natural fibers. However, efforts to produce nano-composites on a large scale using CNF have yet to be investigated. In this study, scalable CNF nano-composites were made from isotropically porous CNF preforms using a freeze drying process. An improvised...
Majeed, Hassaan; Shaheen, Amrozia; Anwar, Muhammad Sabieh
2013-10-21
We report the complete determination of the polarization changes caused in linearly polarized incident light due to propagation in a magneto-optically active terbium gallium garnet (TGG) single crystal, at temperatures ranging from 6.3 to 300 K. A 28-fold increase in the Verdet constant of the TGG crystal is seen as its temperature decreases to 6.3 K. In contrast with polarimetry of light emerging from a Faraday material at room temperature, polarimetry at cryogenic temperatures cannot be carried out using the conventional fixed polarizer-analyzer technique because the assumption that ellipticity is negligible becomes increasingly invalid as temperature is lowered. It is shown that complete determination of light polarization in such a case requires the determination of its Stokes parameters, otherwise inaccurate measurements will result with negative implications for practical devices.
Geist, Brian; Ronningen, Reginald; Stolz, Andreas; Bollen, Georg; Kochergin, Vladimir
2015-04-01
Perspectives of terbium gallium garnet, Tb₃Ga₅O₁₂ (TGG), for the use of radiation-resistant high magnetic field sensing are studied. Long-term radiation stability of the TGG crystals was analyzed by comparing the optical and magneto-optical properties of a radiation-exposed TGG crystal (equivalent neutron dose 6.3×10¹³ n/cm²) to the properties of TGG control samples. Simulations were also performed to predict radiation damage mechanisms in the TGG crystal. Radiation-induced increase in the absorbance at shorter wavelengths was observed as well as a reduction in the Faraday effect while no degradation of magneto-optical effect was observed when at wavelengths above 600 nm. This suggests that TGG crystal would be a good candidate for use in magneto-optical radiation-resistant magnetic field sensors.
NASA Astrophysics Data System (ADS)
Park, Jae Hong; Jang, Hyun Ik; Park, Jun Yong; Jeon, Seok Woo; Kim, Woo Choong; Kim, Hee Yeoun; Ahn, Chi Won
2015-03-01
The methodology suggested in this research provides the great possibility of creating nanostructures composed of various materials, such as soft polymer, hard polymer, and metal, as well as Si. Such nanostructures are required for a vast range of optical and display devices, photonic components, physical devices, energy devices including electrodes of secondary batteries, fuel cells, solar cells, and energy harvesters, biological devices including biochips, biomimetic or biosimilar structured devices, and mechanical devices including micro- or nano-scale sensors and actuators.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yoshida, Koji; Baron, Alfred Q. R.; Uchiyama, Hiroshi
We investigated hydrated antifreeze protein type III (AFP III) powder with a hydration level h (=mass of water/mass of protein) of 0.4 in the temperature range between 180 K and 298 K using X-ray diffraction and inelastic X-ray scattering (IXS). The X-ray diffraction data showed smooth, largely monotonic changes between 180 K and 298 K without freezing water. Meanwhile, the collective dynamics observed by IXS showed a strong change in the sound velocity at 180 K, after being largely temperature independent at higher temperatures (298–220 K). We interpret this change in terms of the dynamic transition previously discussed using othermore » probes including THz IR absorption spectroscopy and incoherent elastic and quasi-elastic neutron scattering. This finding suggests that the dynamic transition of hydrated proteins is observable on the subpicosecond time scale as well as nano- and pico-second scales, both in collective dynamics from IXS and single particle dynamics from neutron scattering. Moreover, it is most likely that the dynamic transition of hydrated AFP III is not directly correlated with its hydration structure.« less
Look@NanoSIMS--a tool for the analysis of nanoSIMS data in environmental microbiology.
Polerecky, Lubos; Adam, Birgit; Milucka, Jana; Musat, Niculina; Vagner, Tomas; Kuypers, Marcel M M
2012-04-01
We describe an open-source freeware programme for high throughput analysis of nanoSIMS (nanometre-scale secondary ion mass spectrometry) data. The programme implements basic data processing and analytical functions, including display and drift-corrected accumulation of scanned planes, interactive and semi-automated definition of regions of interest (ROIs), and export of the ROIs' elemental and isotopic composition in graphical and text-based formats. Additionally, the programme offers new functions that were custom-designed to address the needs of environmental microbiologists. Specifically, it allows manual and automated classification of ROIs based on the information that is derived either from the nanoSIMS dataset itself (e.g. from labelling achieved by halogen in situ hybridization) or is provided externally (e.g. as a fluorescence in situ hybridization image). Moreover, by implementing post-processing routines coupled to built-in statistical tools, the programme allows rapid synthesis and comparative analysis of results from many different datasets. After validation of the programme, we illustrate how these new processing and analytical functions increase flexibility, efficiency and depth of the nanoSIMS data analysis. Through its custom-made and open-source design, the programme provides an efficient, reliable and easily expandable tool that can help a growing community of environmental microbiologists and researchers from other disciplines process and analyse their nanoSIMS data. © 2012 Society for Applied Microbiology and Blackwell Publishing Ltd.
Nano-imprint gold grating as refractive index sensor
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.
Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive indexmore » sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.« less
Uranium (III)-Plutonium (III) co-precipitation in molten chloride
NASA Astrophysics Data System (ADS)
Vigier, Jean-François; Laplace, Annabelle; Renard, Catherine; Miguirditchian, Manuel; Abraham, Francis
2018-02-01
Co-management of the actinides in an integrated closed fuel cycle by a pyrochemical process is studied at the laboratory scale in France in the CEA-ATALANTE facility. In this context the co-precipitation of U(III) and Pu(III) by wet argon sparging in LiCl-CaCl2 (30-70 mol%) molten salt at 705 °C is studied. Pu(III) is prepared in situ in the molten salt by carbochlorination of PuO2 and U(III) is then introduced as UCl3 after chlorine purge by argon to avoid any oxidation of uranium up to U(VI) by Cl2. The oxide conversion yield through wet argon sparging is quantitative. However, the preferential oxidation of U(III) in comparison to Pu(III) is responsible for a successive conversion of the two actinides, giving a mixture of UO2 and PuO2 oxides. Surprisingly, the conversion of sole Pu(III) in the same conditions leads to a mixture of PuO2 and PuOCl, characteristic of a partial oxidation of Pu(III) to Pu(IV). This is in contrast with coconversion of U(III)-Pu(III) mixtures but in agreement with the conversion of Ce(III).
EL-Moslamy, Shahira H.; Elkady, Marwa F.; Rezk, Ahmed H.; Abdel-Fattah, Yasser R.
2017-01-01
Development of reliable and low-cost requirement for large-scale eco-friendly biogenic synthesis of metallic nanoparticles is an important step for industrial applications of bionanotechnology. In the present study, the mycosynthesis of spherical nano-Ag (12.7 ± 0.8 nm) from extracellular filtrate of local endophytic T. harzianum SYA.F4 strain which have interested mixed bioactive metabolites (alkaloids, flavonoids, tannins, phenols, nitrate reductase (320 nmol/hr/ml), carbohydrate (25 μg/μl) and total protein concentration (2.5 g/l) was reported. Industrial mycosynthesis of nano-Ag can be induced with different characters depending on the fungal cultivation and physical conditions. Taguchi design was applied to improve the physicochemical conditions for nano-Ag production, and the optimum conditions which increased its mass weight 3 times larger than a basal condition were as follows: AgNO3 (0.01 M), diluted reductant (10 v/v, pH 5) and incubated at 30 °C, 200 rpm for 24 hr. Kinetic conversion rates in submerged batch cultivation in 7 L stirred tank bioreactor on using semi-defined cultivation medium was as follows: the maximum biomass production (Xmax) and maximum nano-Ag mass weight (Pmax) calculated (60.5 g/l and 78.4 g/l respectively). The best nano-Ag concentration that formed large inhibition zones was 100 μg/ml which showed against A.alternate (43 mm) followed by Helminthosporium sp. (35 mm), Botrytis sp. (32 mm) and P. arenaria (28 mm). PMID:28349997
Fox-Rabinovich, G; Kovalev, A; Veldhuis, S; Yamamoto, K; Endrino, J L; Gershman, I S; Rashkovskiy, A; Aguirre, M H; Wainstein, D L
2015-03-05
Atomic-scale, tribo-ceramic films associated with dissipative structures formation are discovered under extreme frictional conditions which trigger self-organization. For the first time, we present an actual image of meta-stable protective tribo-ceramics within thicknesses of a few atomic layers. A mullite and sapphire structure predominates in these phases. They act as thermal barriers with an amazing energy soaking/dissipating capacity. Less protective tribo-films cannot sustain in these severe conditions and rapidly wear out. Therefore, a functional hierarchy is established. The created tribo-films act in synergy, striving to better adapt themselves to external stimuli. Under a highly complex structure and non-equilibrium state, the upcoming generation of adaptive surface engineered nano-multilayer materials behaves like intelligent systems - capable of generating, with unprecedented efficiency, the necessary tribo-films to endure an increasingly severe environment.
Fox-Rabinovich, G.; Kovalev, A.; Veldhuis, S.; Yamamoto, K.; Endrino, J. L.; Gershman, I. S.; Rashkovskiy, A.; Aguirre, M. H.; Wainstein, D. L.
2015-01-01
Atomic-scale, tribo-ceramic films associated with dissipative structures formation are discovered under extreme frictional conditions which trigger self-organization. For the first time, we present an actual image of meta-stable protective tribo-ceramics within thicknesses of a few atomic layers. A mullite and sapphire structure predominates in these phases. They act as thermal barriers with an amazing energy soaking/dissipating capacity. Less protective tribo-films cannot sustain in these severe conditions and rapidly wear out. Therefore, a functional hierarchy is established. The created tribo-films act in synergy, striving to better adapt themselves to external stimuli. Under a highly complex structure and non-equilibrium state, the upcoming generation of adaptive surface engineered nano-multilayer materials behaves like intelligent systems - capable of generating, with unprecedented efficiency, the necessary tribo-films to endure an increasingly severe environment. PMID:25740153
Sprayable superhydrophobic nano-chains coating with continuous self-jumping of dew and melting frost
Wang, Shanlin; Zhang, Wenwen; Yu, Xinquan; Liang, Caihua; Zhang, Youfa
2017-01-01
Spontaneous movement of condensed matter provides a new insight to efficiently improve condensation heat transfer on superhydrophobic surface. However, very few reports have shown the jumping behaviors on the sprayable superhydrophobic coatings. Here, we developed a sprayable silica nano-porous coating assembled by fluorinated nano-chains to survey the condensates’ dynamics. The dewdrops were continuously removed by self- and/or trigger-propelling motion due to abundant nano-pores from random multilayer stacking of nano-chains. In comparison, the dewdrops just could be slipped under the gravity effect on lack of nano-pores coatings stacked by silica nano-spheres and nano-aggregates. More interestingly, the spontaneous jumping effect also occurred on micro-scale frost crystals under the defrosting process on nano-chains coating surfaces. Different from self-jumping of dewdrops motion, the propelling force of frost crystals were provided by a sudden increase of the pressure under the frost crystal. PMID:28074938
Wang, Fang-Hsing; Chen, Kun-Neng; Hsu, Chao-Ming; Liu, Min-Chu; Yang, Cheng-Fu
2016-01-01
In this study, Ga2O3-doped ZnO (GZO) thin films were deposited on glass and flexible polyimide (PI) substrates at room temperature (300 K), 373 K, and 473 K by the radio frequency (RF) magnetron sputtering method. After finding the deposition rate, all the GZO thin films with a nano-scale thickness of about 150 ± 10 nm were controlled by the deposition time. X-ray diffraction patterns indicated that the GZO thin films were not amorphous and all exhibited the (002) peak, and field emission scanning electron microscopy showed that only nano-scale particles were observed. The dependences of the structural, electrical, and optical properties of the GZO thin films on different deposition temperatures and substrates were investigated. X-ray photoemission spectroscopy (XPS) was used to measure the elemental composition at the chemical and electronic states of the GZO thin films deposited on different substrates, which could be used to clarify the mechanism of difference in electrical properties of the GZO thin films. In this study, the XPS binding energy spectra of Ga2p3/2 and Ga2p1/2 peaks, Zn2p3/2 and Zn2p1/2 peaks, the Ga3d peak, and O1s peaks for GZO thin films on glass and PI substrates were well compared. PMID:28335216
2017-07-01
Lattice Boltzmann Method continues to garner interest in fluids research , particularly with its ability to accurately simulate laminar flows in the...Lattice- Boltzmann Method Report 2 in “Discrete Nano-Scale Mechanics and Simulations” Series In fo rm at io n Te ch no lo gy L ab or at or y...William P. England and Jeffrey B. Allen July 2017 Approved for public release; distribution is unlimited. The U.S. Army Engineer Research and
Carbon nanotube thin film strain sensor models assembled using nano- and micro-scale imaging
NASA Astrophysics Data System (ADS)
Lee, Bo Mi; Loh, Kenneth J.; Yang, Yuan-Sen
2017-07-01
Nanomaterial-based thin films, particularly those based on carbon nanotubes (CNT), have brought forth tremendous opportunities for designing next-generation strain sensors. However, their strain sensing properties can vary depending on fabrication method, post-processing treatment, and types of CNTs and polymers employed. The objective of this study was to derive a CNT-based thin film strain sensor model using inputs from nano-/micro-scale experimental measurements of nanotube physical properties. This study began with fabricating ultra-low-concentration CNT-polymer thin films, followed by imaging them using atomic force microscopy. Image processing was employed for characterizing CNT dispersed shapes, lengths, and other physical attributes, and results were used for building five different types of thin film percolation-based models. Numerical simulations were conducted to assess how the morphology of dispersed CNTs in its 2D matrix affected bulk film electrical and electromechanical (strain sensing) properties. The simulation results showed that CNT morphology had a significant impact on strain sensing performance.
NASA Astrophysics Data System (ADS)
Choi, Tae-Youl
ultrashort laser pulse accompanied by the pre-pulse induces air breakdown that can be detrimental to materials processing. A time-resolved pump-and-probe experiment provides distinct evidence for the occurrence of an air plasma and air breakdown. This highly nonlinear phenomenon takes place before the commencement of the ablation process, which is traced beyond elapsed time of the order of 10 ps with respect to the ablating pulse. The nonlinear refractive index of the generated air plasma is calculated as a function of electron density. The self-focusing of the main pulse is identified by the third order nonlinear susceptibility. A crystalline silicon sample is subjected to two optically separated ultra-fast laser pulses of full-width-half-maximum (FWHM) duration of about 80 femtoseconds. These pulses are delivered at wavelength, lambda = 800 nm. Femtosecond-resolved imaging pump-and-probe experiments in reflective and Schlieren configurations have been performed to investigate plasma dynamics and shock wave propagation during the sample ablation process. By using a diffractive optical element (DOE) for beam shaping, microchannels were fabricated. A super-long working distance objective lens was used to machine silicon materials in the sub-micrometer scale. As an extension of micro-machining, the finite difference time domain (FDTD) method is used to assess the feasibility of using near-field distribution of laser light. Gold coated films were machined with nano-scale dimensions and characterized with atomic force microscopy (AFM).
Wang, Yan; Nowack, Bernd
2018-05-01
Many research studies have endeavored to investigate the ecotoxicological hazards of engineered nanomaterials (ENMs). However, little is known regarding the actual environmental risks of ENMs, combining both hazard and exposure data. The aim of the present study was to quantify the environmental risks for nano-Al 2 O 3 , nano-SiO 2 , nano iron oxides, nano-CeO 2 , and quantum dots by comparing the predicted environmental concentrations (PECs) with the predicted-no-effect concentrations (PNECs). The PEC values of these 5 ENMs in freshwaters in 2020 for northern Europe and southeastern Europe were taken from a published dynamic probabilistic material flow analysis model. The PNEC values were calculated using probabilistic species sensitivity distribution (SSD). The order of the PNEC values was quantum dots < nano-CeO 2 < nano iron oxides < nano-Al 2 O 3 < nano-SiO 2 . The risks posed by these 5 ENMs were demonstrated to be in the reverse order: nano-Al 2 O 3 > nano-SiO 2 > nano iron oxides > nano-CeO 2 > quantum dots. However, all risk characterization values are 4 to 8 orders of magnitude lower than 1, and no risk was therefore predicted for any of the investigated ENMs at the estimated release level in 2020. Compared to static models, the dynamic material flow model allowed us to use PEC values based on a more complex parameterization, considering a dynamic input over time and time-dependent release of ENMs. The probabilistic SSD approach makes it possible to include all available data to estimate hazards of ENMs by considering the whole range of variability between studies and material types. The risk-assessment approach is therefore able to handle the uncertainty and variability associated with the collected data. The results of the present study provide a scientific foundation for risk-based regulatory decisions of the investigated ENMs. Environ Toxicol Chem 2018;37:1387-1395. © 2018 SETAC. © 2018 SETAC.
Study of nano-architecture of the wings of Paris Peacock butterfly
NASA Astrophysics Data System (ADS)
Ghate, Ekata; Bhoraskar, S. V.; Kulkarni, G. R.
Butterflies are one of the most colorful creatures in animal Kingdom. Wings of the male butterfly are brilliantly colored to attract females. Color of the wings plays an important role in camouflage. Study of structural colors in case of insects and butterflies are important for their biomimic and biophotonic applications. Structural color is the color which is produced by physical structures and their interaction with light. Paris Peacock or Papilio paris butterfly belongs to the family Papilionidae. The basis of structural color of this butterfly is investigated in the present study. The upper surface of the wings in this butterfly is covered with blue, green and brown colored scales. Nano-architecture of these scales was investigated with scanning electron microscope (SEM) and environmental scanning electron microscope (ESEM). Photomicrographs were analyzed using image analysis software. Goniometric color or iridescence in blue and green colored scales of this butterfly was observed and studied with the help of gonio spectrophotometer in the visible range. No iridescence was observed in brown colored scales of the butterfly. Hues of the blue and green color were measured with spectrophotometer and were correlated with nano-architecture of the wing. Results of electron microscopy and reflection spectroscopy are used to explain the iridescent nature of blue and green scales. Sinusoidal grating like structures of these scales were prominently seen in the blue scales. It is possible that the structure of these wings can act as a template for the fabrication of sinusoidal gratings using nano-imprint technology.
Design of a portable fluoroquinolone analyzer based on terbium-sensitized luminescence
NASA Astrophysics Data System (ADS)
Chen, Guoying
2007-09-01
A portable fluoroquinolone (FQ) analyzer is designed and prototyped based on terbium-sensitized luminescence (TSL). The excitation source is a 327-nm light emitting diode (LED) operated in pulsed mode; and the luminescence signal is detected by a photomultiplier tube (PMT). In comparison to a conventional xenon flashlamp, an LED is small, light, robust, and energy efficient. More importantly, its narrow emission bandwidth and low residual radiation reduce background signal. In pulse mode, an LED operates at a current 1-2 orders of magnitude lower than that of a xenon flashlamp, thus minimizing electromagnetic interference (EMI) to the detector circuitry. The PMT is gated to minimize its response to the light source. These measures lead to reduced background noise in time domain. To overcome pulse-to-pulse variation signal normalization is implemented based on individual pulse energy. Instrument operation and data processing are controlled by a computer running a custom LabVIEW program. Enrofloxacin (ENRO) is used as a model analyte to evaluate instrument performance. The integrated TSL intensity reveals a linear dependence up to 2 ppm. A 1.1-ppb limit of detection (LOD) is achieved with relative standard deviation (RSD) averaged at 5.1%. The background noise corresponds to ~5 ppb. At 19 lbs, this portable analyzer is field deployable for agriculture, environmental and clinical analyses.
Afkhami, Abbas; Saber-Tehrani, Mohammad; Bagheri, Hasan
2010-09-15
2,4-Dinitrophenylhydrazine (DNPH) immobilized on sodium dodecyl sulfate coated nano-alumina was developed for the removal of metal cations Pb(II), Cd(II), Cr(III), Co(II), Ni(II) and Mn(II) from water samples. The research results displayed that adsorbent has the highest adsorption capacity for Pb(II), Cr(III) and Cd(II) in ions mixture system. Optimal experimental conditions including pH, adsorbent dosage and contact time have been established. Langmuir and Freundlich isotherm models were applied to analyze the experimental data. The best interpretation for the experimental data was given by the Freundlich adsorption isotherm equation for Mn(II), Pb(II), Cr(III) and Cd(II) ions and by Langmuir isotherm equation for Ni(II) and Co(II) ions. Desorption experiments by elution of the adsorbent with a mixture of nitric acid and methanol show that the modified alumina nanoparticles could be reused without significant losses of its initial properties even after three adsorption-desorption cycles. Thus, modified nano-alumina with DNPH is favorable and useful for the removal of these metal ions, and the high adsorption capacity makes it a good promising candidate material for Pb(II),Cr(III) and Cd(II) removal. Copyright 2010 Elsevier B.V. All rights reserved.
Nano-Electrochemistry and Nano-Electrografting with an Original Combined AFM-SECM
Ghorbal, Achraf; Grisotto, Federico; Charlier, Julienne; Palacin, Serge; Goyer, Cédric; Demaille, Christophe; Ben Brahim, Ammar
2013-01-01
This study demonstrates the advantages of the combination between atomic force microscopy and scanning electrochemical microscopy. The combined technique can perform nano-electrochemical measurements onto agarose surface and nano-electrografting of non-conducting polymers onto conducting surfaces. This work was achieved by manufacturing an original Atomic Force Microscopy-Scanning ElectroChemical Microscopy (AFM-SECM) electrode. The capabilities of the AFM-SECM-electrode were tested with the nano-electrografting of vinylic monomers initiated by aryl diazonium salts. Nano-electrochemical and technical processes were thoroughly described, so as to allow experiments reproducing. A plausible explanation of chemical and electrochemical mechanisms, leading to the nano-grafting process, was reported. This combined technique represents the first step towards improved nano-processes for the nano-electrografting. PMID:28348337
Controlling the near-field excitation of nano-antennas with phase-change materials.
Kao, Tsung Sheng; Chen, Yi Guo; Hong, Ming Hui
2013-01-01
By utilizing the strongly induced plasmon coupling between discrete nano-antennas and quantitatively controlling the crystalline proportions of an underlying Ge2Sb2Te5 (GST) phase-change thin layer, we show that nanoscale light localizations in the immediate proximity of plasmonic nano-antennas can be spatially positioned. Isolated energy hot-spots at a subwavelength scale can be created and adjusted across the landscape of the plasmonic system at a step resolution of λ/20. These findings introduce a new approach for nano-circuitry, bio-assay addressing and imaging applications.
Nano-optical conveyor belt with waveguide-coupled excitation.
Wang, Guanghui; Ying, Zhoufeng; Ho, Ho-pui; Huang, Ying; Zou, Ningmu; Zhang, Xuping
2016-02-01
We propose a plasmonic nano-optical conveyor belt for peristaltic transport of nano-particles. Instead of illumination from the top, waveguide-coupled excitation is used for trapping particles with a higher degree of precision and flexibility. Graded nano-rods with individual dimensions coded to have resonance at specific wavelengths are incorporated along the waveguide in order to produce spatially addressable hot spots. Consequently, by switching the excitation wavelength sequentially, particles can be transported to adjacent optical traps along the waveguide. The feasibility of this design is analyzed using three-dimensional finite-difference time-domain and Maxwell stress tensor methods. Simulation results show that this system is capable of exciting addressable traps and moving particles in a peristaltic fashion with tens of nanometers resolution. It is the first, to the best of our knowledge, report about a nano-optical conveyor belt with waveguide-coupled excitation, which is very important for scalability and on-chip integration. The proposed approach offers a new design direction for integrated waveguide-based optical manipulation devices and its application in large scale lab-on-a-chip integration.
Programmable Nano-Bio Interfaces for Functional Biointegrated Devices.
Cai, Pingqiang; Leow, Wan Ru; Wang, Xiaoyuan; Wu, Yun-Long; Chen, Xiaodong
2017-07-01
A large amount of evidence has demonstrated the revolutionary role of nanosystems in the screening and shielding of biological systems. The explosive development of interfacing bioentities with programmable nanomaterials has conveyed the intriguing concept of nano-bio interfaces. Here, recent advances in functional biointegrated devices through the precise programming of nano-bio interactions are outlined, especially with regard to the rational assembly of constituent nanomaterials on multiple dimension scales (e.g., nanoparticles, nanowires, layered nanomaterials, and 3D-architectured nanomaterials), in order to leverage their respective intrinsic merits for different functions. Emerging nanotechnological strategies at nano-bio interfaces are also highlighted, such as multimodal diagnosis or "theragnostics", synergistic and sequential therapeutics delivery, and stretchable and flexible nanoelectronic devices, and their implementation into a broad range of biointegrated devices (e.g., implantable, minimally invasive, and wearable devices). When utilized as functional modules of biointegrated devices, these programmable nano-bio interfaces will open up a new chapter for precision nanomedicine. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Fujimori, Juichi; Nakashima, Ichiro; Baba, Toru; Meguro, Yuko; Ogawa, Ryo; Fujihara, Kazuo
2017-12-01
Approximately 55% of patients with neuromyelitis optica spectrum disorder (NMOSD) show cognitive impairment as evaluated using the Rao Brief Repeatable Neuropsychological Battery (BRBN), but this frequency appears to be higher than the frequency of specific brain lesions in NMOSD. We studied whether cognitive impairment could be observed in NMOSD patients with no or minor non-specific brain lesions. We evaluated cognitive function in 12 NMOSD and 14 MS patients using the Wechsler Adult Intelligence Scale-III (WAIS-III), the Wechsler Memory Scale-Revised (WMS-R), and the BRBN. We judged as cognitively impaired patients whose scores were below the average by 2 standard deviations or greater in 2 or more cognitive domains. Cognitive impairment was observed in 5 MS patients (35.7%) and in the only NMOSD patient (8.3%) with symptomatic brain lesions, but not in the other NMOSD patients who had no or minor non-specific brain lesions. Meanwhile, 5 NMOSD (41.7%) and 4 MS (28.6%) patients who had normal cognition according to the WAIS-III and WMS-R were assessed as cognitively impaired by the BRBN (which is not standardized for age). Cognitive function in NMOSD patients with no or mild non-specific brain lesions was preserved according to the WAIS-III and WMS-R.
Bazo-Alvarez, Juan Carlos; Bazo-Alvarez, Oscar Alfredo; Aguila, Jeins; Peralta, Frank; Mormontoy, Wilfredo; Bennett, Ian M
2016-01-01
Our aim was to evaluate the psychometric properties of the FACES-III among Peruvian high school students. This is a psychometric cross-sectional study. A probabilistic sampling was applied, defined by three stages: stratum one (school), stratum two (grade) and cluster (section). The participants were 910 adolescent students of both sexes, between 11 and 18 years of age. The instrument was also the object of study: the Olson's FACES-III. The analysis included a review of the structure / construct validity of the measure by factor analysis and assessment of internal consistency (reliability). The real-cohesion scale had moderately high reliability (Ω=.85) while the real-flexibility scale had moderate reliability (Ω=.74). The reliability found for the ideal-cohesion was moderately high (Ω=.89) like for the scale of ideal-flexibility (Ω=.86). Construct validity was confirmed by the goodness of fit of a two factor model (cohesion and flexibility) with 10 items each [Adjusted goodness of fit index (AGFI) = 0.96; Expected Cross Validation Index (ECVI) = 0.87; Normed fit index (NFI) = 0.93; Goodness of fit index (GFI) = 0.97; Root mean square error of approximation (RMSEA) = 0.06]. FACES-III has sufficient reliability and validity to be used in Peruvian adolescents for the purpose of group or individual assessment.
Bailey, Charles L.; Morozov, Victor; Vsevolodov, Nikolai N.
2010-08-17
The claimed invention describes methods and apparatuses for manufacturing nano-aerosols and nano-structured materials based on the neutralization of charged electrosprayed products with oppositely charged electrosprayed products. Electrosprayed products include molecular ions, nano-clusters and nano-fibers. Nano-aerosols can be generated when neutralization occurs in the gas phase. Neutralization of electrospan nano-fibers with molecular ions and charged nano-clusters may result in the formation of fibrous aerosols or free nano-mats. Nano-mats can also be produced on a suitable substrate, forming efficient nano-filters.
Mn-silicide nanostructures aligned on massively parallel silicon nano-ribbons
NASA Astrophysics Data System (ADS)
De Padova, Paola; Ottaviani, Carlo; Ronci, Fabio; Colonna, Stefano; Olivieri, Bruno; Quaresima, Claudio; Cricenti, Antonio; Dávila, Maria E.; Hennies, Franz; Pietzsch, Annette; Shariati, Nina; Le Lay, Guy
2013-01-01
The growth of Mn nanostructures on a 1D grating of silicon nano-ribbons is investigated at atomic scale by means of scanning tunneling microscopy, low energy electron diffraction and core level photoelectron spectroscopy. The grating of silicon nano-ribbons represents an atomic scale template that can be used in a surface-driven route to control the combination of Si with Mn in the development of novel materials for spintronics devices. The Mn atoms show a preferential adsorption site on silicon atoms, forming one-dimensional nanostructures. They are parallel oriented with respect to the surface Si array, which probably predetermines the diffusion pathways of the Mn atoms during the process of nanostructure formation.
Mn-silicide nanostructures aligned on massively parallel silicon nano-ribbons.
De Padova, Paola; Ottaviani, Carlo; Ronci, Fabio; Colonna, Stefano; Olivieri, Bruno; Quaresima, Claudio; Cricenti, Antonio; Dávila, Maria E; Hennies, Franz; Pietzsch, Annette; Shariati, Nina; Le Lay, Guy
2013-01-09
The growth of Mn nanostructures on a 1D grating of silicon nano-ribbons is investigated at atomic scale by means of scanning tunneling microscopy, low energy electron diffraction and core level photoelectron spectroscopy. The grating of silicon nano-ribbons represents an atomic scale template that can be used in a surface-driven route to control the combination of Si with Mn in the development of novel materials for spintronics devices. The Mn atoms show a preferential adsorption site on silicon atoms, forming one-dimensional nanostructures. They are parallel oriented with respect to the surface Si array, which probably predetermines the diffusion pathways of the Mn atoms during the process of nanostructure formation.
Evaluation of the adhesion on the nano-scaled polymeric film systems.
Park, Tae Sung; Park, Ik Keun; Yoshida, Sanichiro
2017-04-01
We applied scanning acoustic microscopy known as the V(z) curve technique to photoresist thin-film systems for the evaluation of the adhesive strength at the film-substrate interface. Through the measurement of the SAW (Surface Acoustic Wave) velocity, the V(z) curve analysis allows us to quantify the stiffness of the film-substrate interface. In addition, we conducted a nano-scratch test to quantify the ultimate strength of the adhesion through the evaluation of the critical load. To vary the adhesive conditions, we prepared thin-film specimens with three different types of pre-coating surface treatments, i.e., oxygen-plasma bombardment, HMDS (Hexametyldisilazane) treatment and untreated. The magnitudes of the quantified stiffness and ultimate strength are found consistent with each other for all the specimens tested, indicating that the pre-coating surface treatment can strengthen both the stiffness and ultimate strength of the adhesion. The results of this study demonstrate the usefulness of the V(Z) analysis as a nondestructive method to evaluate the adhesion strength of nano-structured thin-film systems. Copyright © 2017 Elsevier B.V. All rights reserved.
A NANO enhancement to Moore's law
NASA Astrophysics Data System (ADS)
Wu, Jerry; Shen, Yin-Lin; Reinhardt, Kitt; Szu, Harold
2012-06-01
In the past 46 years, Intel Moore observed an exponential doubling in the number of transistors in every 18 months through the size reduction of individual transistor components since 1965. In this paper, we are exploring the nanotechnology impact upon the Law. Since we cannot break down the atomic size barrier, the fact implies a fundamental size limit at the atomic or Nanotechnology scale. This means, no more simple 18 month doubling as in Moore's Law, but other forms of transistor doubling may happen at a different slope in new directions. We are particularly interested in the Nano enhancement area. (i) 3-D: If the progress in shrinking the in-plane dimensions (2D) is to slow down, vertical integration (3D) can help increasing the areal device transistor density and keep us on the modified Moore's Law curve including the 3rd dimension. As the devices continue to shrink further into the 20 to 30 nm range, the consideration of thermal properties and transport in such nanoscale devices becomes increasingly important. (ii) Carbon Computing: Instead of traditional Transistors, the other types of transistors material are rapidly developed in Laboratories Worldwide, e.g. IBM Spintronics bandgap material and Samsung Nano-storage material, HD display Nanotechnology, which are modifying the classical Moore's Law. We shall consider the overall limitation of phonon engineering, fundamental information unit 'Qubyte' in quantum computing, Nano/Micro Electrical Mechanical System (NEMS), Carbon NanoTubes (CNTs), single layer Graphemes, single strip Nano-Ribbons, etc., and their variable degree of fabrication maturities for the computing and information processing applications.
Nano-materials enabled thermoelectricity from window glasses.
Inayat, Salman B; Rader, Kelly R; Hussain, Muhammad M
2012-01-01
With a projection of nearly doubling up the world population by 2050, we need wide variety of renewable and clean energy sources to meet the increased energy demand. Solar energy is considered as the leading promising alternate energy source with the pertinent challenge of off sunshine period and uneven worldwide distribution of usable sun light. Although thermoelectricity is considered as a reasonable renewable energy from wasted heat, its mass scale usage is yet to be developed. Here we show, large scale integration of nano-manufactured pellets of thermoelectric nano-materials, embedded into window glasses to generate thermoelectricity using the temperature difference between hot outside and cool inside. For the first time, this work offers an opportunity to potentially generate 304 watts of usable power from 9 m(2) window at a 20°C temperature gradient. If a natural temperature gradient exists, this can serve as a sustainable energy source for green building technology.
NASA Astrophysics Data System (ADS)
Botelho, S. J.; Bazylak, A.
2015-04-01
In this study, the microporous layer (MPL) of the polymer electrolyte membrane (PEM) fuel cell was analysed at the nano-scale. Atomic force microscopy (AFM) was utilized to image the top layer of MPL particles, and a curve fitting algorithm was used to determine the particle size and filling radius distributions for SGL-10BB and SGL-10BC. The particles in SGL-10BC (approximately 60 nm in diameter) have been found to be larger than those in SGL-10BB (approximately 40 nm in diameter), highlighting structural variability between the two materials. The impact of the MPL particle interactions on the effective thermal conductivity of the bulk MPL was analysed using a discretization of the Fourier equation with the Gauss-Seidel iterative method. It was found that the particle spacing and filling radius dominates the effective thermal conductivity, a result which provides valuable insight for future MPL design.
Kim, In-hye; Son, Jun Sik; Choi, Seok Hwa; Kim, Kyo-han; Kwon, Tae-yub
2016-02-01
A simple and scalable surface modification treatment is demonstrated, in which nano- and microscale features are introduced into the surface of titanium (Ti) substrates by means of a novel and eco-friendly oxidative aqueous solution composed of hydrogen peroxide (H202) and sodium bicarbonate (NaHCO3). By immersing mirror-polished Ti discs in an aqueous mixture of 30 wt% H2O2/5 wt% NaHCO3 at 23 +/- 3 degrees C for 4 h, it was confirmed that this mixture is capable of generating microscale topographies on Ti surfaces. It also simultaneously formed nanochannels that were regularly arranged in a comb-like pattern on the Ti surface, thus forming a hierarchical surface structure. Further, these nano/micro-textured Ti surfaces showed great surface roughness and excellent wettability when compared with control Ti surfaces. This study demonstrates that a H2O2/NaHCO3 mixture can be effectively utilized to create reproducible nano/microscale topographies on Ti implant surfaces, thus providing an economical new oxidative solution that may be used effectively and safely as a Ti surface modification treatment.
Li, Chen; Cheng, Guanghua; Sedao, Xxx; Zhang, Wei; Zhang, Hao; Faure, Nicolas; Jamon, Damien; Colombier, Jean-Philippe; Stoian, Razvan
2016-05-30
The origin of high-spatial-frequency laser-induced periodic surface structures (HSFL) driven by incident ultrafast laser fields, with their ability to achieve structure resolutions below λ/2, is often obscured by the overlap with regular ripples patterns at quasi-wavelength periodicities. We experimentally demonstrate here employing defined surface topographies that these structures are intrinsically related to surface roughness in the nano-scale domain. Using Zr-based bulk metallic glass (Zr-BMG) and its crystalline alloy (Zr-CA) counterpart formed by thermal annealing from its glassy precursor, we prepared surfaces showing either smooth appearances on thermoplastic BMG or high-density nano-protuberances from randomly distributed embedded nano-crystallites with average sizes below 200 nm on the recrystallized alloy. Upon ultrashort pulse irradiation employing linearly polarized 50 fs, 800 nm laser pulses, the surfaces show a range of nanoscale organized features. The change of topology was then followed under multiple pulse irradiation at fluences around and below the single pulse threshold. While the former material (Zr-BMG) shows a specific high quality arrangement of standard ripples around the laser wavelength, the latter (Zr-CA) demonstrates strong predisposition to form high spatial frequency rippled structures (HSFL). We discuss electromagnetic scenarios assisting their formation based on near-field interaction between particles and field-enhancement leading to structure linear growth. Finite-difference-time-domain simulations outline individual and collective effects of nanoparticles on electromagnetic energy modulation and the feedback processes in the formation of HSFL structures with correlation to regular ripples (LSFL).
Nano Liquid Crystal Droplet Impact on Solid Surfaces
NASA Astrophysics Data System (ADS)
Zhang, Rui; de Pablo, Juan; dePablo Team
2015-03-01
Liquid droplet impaction on solid surfaces is an important problem with a wide range of applications in everyday life. Liquid crystals (LCs) are anisotropic liquids whose internal structure gives rise to rich optical and morphological phenomena. In this work we study the liquid crystal droplet impaction on solid surfaces by molecular dynamics simulations. We employ a widely used Gay-Berne model to describe the elongated liquid crystal molecules and their interactions. Our work shows that, in contrast to isotropic liquids, drop deformation is symmetric unless an instability kicks in, in which case a nano scale liquid crystal droplet exhibits distinct anisotropic spreading modes that do not occur in simple liquids. The drop prefers spreading along the low viscosity direction, but inertia can in some cases overcome that bias. The effects of the director field of the droplet, preferred anchoring direction and the anchoring strength of the wall are investigated. Large scale (0.1 micron) simulations are performed to connect our nano scale results to the experiments. Our studies indicate that LCs could provide an interesting alternative for development of next-generation printing inks.
Nanomanufacturing : nano-structured materials made layer-by-layer.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cox, James V.; Cheng, Shengfeng; Grest, Gary Stephen
Large-scale, high-throughput production of nano-structured materials (i.e. nanomanufacturing) is a strategic area in manufacturing, with markets projected to exceed $1T by 2015. Nanomanufacturing is still in its infancy; process/product developments are costly and only touch on potential opportunities enabled by growing nanoscience discoveries. The greatest promise for high-volume manufacturing lies in age-old coating and imprinting operations. For materials with tailored nm-scale structure, imprinting/embossing must be achieved at high speeds (roll-to-roll) and/or over large areas (batch operation) with feature sizes less than 100 nm. Dispersion coatings with nanoparticles can also tailor structure through self- or directed-assembly. Layering films structured with thesemore » processes have tremendous potential for efficient manufacturing of microelectronics, photovoltaics and other topical nano-structured devices. This project is designed to perform the requisite R and D to bring Sandia's technology base in computational mechanics to bear on this scale-up problem. Project focus is enforced by addressing a promising imprinting process currently being commercialized.« less
NASA Astrophysics Data System (ADS)
Mitchell, T. M.; Backeberg, N. R.; Iacoviello, F.; Rittner, M.; Jones, A. P.; Wheeler, J.; Day, R.; Vermeesch, P.; Shearing, P. R.; Striolo, A.
2017-12-01
The permeability of shales is important, because it controls where oil and gas resources can migrate to and where in the Earth hydrocarbons are ultimately stored. Shales have a well-known anisotropic directional permeability that is inherited from the depositional layering of sedimentary laminations, where the highest permeability is measured parallel to laminations and the lowest permeability is perpendicular to laminations. We combine state of the art laboratory permeability experiments with high-resolution X-ray computed tomography and for the first time can quantify the three-dimensional interconnected pathways through a rock that define the anisotropic behaviour of shales. Experiments record a physical anisotropy in permeability of one to two orders of magnitude. Two- and three-dimensional analyses of micro- and nano-scale X-ray computed tomography illuminate that the directional anisotropy is fundamentally controlled by the bulk rock mineral geometry, which determines the finite length (or tortuosity) of the interconnected pathways through the porous/permeable phases in shales. Understanding the mineral-scale control on permeability will allow for better estimations of the extent of recoverable reserves in shale gas plays globally.
Huang, Jian; Ding, Hong-Ming; Xu, Yan; Zeng, Dai; Zhu, Hui; Zang, Dong-Mian; Bao, Song-Song; Ma, Yu-Qiang; Zheng, Li-Min
2017-12-14
Chiral expression from the molecular to macroscopic level is common in biological systems, but is difficult to realise for coordination polymers (CPs). The assembly of homochiral CPs in both crystalline and helical forms can provide a bridge for understanding the relationship between the molecular and macroscopic scales of chirality. Herein, we report homochiral helices of [Tb(R- or S-pempH) 3 ]∙2H 2 O (R - or S -1) (pempH 2 = (1-phenylethylamino)methylphosphonic acid) and their crystalline counterparts (R - or S -3), which are formed at different pH of the reaction mixtures under hydrothermal conditions. By combining the experiments and molecular simulations, we propose that the formation of helices of R -1 or S -1 occurs via a hierarchical self-assembly route, which involves twisted packing due to the geometric incompatibility of the different types of chains. The observed chiral transcription from molecules to morphologies is significant for understanding bio-related self-assembly processes on the nano- to macro-scale.
NASA Astrophysics Data System (ADS)
Özdemir, T.; Güngör, A.; Akbay, I. K.; Uzun, H.; Babucçuoglu, Y.
2018-03-01
It is important to have a shielding material that is not easily breaking in order to have a robust product that guarantee the radiation protection of the patients and radiation workers especially during the medical exposure. In this study, nano sized lead oxide (PbO) particles were used, for the first time, to obtain an elastomeric composite material in which lead oxide nanoparticles, after the surface modification with silane binding agent, was used as functional material for radiation shielding. In addition, the composite material including 1%, 5%, 10%, 15% and 20% weight percent nano sized lead oxide was irradiated with doses of 81, 100 and 120 kGy up to an irradiation period of 248 days in a gamma ray source with an initial dose rate of 21.1 Gy/h. Mechanical, thermal properties of the irradiated materials were investigated using DSC, DMA, TGA and tensile testing and modifications in thermal and mechanical properties of the nano lead oxide containing composite material via gamma irradiation were reported. Moreover, effect of bismuth-III oxide addition on radiation attenuation of the composite material was investigated. Nano lead oxide and bismuth-III oxide particles were mixed with different weight ratios. Attenuation tests have been conducted to determine lead equivalent values for the developed composite material. Lead equivalent thickness values from 0.07 to 0.65 (2-6 mm sample thickness) were obtained.
NASA Astrophysics Data System (ADS)
Thompson, Matt A. T.
The behaviour of helium in tungsten is an important concern for the fusion materials community. Under helium plasma exposure, small nano-scale bubbles form beneath the material surface as helium precipitates from the tungsten matrix. Under certain conditions this can lead to the subsequent formation of a surface "nano-fuzz", though the mechanisms of this process are not presently understood. For sub-surface nano-bubble formation transmission electron microscopy (TEM) has been the most widely used technique. While certainly a powerful technique, TEM suffers from a number of significant drawbacks: sample preparation is difficult and destructive, and there are sampling limitations as nano-structures must be located and characterised individually. This makes quantitative characterisation of nano-scale modification in tungsten challenging, which in turn makes it difficult to perform systematic studies on the effects of factors such as temperature and plasma composition on nano-scale modification. Here, Grazing Incidence Small Angle X-ray Scattering (GISAXS) is presented as a powerful addition to the field of fusion materials. With GISAXS, one can measure the X-ray scattering from nano-scale features throughout a relatively large volume, allowing information about full nano-bubble size distributions to be obtained from a simple, non-destructive measurement. Where it typically takes days or weeks to prepare a sample and study it under TEM, GISAXS measurements can be performed in a matter of minutes, and the data analysis performed autonomously by a computer in hours. This thesis describes the work establishing GISAXS as a viable technique for fusion materials. A GISAXS pattern fitting model was first developed, and then validated via comparison between GISAXS and TEM measurements of helium induced nano-bubble formation in tungsten exposed to a helium discharge in the large helical device. Under these conditions, nano-bubbles were found to follow an approximately
Synchronized femtosecond laser pulse switching system based nano-patterning technology
NASA Astrophysics Data System (ADS)
Sohn, Ik-Bu; Choi, Hun-Kook; Yoo, Dongyoon; Noh, Young-Chul; Sung, Jae-Hee; Lee, Seong-Ku; Ahsan, Md. Shamim; Lee, Ho
2017-07-01
This paper demonstrates the design and development of a synchronized femtosecond laser pulse switching system and its applications in nano-patterning of transparent materials. Due to synchronization, we are able to control the location of each irradiated laser pulse in any kind of substrate. The control over the scanning speed and scanning step of the laser beam enables us to pattern periodic micro/nano-metric holes, voids, and/or lines in various materials. Using the synchronized laser system, we pattern synchronized nano-holes on the surface of and inside various transparent materials including fused silica glass and polymethyl methacrylate to replicate any image or pattern on the surface of or inside (transparent) materials. We also investigate the application areas of the proposed synchronized femtosecond laser pulse switching system in a diverse field of science and technology, especially in optical memory, color marking, and synchronized micro/nano-scale patterning of materials.
Template-guided highly aligned, nano-scale wrinkle structure on a large-area
NASA Astrophysics Data System (ADS)
Lim, Jongcheon; Kim, Pilnam
This study presents a novel technique to induce aligned, nano-scale wrinkle on a polysiloxane-based UV curable resin. There have been studies on generating randomized sub-micron wrinkle using oxygen plasma treatment which causes equibiaxial compressive stress on the film surface. Few works have been reported on how to control the surface wrinkle orientation. Currently available approaches for regulating the wrinkle pattern typically require polydimethylsiloxane (PDMS)-based bilayer system under uniaxial stress condition which hampers various technological applications. Here, we demonstrate a method to generate aligned wrinkle with UV curable polymers. Highly regular array of nanoscale wrinkles were formed by elastic buckling of bilayered UV curable resin, resulting from a combination of confinement effect and anchor-guided propagation of structure. The wrinkle tends to align uniformly lateral to the template pattern as the resin filled in the pattern forms more convex meniscus. The wavelength of the wrinkle was controlled by UV exposure time yielding as small as 170nm. From our results, we suggest the confinement provided by the template pattern may have affected the direction of thin film's expansion yielding unidirectional compressive stress. This work was supported by Samsung Research Funding Center of Samsung Electronics under Project Number SRFC-IT1402-02.
Lefticariu, Liliana; Sutton, Stephen R; Bender, Kelly S; Lefticariu, Mihai; Pentrak, Martin; Stucki, Joseph W
2017-01-01
Pollutants in acid mine drainage (AMD) are usually sequestered in neoformed nano- and micro-scale particles (nNP) through precipitation, co-precipitation, and sorption. Subsequent biogeochemical processes may control nNP stability and thus long-term contaminant immobilization. Mineralogical, chemical, and microbiological data collected from sediments accumulated over a six-year period in a coal-mine AMD treatment system were used to identify the pathways of contaminant dynamics. We present evidence that detrital nano- and micron-scale particles (dNP), composed mostly of clay minerals originating from the partial weathering of coal-mine waste, mediated biogeochemical processes that catalyzed AMD contaminant (1) immobilization by facilitating heterogeneous nucleation and growth of nNP in oxic zones, and (2) remobilization by promoting phase transformation and reductive dissolution of nNP in anoxic zones. We found that dNP were relatively stable under acidic conditions and estimated a dNP content of ~0.1g/L in the influent AMD. In the AMD sediments, the initial nNP precipitates were schwertmannite and poorly crystalline goethite, which transformed to well-crystallized goethite, the primary nNP repository. Subsequent reductive dissolution of nNP resulted in the remobilization of up to 98% of S and 95% of Fe accompanied by the formation of a compact dNP layer. Effective treatment of pollutants could be enhanced by better understanding the complex, dynamic role dNP play in mediating biogeochemical processes and contaminant dynamics at coal-mine impacted sites. Copyright © 2016 Elsevier B.V. All rights reserved.
Hawkins, Keith A; Tulsky, David S
2004-06-01
Within discrepancy analysis differences between scores are examined for abnormality. Although larger differences are generally associated with rising impairment probabilities, the relationship between discrepancy size and abnormality varies across score pairs in relation to the correlation between the contrasted scores in normal subjects. Examinee ability level also affects the size of discrepancies observed normally. Wechsler Memory Scale-Third Edition (WMS-III) visual index scores correlate only modestly with other Wechsler Adult Intelligence Scale-Third Edition (WAIS-III) and WMS-III index scores; consequently, differences between these scores and others have to be very large before they become unusual, especially for subjects of higher intelligence. The substitution of the Faces subtest by Visual Reproductions within visual memory indexes formed by the combination of WMS-III visual subtests (creating immediate recall, delayed recall, and combined immediate and delayed index scores) results in higher correlation coefficients, and a decline in the discrepancy size required to surpass base rate thresholds for probable impairment. This gain appears not to occur at the cost of a diminished sensitivity to diverse pathologies. New WMS-III discrepancy base rate data are supplied to complement those currently available to clinicians.
Mesbahi, Asghar; Ghiasi, Hosein
2018-06-01
The shielding properties of ordinary concrete doped with some micro and nano scaled materials were studied in the current study. Narrow beam geometry was simulated using MCNPX Monte Carlo code and the mass attenuation coefficient of ordinary concrete doped with PbO 2 , Fe 2 O 3 , WO 3 and H 4 B (Boronium) in both nano and micro scales was calculated for photon and neutron beams. Mono-energetic beams of neutrons (100-3000 keV) and photons (142-1250 keV) were used for calculations. The concrete doped with nano-sized particles showed higher neutron removal cross section (7%) and photon attenuation coefficient (8%) relative to micro-particles. Application of nano-sized material in the composition of new concretes for dual protection against neutrons and photons are recommended. For further studies, the calculation of attenuation coefficients of these nano-concretes against higher energies of neutrons and photons and different particles are suggested. Copyright © 2018 Elsevier Ltd. All rights reserved.
A multi-scale micromechanics framework for shale using the nano-tools
NASA Astrophysics Data System (ADS)
Ortega, J.; Ulm, F.; Abousleiman, Y.
2009-12-01
The successful prediction of poroelastic properties of fine-grained rocks such as shale continues to be a formidable challenge for the geophysics community. The highly heterogeneous nature of shale in terms of its compositional and microstructural features translates into a complex anisotropic behavior observed at macroscopic length scales. The recent application of instrumented indentation for the mechanical characterization of shale has revealed the granular response and intrinsic anisotropy of its porous clay phase at nanometer length scales [1-2]. This discovered mechanical behavior at the grain scale has been incorporated into the development of a multi-scale, micromechanics model for shale poroelasticity [3]. The only inputs to the model are two volumetric parameters synthesizing the mineralogy and porosity information of a shale sample. The model is meticulously calibrated and validated, as displayed in Fig. 1, with independent data sets of anisotropic elasticity obtained from nanoindentation experiments and standard laboratory acoustic measurements for shale specimens with and without organic content. The treatment of the elastic anisotropy corresponding to the porous clay fabric, as sensed by nanoindentation, delineates the contribution of the intrinsic anisotropy in shale to its overall anisotropy observed at macroscales. Furthermore, the proposed poroelastic formulation provides access to intrinsic rock parameters such as Biot pore pressure coefficients that are of importance for problems of flow in porous media. In addition, the model becomes a useful tool in geophysics applications for the prediction of shale acoustic properties from material-specific information such as porosity, mineralogy, and density measurements. References: [1] Ulm, F.-J., Abousleiman, Y. (2006) ‘The nanogranular nature of shale.’ Acta Geot. 1(2), 77-88. [2] Bobko, C., Ulm, F.-J. (2008) ‘The nano-mechanical morphology of shale.’ Mech. Mat. 40(4-5), 318-337. [3] Ortega, J
Psychometric Testing of the FACES III with Rural Adolescents
ERIC Educational Resources Information Center
Ide, Bette; Dingmann, Colleen; Cuevas, Elizabeth; Meehan, Maurita
2010-01-01
This study tests the validity and reliability of the Family Adaptability and Cohesion Scale III (FACES III) in two samples of rural adolescents. The underlying theory is the linear 3-D circumplex model. The FACES III was administered to 1,632 adolescents in Grades 7 through 12 in two counties in a rural western state. The FACES III Scale and the…
NASA Astrophysics Data System (ADS)
Li, Mu; Zhang, Mingxin; Wang, Weiyu; Cheng, Stephen Z. D.; Yin, Panchao
2018-05-01
Nano-scaled polyoxometalates (POMs) clusters with sizes ranging from 1 to 10 nm attract tremendous attention and have been extensively studied due to POMs' fascinating structural characteristics and prospects for wide-ranging applications. As a unique class of nanoparticles with well-defined structural topologies and monodispersed masses, the structures and properties of POMs in both bulk state and solutions have been explored with several well-developed protocols. Small-angle X-ray scattering (SAXS) technique, as a powerful tool for studying polymers and nanoparticles, has been recently extended to the investigating of solution behaviors of POMs. In this mini-review, the general principle and typical experimental procedures of SAXS are illustrated first. The applications of SAXS in characterizing POMs' morphology, counterion distribution around POMs, and short-range interactions among POMs in solutions are highlighted. [Figure not available: see fulltext.
Wacey, David
2010-05-01
The 3426-3350 Ma Strelley Pool Formation (SPF) is a silicified, dominantly sedimentary unit within the Pilbara Supergroup, Western Australia. It is found widely across the East Pilbara Terrane, and it forms a prominent marker horizon and separates the largely volcanic 3520-3427 Ma Warrawoona and 3350-3315 Ma Kelly groups. It has become one of the key formations for study by astrobiologists, following reports of some of the world's oldest stromatolites. Abundant contextural and morphological evidence has been presented over the last decade in support of a biological role in SPF stromatolite formation. This evidence is reviewed here, and additional data are presented from recent fieldwork carried out across the approximately 25 km of SPF outcrops in the East Strelley greenstone belt of the East Pilbara Terrane. In addition to contextural and morphological evidence, a compelling claim for early life requires geochemical evidence for biological cycling. A potential avenue of approach to obtain such evidence for the SPF stromatolites (and other ancient examples) is discussed in the context of a pilot study in which nano-scale secondary ion mass spectrometry (NanoSIMS) was used.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bossa, Nathan, E-mail: bossanathan@gmail.com; INERIS, Parc Technologique Alata, BP2, 60550 Verneuil-en-Halatte; iCEINT, CNRS, Duke Univ. International Consortium for the Environmental Implications of Nanotechnology, Aix-en-Provence
2015-01-15
Pore structure of leached cement pastes (w/c = 0.5) was studied for the first time from micro-scale down to the nano-scale by combining micro- and nano-X-ray computed tomography (micro- and nano-CT). This allowed assessing the 3D heterogeneity of the pore network along the cement profile (from the core to the altered layer) of almost the entire range of cement pore size, i.e. from capillary to gel pores. We successfully quantified an increase of porosity in the altered layer at both resolutions. Porosity is increasing from 1.8 to 6.1% and from 18 to 58% at the micro-(voxel = 1.81 μm) andmore » nano-scale (voxel = 63.5 nm) respectively. The combination of both CT allowed to circumvent weaknesses inherent of both investigation scales. In addition the connectivity and the channel size of the pore network were also evaluated to obtain a complete 3D pore network characterization at both scales.« less
NASA Astrophysics Data System (ADS)
Wang, Zhuosen; Gao, Jinwei; Zhang, Kaibo; Mai, Zhihong; Wang, Qianming
2018-07-01
The availability of lanthanide ciprofloxacin complexes and the exploration of efficient new ways to the target species have made fluorescent signals as essential tools for chemical sensing. Both terbium (III) and europium (III) compounds possess easily distinguished, line-like emission bands occurring in the green and red region respectively. Based on the steps of ionizations and the coordination structure changes, the two molecular probes give rise to unique pH-sensitivities at different conditions. The photoluminescence properties of the mixture for the two complexes are demonstrated. At pH from 3 to 6, the Eu(III) emission is found to be less affected and the solution emits blue light in acidic environment (pH = 3). The terbium (III) characteristic luminescence exhibited off-on changes within a narrow pH range (pH = 5-6). Further spectroscopic pH titrations (pH from 6 to 10) are performed and the Eu (III) red emission has been significantly improved. The molecular-based probes have excellent water solubility, negligible cytotoxicity and enough permeability to across cell membrane. Such pH-responsive performance has been carried out for the investigation of intracellular pH measurement and these novel pH indicators were considered to be suitable for detecting bio-medical samples.
Zhang, Qingchun; Jin, Bo; Shi, Zhaotao; Wang, Xiaofang; Lei, Shan; Tang, Xingyan; Liang, Hua; Liu, Qiangqiang; Gong, Mei; Peng, Rufang
2017-06-01
A new tris(dopamine) derivative, containing three dopamine chelate moieties which were attached to a trimesic acid molecular scaffold, has been prepared and fully characterized by NMR, FTIR and HRMS. The solution thermodynamic stability of the chelator with Fe(III), Mg(II), Zn(II) and Fe(II) ions was investigated. Results demonstrated that the chelator exhibited effective binding ability and improved selectivity to Fe(III) ion. The chelator possessed affinity similar to that of diethylenetriaminepentaacetic acid chelator for Fe(III) ion. The high affinity could be attributed to the favorable geometric arrangement between the chelator and Fe(III) ion coordination preference. The chelator also exhibited high antioxidant activity and nontoxicity to neuron-like rat pheochromocytoma cells. Hence, the chelator could be used as chelating agent for iron overload situations without depleting essential metal ions, such as Mg(II) and Zn(II) ions. Copyright © 2017. Published by Elsevier Inc.
Performance assessment of an irreversible nano Brayton cycle operating with Maxwell-Boltzmann gas
NASA Astrophysics Data System (ADS)
Açıkkalp, Emin; Caner, Necmettin
2015-05-01
In the last decades, nano-technology has been developed very fast. According to this, nano-cycle thermodynamics should improve with a similar rate. In this paper, a nano-scale irreversible Brayton cycle working with helium is evaluated for different thermodynamic criteria. These are maximum work output, ecological function, ecological coefficient of performance, exergetic performance criteria and energy efficiency. Thermodynamic analysis was performed for these criteria and results were submitted numerically. In addition, these criteria are compared with each other and the most convenient methods for the optimum conditions are suggested.
NASA Astrophysics Data System (ADS)
Brahmi, C.; Domart-Coulon, I.; Rougée, L.; Pyle, D. G.; Stolarski, J.; Mahoney, J. J.; Richmond, R. H.; Ostrander, G. K.; Meibom, A.
2012-09-01
A method to label marine biocarbonates is developed based on a concentration enrichment of a minor stable isotope of a trace element that is a natural component of seawater, resulting in the formation of biocarbonate with corresponding isotopic enrichments. This biocarbonate is subsequently imaged with a NanoSIMS ion microprobe to visualize the locations of the isotopic marker on sub-micrometric length scales, permitting resolution of all ultra-structural details. In this study, a scleractinian coral, Pocillopora damicornis, was labeled 3 times with 86Sr-enhanced seawater for a period of 48 h with 5 days under normal seawater conditions separating each labeling event. Two non-specific cellular stress biomarkers, glutathione-S-transferase activity and porphyrin concentration plus carbonic anhydrase, an enzymatic marker involved in the physiology of carbonate biomineralization, as well as unchanged levels of zooxanthellae photosynthesis efficiency indicate that coral physiological processes are not affected by the 86Sr-enhancement. NanoSIMS images of the 86Sr/44Ca ratio in skeleton formed during the experiment allow for a determination of the average extension rate of the two major ultra-structural components of the coral skeleton: Rapid Accretion Deposits are found to form on average about 4.5 times faster than Thickening Deposits. The method opens up new horizons in the study of biocarbonate formation because it holds the potential to observe growth of calcareous structures such as skeletons, shells, tests, spines formed by a wide range of organisms under essentially unperturbed physiological conditions.
Coluccio, Maria Laura; Gentile, Francesco; Francardi, Marco; Perozziello, Gerardo; Malara, Natalia; Candeloro, Patrizio; Di Fabrizio, Enzo
2014-01-01
The new revolution in materials science is being driven by our ability to manipulate matter at the molecular level to create structures with novel functions and properties. The aim of this paper is to explore new strategies to obtain plasmonic metal nanostructures through the combination of a top down method, that is electron beam lithography, and a bottom up technique, that is the chemical electroless deposition. This technique allows a tight control over the shape and size of bi- and three-dimensional metal patterns at the nano scale. The resulting nanostructures can be used as constituents of Surface Enhanced Raman Spectroscopy (SERS) substrates, where the electromagnetic field is strongly amplified. Our results indicate that, in electroless growth, high quality metal nanostructures with sizes below 50 nm may be easily obtained. These findings were explained within the framework of a diffusion limited aggregation (DLA) model, that is a simulation model that makes it possible to decipher, at an atomic level, the rules governing the evolution of the growth front; moreover, we give a description of the physical mechanisms of growth at a basic level. In the discussion, we show how these findings can be utilized to fabricate dimers of silver nanospheres where the size and shape of those spheres is controlled with extreme precision and can be used for very large area SERS substrates and nano-optics, for single molecule detection. PMID:24681672
Diffraction efficiency growth of nano-scale holographic recording produced in a corona discharge
NASA Astrophysics Data System (ADS)
Bodurov, I.; Yovcheva, T.; Vlaeva, I.; Viraneva, A.; Todorov, R.; Spassov, G.; Sainov, S.
2012-12-01
The nano-scale holographic gratings ware recorded in 29 nm and 56 nm thick As2S3 films. The chalcogenide layers were deposited on a transparent chromium electrode with thickness 10 nm, produced on a glass substrate. Both chromium and chalcogenide films were deposited in one vacuum cycle by e-beam and thermal evaporation, respectively. The diode 532 nm diode laser was used as a light source in the present holographic experiments. The total internal reflection arrangement (Stetson-Nassenstein) was used in holographic recordings. The reference beam was totally reflected from the air-As2S3 boundary surface by an input glass prism. The object beam was normally incident on the recording medium. The corona charging was performed by a needle fixed at the distance of 1 cm from the holographic recording medium by applying a - 5 kV voltage. The diffraction efficiency increased from 9 to 30 times when the corona discharge was applied during the holographic recording, in comparison to the uncharged recording. The possible reason of the observed effect is discussed on the basis of the Franz-Keldysh effect and Moss rule.
Kanel, S. R.; Clement, T. P.; Barnett, M. O.; ...
2011-01-01
Synthetic nano-scale hydroxyapatite (NHA) was prepared and characterized using X-ray diffraction (XRD) and scanning electron microscopy (SEM) methods. The XRD data confirmed that the crystalline structure and chemical composition of NHA correspond to Ca 5 OH(PO 4 ) 3 . The SEM data confirmed the size of NHA to be less than 50 nm. A two-dimensional physical model packed with saturated porous media was used to study the transport characteristics of NHA under constant flow conditions. The data show that the transport patterns of NHA were almost identical to tracer transport patterns. This result indicates that the NHA material can movemore » with water like a tracer, and its movement was neither retarded nor influenced by any physicochemical interactions and/or density effects. We have also tested the reactivity of NHA with 1 mg/L hexavalent uranium (U(VI)) and found that complete removal of U(VI) is possible using 0.5 g/L NHA at pH 5 to 6. Our results demonstrate that NHA has the potential to be injected as a dilute slurry for in situ treatment of U(VI)-contaminated groundwater systems.« less
NASA Astrophysics Data System (ADS)
Tkachuk, A. M.; Ivanova, S. E.; Mirzaeva, A. A.; Isaenko, L. I.
2017-05-01
Optical transitions in KPb2Cl5:Tb3+ crystals are studied experimentally and theoretically. The absorption cross-section spectra are plotted and the oscillator strengths of transitions from the ground terbium state to excited multiplets are determined. Intensity parameters Ωt for KPC:Tb3+ are determined by the Judd-Ofelt method to be Ω2 = 2.70 × 10-20 cm2, Ω4 = 7.0 × 10-20 cm2, and Ω6 = 0.72 × 10-20 cm2. These values were used to calculate such characteristics of spontaneous radiative transitions as oscillator strengths, probabilities of radiative transitions, and radiative lifetimes. The emission spectra of KPb2Cl5:Tb3+ crystals upon UV excitation and the decay kinetics of luminescence from the excited 5 D 3 and 5 D 4 levels are studied experimentally, the lifetimes of these levels are determined, and the dependences of the rates of nonradiative relaxation from the excited 7 F j ( j = 0-5), 5 D 4, and 5 D 3 levels to lower-lying terbium levels are calculated. It is shown that the population of the 5 D 4 level in KPC:Tb3+ crystals occurs according to a cascade scheme, which leads to quenching of the 5 D 3 level. The calculated data agree well with the known experimental rates of multiphonon nonradiative transitions for Dy:KPC, Nd:KPC, Er:KPC, Tb:KPB, and Nd:KPB crystals. It is shown that transitions in the near-IR (3-6 μm) region in double halide crystals (MPb2Hal5) are almost unquenched and the rates of nonradiative relaxation of excited levels spaced by energy gaps Δ E ji > 1000 cm-1 are W ji NR < 103s-1. This circumstance suggests that it is possible to obtain stimulated emission in KPb2Cl5:RE3+ crystals in the IR spectral region up to 6 μm.
Mechanical properties of cement concrete composites containing nano-metakaolin
NASA Astrophysics Data System (ADS)
Supit, Steve Wilben Macquarie; Rumbayan, Rilya; Ticoalu, Adriana
2017-11-01
The use of nano materials in building construction has been recognized because of its high specific surface area, very small particle sizes and more amorphous nature of particles. These characteristics lead to increase the mechanical properties and durability of cement concrete composites. Metakaolin is one of the supplementary cementitious materials that has been used to replace cement in concrete. Therefore, it is interesting to investigate the effectiveness of metakaolin (in nano scale) in improving the mechanical properties including compressive strength, tensile strength and flexural strength of cement concretes. In this experiment, metakaolin was pulverized by using High Energy Milling before adding to the concrete mixes. The pozzolan Portland cement was replaced with 5% and 10% nano-metakaolin (by wt.). The result shows that the optimum amount of nano-metakaolin in cement concrete mixes is 10% (by wt.). The improvement in compressive strength is approximately 123% at 3 days, 85% at 7 days and 53% at 28 days, respectively. The tensile and flexural strength results also showed the influence of adding 10% nano-metakaolin (NK-10) in improving the properties of cement concrete (NK-0). Furthermore, the Backscattered Electron images and X-Ray Diffraction analysis were evaluated to support the above findings. The results analysis confirm the pores modification due to nano-metakaolin addition, the consumption of calcium hydroxide (CH) and the formation of Calcium Silicate Hydrate (CSH) gel as one of the beneficial effects of amorphous nano-metakaolin in improving the mechanical properties and densification of microstructure of mortar and concrete.
NASA Astrophysics Data System (ADS)
de Boer, C. V.; O'Carroll, D. M.; Sleep, B.
2014-12-01
Reactive zero-valent iron is currently being used for remediation of contaminated groundwater. Permeable reactive barriers are the current state-of-the-practice method for using zero-valent iron. Instead of an excavated trench filled with granular zero-valent iron, a relatively new and promising method is the injection of a nano-scale zero-valent iron colloid suspension (nZVI) into the subsurface using injection wells. One goal of nZVI injection can be to deposit zero valent iron in the aquifer and form a reactive permeable zone which is no longer bound to limited depths and plume treatment, but can also be used directly at the source. It is very important to have a good understanding of the transport behavior of nZVI during injection as well as the fate of nZVI after injection due to changes in the flow regime or water chemistry changes. So far transport was mainly tested using commercially available nZVI, however these studies suggest that further work is required as commercial nZVI was prone to aggregation, resulting in low physical stability of the suspension and very short travel distances in the subsurface. In the presented work, nZVI is stabilized during synthesis to significantly increase the physical suspension stability. To improve our understanding of nZVI transport, the feasibility for injection into various porous media materials and controlled deposition, a suite of column experiments are conducted. The column experiments are performed using a long 1.5m column and a novel nZVI measuring technique. The measuring technique was developed to non-destructively determine the concentration of nano-scale iron during the injection. It records the magnetic susceptibility, which makes it possible to get transient nZVI retention profiles along the column. These transient nZVI retention profiles of long columns provide unique insights in the transport behavior of nZVI which cannot be obtained using short columns or effluent breakthrough curves.
ERIC Educational Resources Information Center
Greve, Kevin W.; Springer, Steven; Bianchini, Kevin J.; Black, F. William; Heinly, Matthew T.; Love, Jeffrey M.; Swift, Douglas A.; Ciota, Megan A.
2007-01-01
This study examined the sensitivity and false-positive error rate of reliable digit span (RDS) and the WAIS-III Digit Span (DS) scaled score in persons alleging toxic exposure and determined whether error rates differed from published rates in traumatic brain injury (TBI) and chronic pain (CP). Data were obtained from the files of 123 persons…
SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.
Mastari, M; Charles, M; Bogumilowicz, Y; Thai, Q M; Pimenta-Barros, P; Argoud, M; Papon, A M; Gergaud, P; Landru, D; Kim, Y; Hartmann, J M
2018-07-06
In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was investigated in a 300 mm industrial reduced pressure-chemical vapour deposition tool. An integration scheme based on diblock copolymer patterning was used to fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars. Results showed highly selective and uniform processes for the epitaxial growth of Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano-pillars and characterised by atomic force microscopy, x-ray diffraction and transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation were obtained in the 650 °C-700 °C range for 2D SiGe layers grown either on Si or SiGe nano-pillars.
Nazarov, Mihail; Tsukerblat, Boris; Byeon, Clare Chisu; Arellano, Ivan; Popovici, Elisabeth-Jeanne; Noh, Do Young
2009-01-01
The terbium-activated yttrium tantalite (YTaO(4):Tb(3+)) phosphor is of great interest due to the interesting spectroscopic properties of rare earth ions in crystals and also practical use in x-ray imaging. Using the group-theoretical approach, we analyze the selection rules for the transition between Stark components of Tb(3+) in symmetry of the actual crystal field and the polarization for the allowed transitions. The luminescence upon UV, vacuum-ultraviolet (VUV), and x-ray excitation is presented and discussed. The YTaO(4):Tb(3+) phosphors are found to be efficient VUV-excited luminescent materials that could be used not only in x-ray intensifying screens, but also in mercury-free fluorescent lamps or plasma display panels.
Characterization and Curing Kinetics of Epoxy/Silica Nano-Hybrids
Yang, Cheng-Fu; Wang, Li-Fen; Wu, Song-Mao; Su, Chean-Cheng
2015-01-01
The sol-gel technique was used to prepare epoxy/silica nano-hybrids. The thermal characteristics, curing kinetics and structure of epoxy/silica nano-hybrids were studied using differential scanning calorimetry (DSC), 29Si nuclear magnetic resonance (NMR) and transmission electron microscopy (TEM). To improve the compatibility between the organic and inorganic phases, a coupling agent was used to modify the diglycidyl ether of bisphenol A (DGEBA) epoxy. The sol-gel technique enables the silica to be successfully incorporated into the network of the hybrids, increasing the thermal stability and improving the mechanical properties of the prepared epoxy/silica nano-hybrids. An autocatalytic mechanism of the epoxy/SiO2 nanocomposites was observed. The low reaction rate of epoxy in the nanocomposites is caused by the steric hindrance in the network of hybrids that arises from the consuming of epoxide group in the network of hybrids by the silica. In the nanocomposites, the nano-scale silica particles had an average size of approximately 35 nm, and the particles were well dispersed in the epoxy matrix, according to the TEM images. PMID:28793616
Chen, Mian; Yang, Lei; Zhang, Lan; Han, Yong; Lu, Zheng; Qin, Gaowu; Zhang, Erlin
2017-06-01
In this research, Ti-Ag alloys were prepared by powder metallurgy, casting and heat treatment method in order to investigate the effect of Ag compound particles on the bio-corrosion, the antibacterial property and the cell biocompatibility. Ti-Ag alloys with different sizes of Ag or Ag-compounds particles were successfully prepared: small amount of submicro-scale (100nm) Ti 2 Ag precipitates with solid solution state of Ag, large amount of nano-scale (20-30nm) Ti 2 Ag precipitates with small amount of solid solution state of Ag and micro-scale lamellar Ti 2 Ag phases, and complete solid solution state of Ag. The mechanical tests indicated that both nano/micro-scale Ti 2 Ag phases had a strong dispersion strengthening ability and Ag had a high solid solution strengthening ability. Electrochemical results shown the Ag content and the size of Ag particles had a limited influence on the bio-corrosion resistance although nano-scale Ti 2 Ag precipitates slightly improved corrosion resistance. It was demonstrated that the nano Ag compounds precipitates have a significant influence on the antibacterial properties of Ti-Ag alloys but no effect on the cell biocompatibility. It was thought that both Ag ions release and Ti 2 Ag precipitates contributed to the antibacterial ability, in which nano-scale and homogeneously distributed Ti 2 Ag phases would play a key role in antibacterial process. Copyright © 2017 Elsevier B.V. All rights reserved.
Micro/Nano-scale Strain Distribution Measurement from Sampling Moiré Fringes.
Wang, Qinghua; Ri, Shien; Tsuda, Hiroshi
2017-05-23
This work describes the measurement procedure and principles of a sampling moiré technique for full-field micro/nano-scale deformation measurements. The developed technique can be performed in two ways: using the reconstructed multiplication moiré method or the spatial phase-shifting sampling moiré method. When the specimen grid pitch is around 2 pixels, 2-pixel sampling moiré fringes are generated to reconstruct a multiplication moiré pattern for a deformation measurement. Both the displacement and strain sensitivities are twice as high as in the traditional scanning moiré method in the same wide field of view. When the specimen grid pitch is around or greater than 3 pixels, multi-pixel sampling moiré fringes are generated, and a spatial phase-shifting technique is combined for a full-field deformation measurement. The strain measurement accuracy is significantly improved, and automatic batch measurement is easily achievable. Both methods can measure the two-dimensional (2D) strain distributions from a single-shot grid image without rotating the specimen or scanning lines, as in traditional moiré techniques. As examples, the 2D displacement and strain distributions, including the shear strains of two carbon fiber-reinforced plastic specimens, were measured in three-point bending tests. The proposed technique is expected to play an important role in the non-destructive quantitative evaluations of mechanical properties, crack occurrences, and residual stresses of a variety of materials.
Nano-scale characterization of the dynamics of the chloroplast Toc translocon.
Reddick, L Evan; Chotewutmontri, Prakitchai; Crenshaw, Will; Dave, Ashita; Vaughn, Michael; Bruce, Barry D
2008-01-01
Translocons are macromolecular nano-scale machines that facilitate the selective translocation of proteins across membranes. Although common in function, different translocons have evolved diverse molecular mechanisms for protein translocation. Subcellular organelles of endosymbiotic origin such as the chloroplast and mitochondria had to evolve/acquire translocons capable of importing proteins whose genes were transferred to the host genome. These gene products are expressed on cytosolic ribosomes as precursor proteins and targeted back to the organelle by an N-terminal extension called the transit peptide or presequence. In chloroplasts the transit peptide is specifically recognized by the Translocon of the Outer Chloroplast membrane (Toc) which is composed of receptor GTPases that potentially function as gate-like switches, where GTP binding and hydrolysis somehow facilitate preprotein binding and translocation. Compared to other translocons, the dynamics of the Toc translocon are probably more complex and certainly less understood. We have developed biochemical/biophysical, imaging, and computational techniques to probe the dynamics of the Toc translocon at the nanoscale. In this chapter we provide detailed protocols for kinetic and binding analysis of precursor interactions in organeller, measurement of the activity and nucleotide binding of the Toc GTPases, native electrophoretic analysis of the assembly/organization of the Toc complex, visualization of the distribution and mobility of Toc apparatus on the surface of chloroplasts, and conclude with the identification and molecular modeling Toc75 POTRA domains. With these new methodologies we discuss future directions of the field.
Shete, Ganesh; Bansal, Arvind Kumar
2016-08-01
Drug nanocrystals have rapidly evolved into a mature drug delivery strategy in the last decade, with almost 16 products currently on the market. Several "top-down" technologies are available in the market for generation of nanocrystals. Despite several advantages, very few bottom-up technologies have been explored for commercial purpose. This short communication highlights a novel, bottom-up, spray drying based technology-NanoCrySP-to generate drug nanocrystals. Nanocrystals are generated in the presence of non-polymeric excipients that act as crystallization inducer for the drug. Excipients encourage crystallization of drug by plasticization, primary heterogeneous nucleation, and imparting physical barrier to crystal growth. Nanocrystals have shown significant improvement in dissolution and thereby oral bioavailability. NanoCrySP technology is protected through patents in India, the USA, and the European Union. NanoCrySP can be utilized for (i) pharmaceutical development of new chemical entities, (ii) differentiated products of existing molecules, and (iii) generic drug products. The aggregation of drug nanocrystals generated using NanoCrySP poses significant challenges in the nanocrystal-based product development. Addition of stabilizers either during spray drying or during dissolution has shown beneficial effects.
Fornaguera, Cristina; Feiner-Gracia, Natàlia; Dols-Perez, Aurora; García-Celma, Maria José; Solans, Conxita
2017-05-01
Gold nanoparticles have been proved useful for many biomedical applications, specifically, for their use as advanced imaging systems. However, they usually present problems related with stability and toxicity. In the present work, gold-nanoparticles have been encapsulated in polymeric nanoparticles using a novel methodology based on nano-emulsion templating. Firstly, gold nanoparticles have been transferred from water to ethyl acetate, a solvent classified as class III by the NIH guidelines (low toxic potential). Next, the formation of nano-emulsions loaded with gold nanoparticles has been performed using a low-energy, the phase inversion composition (PIC) emulsification method, followed by solvent evaporation giving rise to polymeric nanoparticles. Using this methodology, high concentrations of gold nanoparticles (>100 pM) have been encapsulated. Increasing gold nanoparticle concentration, nano-emulsion and nanoparticle sizes increase, resulting in a decrease on the stability. It is noteworthy that the designed nanoparticles did not produce cytotoxicity neither hemolysis at the required concentration. Therefore, it can be concluded that a novel and very versatile methodology has been developed for the production of polymeric nanoparticles loaded with gold nanoparticles. Graphical Abstract Schematic representation of AuNP-loaded polymeric nanoparticles preparation from nano-emulsion templating.
Variation and Defect Tolerance for Nano Crossbars
NASA Astrophysics Data System (ADS)
Tunc, Cihan
With the extreme shrinking in CMOS technology, quantum effects and manufacturing issues are getting more crucial. Hence, additional shrinking in CMOS feature size seems becoming more challenging, difficult, and costly. On the other hand, emerging nanotechnology has attracted many researchers since additional scaling down has been demonstrated by manufacturing nanowires, Carbon nanotubes as well as molecular switches using bottom-up manufacturing techniques. In addition to the progress in manufacturing, developments in architecture show that emerging nanoelectronic devices will be promising for the future system designs. Using nano crossbars, which are composed of two sets of perpendicular nanowires with programmable intersections, it is possible to implement logic functions. In addition, nano crossbars present some important features as regularity, reprogrammability, and interchangeability. Combining these features, researchers have presented different effective architectures. Although bottom-up nanofabrication can greatly reduce manufacturing costs, due to low controllability in the manufacturing process, some critical issues occur. Bottom- up nanofabrication process results in high variation compared to conventional top- down lithography used in CMOS technology. In addition, an increased failure rate is expected. Variation and defect tolerance methods used for conventional CMOS technology seem inadequate for adapting to emerging nano technology because the variation and the defect rate for emerging nano technology is much more than current CMOS technology. Therefore, variations and defect tolerance methods for emerging nano technology are necessary for a successful transition. In this work, in order to tolerate variations for crossbars, we introduce a framework that is established based on reprogrammability and interchangeability features of nano crossbars. This framework is shown to be applicable for both FET-based and diode-based nano crossbars. We present a
Plasmonic graded nano-disks as nano-optical conveyor belt.
Kang, Zhiwen; Lu, Haifei; Chen, Jiajie; Chen, Kun; Xu, Fang; Ho, Ho-Pui
2014-08-11
We propose a plasmonic system consisting of nano-disks (NDs) with graded diameters for the realization of nano-optical conveyor belt. The system contains a couple of NDs with individual elements coded with different resonant wavelengths. By sequentially switching the wavelength and polarization of the excitation source, optically trapped target nano-particle can be transferred from one ND to another. The feasibility of such function is verified based on the three-dimensional finite-difference time-domain technique and the Maxwell stress tensor method. Our design may provide an alternative way to construct nano-optical conveyor belt with which target molecules can be delivered between trapping sites, thus enabling many on-chip optofluidic applications.
Lu, Jianbo; Xu, Kai; Yang, Jinmei; Hao, Yarong; Cheng, Fang
2017-10-01
Using Fe(III) salts and chitosan, nano iron oxide impregnated in chitosan bead (NIOC) was successfully synthesized for aqueous Cr(VI) detoxification via sol-gel technique without any additional crosslinking agent. NIOC characterization demonstrated that the iron in NIOC mainly existed as nano akaganeite (β-FeOOH) and complex with chitosan. Intraparticle diffusion was the major rate-limiting step. The maximal adsorption capacity was 69.8mg/g (pH 5.0, 20°C). Normal concentration of coexisting anions (SO 4 2- , CO 3 2- , SiO 3 2 -) showed insignificant competition, whereas PO 4 3- suppressed the Cr(VI) sorption. Cr(VI)-loaded NIOC could be effectively regenerated by alkaline solutions. Column adsorption runs using granular NIOC could effectively treat about 1600 bed volumes of Cr(VI) solution (from 3.7mg Cr/L in influent to <0.5mg Cr/L in effluent). The Cr(VI) removal mechanisms involved the direct sorption of Cr(VI) (electrostatic attraction and ligand exchange), reduction of Cr(VI) into Cr(III) and re-sorption of Cr(III) via chelation on NIOC surface. Copyright © 2017 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Hasan, Mohammad Nasim; Rabbi, Kazi Fazle; Mukut, K. M.; Tamim, Saiful Islam; Faisal, A. H. M.
2017-06-01
This study focuses on the occurrence of bubble nucleation in a liquid confined in a nano scale confinement and subjected to rapid cooling at one of its wall. Due to the very small size scale of the present problem, we adopt the molecular dynamics (MD) approach. The liquid (Argon) is confined within two solid (Platinum) walls. The temperature of the upper wall of the confinement is maintained at 90 K while the lower wall is being cooled rapidly to 50 K from initial equilibrium temperature of 90 K within 0.1 ns. This results in the nucleation and formation of nanobubbles in the liquid. The pattern of bubble nucleation has been studied for three different conditions of solid-liquid interfacial wettability such as hydrophilic, hydrophobic and neutral. Behavior of bubble nucleation is significantly different in the three case of solid-liquid interfacial wettability. In case of the hydrophobic confinement (weakly adsorbing), the liquid cannot achieve deeper metastability; vapor layers appear immediately on the walls. In case of the neutral confinement (moderately adsorbing), bubble nucleation is promoted by the walls where the nucleation is heterogeneous. In case of the hydrophilic walls (strongly adsorbing) bubbles are developed inside the liquid; that is the nucleation process is homogeneous. The variation in bubble nucleation under different conditions of surface wettability has been studied by the analysis of number density distribution, spatial temperature distribution, spatial number density distribution and heat flux through the upper and lower walls of the confinement. The present study indicates that the variation of heat transfer efficiency due to different surface wettability has significant effect on the size, shape and location of bubble nucleation in case rapid cooling of liquid in nano confinement.
NASA Astrophysics Data System (ADS)
Azman, I. A.; Salleh, R. M.; Alauddin, S. M.; Shueb, M. I.
2018-05-01
Blends of Ethylene Vinyl Acetate (EVA) and Low-Density Polyethylene (LDPE) are promising composite which have good mechanical properties to environmental stress cracking. However, they lack fire resistant properties, which limits it usage in wire and cable industry. In order to improve flame retardancy ability, a range of nano-magnesium hydroxide (nano-MH) loading which is from 0 phr to maximum of 20 phr with ultrasonic extrusion 0-100 kHz frequencies have been introduced. Ultrasonic extrusion was used to improve the distribution of nano-MH. It was found that, 10 phr of nano loading with 100 kHz ultrasonic assisted has greater tensile strength compared to the nanocomposite without ultrasonication. Further increase of nano MH loading, will decrease the tensile properties. Better elongation at break was observed at10 phr nano-MH with the frequency of 50 kHz. The sample of 20 phr of nanoMH assisted with 50 kHz ultrasonic exhibits good flexural properties while 10 phr of nano-MH without the ultrasonic assisted demonstrates good in izod impact properties. From the evaluation of mechanical properties studied, it was found that 10 phr of nano-MH has shown the best performance among all the samples tested for EVA/LDPE/nano-MH composites. Transmission Electron Microscopy (TEM) has been conducted on 10 phr sample with different frequencies in order to observe the distribution of nano-MH particles. The sample with 100 kHz frequency shows more uniform dispersion of nano-MH in EVA/LDPE composites. This investigation indicates that the ultrasonic technology can enhance the mechanical properties studied as well as the dispersion of nano particles in the composite.
Sulania, Indra; Agarwal, Dinesh C; Kumar, Manish; Kumar, Sunil; Kumar, Pravin
2016-07-27
We report the formation of self-organized nano-dots on the surface of InP(100) upon irradiating it with a 500 keV Ar(4+) ion beam. The irradiation was carried out at an angle of 25° with respect to the normal at the surface with 5 different fluences ranging from 1.0 × 10(15) to 1.0 × 10(17) ions per cm(2). The morphology of the ion-irradiated surfaces was examined by atomic force microscopy (AFM) and the formation of the nano-dots on the irradiated surfaces was confirmed. The average size of the nano-dots varied from 44 ± 14 nm to 94 ± 26 nm with increasing ion fluence. As a function of the ion fluence, the variation in the average size of the nano-dots has a great correlation with the surface roughness, which changes drastically up to the ion fluence of 1.0 × 10(16) ions per cm(2) and attains almost a saturation level for further irradiation. The roughness and the growth exponent values deduced from the scaling laws suggest that the kinetic sputtering and the large surface diffusion steps of the atoms are the primary reasons for the formation of the self-organized nanodots on the surface. X-ray photo-electron spectroscopy (XPS) studies show that the surface stoichiometry changes with the ion fluence. With irradiation, the surface becomes more indium (In)-rich owing to the preferential sputtering of the phosphorus atoms (P) and the pure metallic In nano-dots evolve at the highest ion fluence. The cross-sectional scanning electron microscopy (SEM) analysis of the sample irradiated with the highest fluence showed the absence of the nanostructuring beneath the surface. The surface morphological changes at this medium energy ion irradiation are discussed in correlation with the low and high energy experiments to shed more light on the mechanism of the well separated nano-dot formation.
Sol-gel synthesis and characterisation of nano-scale hydroxyapatite
NASA Astrophysics Data System (ADS)
Bilton, M.; Brown, A. P.; Milne, S. J.
2010-07-01
Hydroxyapatite (HAp) forms the main mineral component of bone and teeth. This naturally occurring HAp is in the form of nano-metre sized crystallites of Ca10(PO4)6(OH)2 that contain a number of cation and anion impurities, for example CO32-, F-, Na+, Mg2+ and Sr2+. Synthetic nano-sized HAp particles exhibit favourable biocompatibility and bioactivity and in order to better match the composition to natural HAp there is great interest in producing a range of chemically modified powders. In this study, two HAp powders have been synthesised via a water-based low-temperature sol-gel method and a third, commercial powder from Sigma-Aldrich have been analysed. Subsequent powder calcination has been carried out within the temperature range of 500-700 °C and the products characterised by bulk chemical analysis, X-ray diffraction and electron microscopy. Energy dispersive X-ray spectroscopy (EDX) in the TEM has been used to assess the composition of individual HAp particles. In order to do this accurately it is first necessary to account for the sensitivity of the HAp structure and composition to irradiation by the high energy electron beam of the TEM. This was done by monitoring the estimated Ca/P ratio derived from TEM-EDX of stoichiometric HAp under increasing levels of electron fluence. A fluence threshold (at a given beam energy) was established below which the measured Ca/P ratio can be considered to be stable. Subsequent elemental analysis at or below this threshold has enabled the variation in composition between particles both within and between synthesis batches to be accurately assessed. Compositional variability between particles is also evident, even in the commercial powder, but is far greater in the powders prepared by the sol-gel method.
NASA Astrophysics Data System (ADS)
Kang, Yu Jin; Chung, Haegeun; Kim, Min-Seop; Kim, Woong
2015-11-01
We demonstrate the fabrication of high-integrity flexible supercapacitors using carbon nanotubes (CNTs), polyethylene terephthalate (PET) films, and ion gels. Although both CNTs and PET films are attractive materials for flexible electronics, they have poor adhesion properties. In this work, we significantly improve interfacial adhesion by introducing nanostructures at the interface of the CNT and PET layers. Simple reactive ion etching (RIE) of the PET substrates generates nano-scale roughness on the PET surface. RIE also induces hydrophilicity on the PET surface, which further enhances adhesive strength. The improved adhesion enables high integrity and excellent flexibility of the fabricated supercapacitors, demonstrated over hundreds of bending cycles. Furthermore, the supercapacitors show good cyclability with specific capacitance retention of 87.5% after 10,000 galvanostatic charge-discharge (GCD) cycles. Our demonstration may be important for understanding interfacial adhesion properties in nanoscale and for producing flexible, high-integrity, high-performance energy storage systems.
Elemental and isotopic imaging of biological samples using NanoSIMS.
Kilburn, Matt R; Clode, Peta L
2014-01-01
With its low detection limits and the ability to analyze most of the elements in the periodic table, secondary ion mass spectrometry (SIMS) represents one of the most versatile in situ analytical techniques available, and recent developments have resulted in significant advantages for the use of imaging mass spectrometry in biological and biomedical research. Increases in spatial resolution and sensitivity allow detailed interrogation of samples at relevant scales and chemical concentrations. Advances in dynamic SIMS, specifically with the advent of NanoSIMS, now allow the tracking of stable isotopes within biological systems at subcellular length scales, while static SIMS combines subcellular imaging with molecular identification. In this chapter, we present an introduction to the SIMS technique, with particular reference to NanoSIMS, and discuss its application in biological and biomedical research.
NASA Astrophysics Data System (ADS)
Milke, R.; Dohmen, R.; Wiedenbeck, M.; Wirth, R.; Abart, R.; Becker, H.-W.
2003-04-01
Grain boundary diffusion studies by the rim growth method in the system MgO(±FeO)-SiO_2 have evolved from measuring rim growth rates to the tracing of chemical components by using isotopically enriched starting materials and SIMS analyses (Milke et al. 2001). We miniaturized this setup for grain boundary diffusion experiments by using pulsed-laser deposited (PLD) thin films (Dohmen et al. 2002). The starting samples consist of polycrystalline layers of pyroxene (en90fs10) and isotopically doped (18O, 29Si) olivine (fo90fa10) with a total thickness <= 1 μm on a polished quartz surface. A first series of experiments was performed at temperatures between 1000 and 1200^oC at fO_2 of 10-10 bar. Resulting layer thickness and chemi-cal composition were measured by Rutherford Back-Scattering (RBS) and TEM using Focused Ion Beam (FIB) preparation methods. O and Si isotope profiles were measured by SIMS depth scanning. The enstatite layers thicken during the annealing experiments with well-defined interfaces by rates for Δx^2 of 700 to 50000 nm^2/h at the chosen conditions. The iso-tope profiles show that Si acts as a slow diffusing component. From the enstatite growth rates a Dgb_Aδ can be calculated, where A is the rate-determining component. This gives a Dgb_Aδ in the range of 10-26 (at 1000^oC) to 10-24 (at 1200^oC) m^3s-1, which is well in accordance with an extrapolation from the data of Fisler et al. (1997) at 1350 to 1450^oC. This indicates that over the entire interval from 1000 to 1450^oC the reaction is controlled by diffusion of the same component and more importantly that mechanisms on the nano scale are the same as on the microscopic scale. The new method has several advantages over previously used techniques. The well-defined layers on nano scale allow one to study rim growth at lower temperatures than before and avoids therefore large extrapolations to natural conditions. The very small amount of isotopically enriched material needed for one sample makes
Yuan, Liang (Leon); Herman, Peter R.
2016-01-01
Three-dimensional (3D) periodic nanostructures underpin a promising research direction on the frontiers of nanoscience and technology to generate advanced materials for exploiting novel photonic crystal (PC) and nanofluidic functionalities. However, formation of uniform and defect-free 3D periodic structures over large areas that can further integrate into multifunctional devices has remained a major challenge. Here, we introduce a laser scanning holographic method for 3D exposure in thick photoresist that combines the unique advantages of large area 3D holographic interference lithography (HIL) with the flexible patterning of laser direct writing to form both micro- and nano-structures in a single exposure step. Phase mask interference patterns accumulated over multiple overlapping scans are shown to stitch seamlessly and form uniform 3D nanostructure with beam size scaled to small 200 μm diameter. In this way, laser scanning is presented as a facile means to embed 3D PC structure within microfluidic channels for integration into an optofluidic lab-on-chip, demonstrating a new laser HIL writing approach for creating multi-scale integrated microsystems. PMID:26922872
6th International Conference on Nanomaterials by Severe Plastic Deformation (NanoSPD6)
NASA Astrophysics Data System (ADS)
2014-08-01
''NanoSPD'' means Nano-material by Severe Plastic Deformation (SPD), which is an efficient way to obtain bulk nano-structured materials. During SPD, the microstructure of the material is transformed into a very fine structure consisting of ultra fine grains (UFG) approaching even the nano-scale. SPD is different from classical large strain forming processes in two aspects: 1. The sample undergoes extremely large strains without significant change in its dimensions, 2. In most SPD processes high hydrostatic stress is applied which makes it possible to deform difficult-to-form materials. This conference is part of a series of conferences taking place every third year; the history of NanoSPD conferences began in 1999 in Moscow (Russia), followed by Vienna in 2002 (Austria), Fukuoka in 2005 (Japan), Goslar in 2008 (Germany), Nanjing in 2011 (China), and Metz in 2014 (France). The preface continues in the pdf.
ERIC Educational Resources Information Center
Golay, Philippe; Lecerf, Thierry
2011-01-01
According to the most widely accepted Cattell-Horn-Carroll (CHC) model of intelligence measurement, each subtest score of the Wechsler Intelligence Scale for Adults (3rd ed.; WAIS-III) should reflect both 1st- and 2nd-order factors (i.e., 4 or 5 broad abilities and 1 general factor). To disentangle the contribution of each factor, we applied a…
ERIC Educational Resources Information Center
Milne, Susan; McDonald, Jenny; Comino, Elizabeth J.
2012-01-01
In response to concerns that the Bayley Scales of Infant and Toddler Development III (BSIDIII) underestimate delay in clinical populations, this study explores developmental quotient scores as an alternative to composite scores for these children. One hundred and twenty-two children aged [less than or equal to] 42 months, referred for diagnosis of…
Incineration of a Commercial Coating with Nano CeO2
NASA Astrophysics Data System (ADS)
Le Bihan, Olivier; Ounoughene, Ghania; Meunier, Laurent; Debray, Bruno; Aguerre-Chariol, Olivier
2017-06-01
The potential environmental risk arising from the incineration of waste containing nanomaterials is a new field which deserves further attention. Some recent studies have begun to focus on this topic but the data are incomplete. In addition, there is a need to consider real life waste. The present study gives some insight into the fate and behavior of a commercial coating containing a commercial additive (7% w/w) based on nano-CeO2 (aggregates of 10 to 40 nm, with elemental particles of 2-3 nm). The tests have been conducted with a system developed in the frame of the NanoFlueGas project. The test protocol was designed to respect the regulatory criteria of a good combustion in incineration plants (temperature around 850°C, highly ventilated combustion, at least 2 s residence time for the combustion gas in a post-combustion chamber at 850°C, and high oxygen/fuel contact). Time tracking by electric low pressure impaction (ELPI) shows that the incineration produces aerosol with number concentration dominated by sub-100 nm particles. Cerium is observed by TEM and EDS analysis but as a minor compound of a sub-group of particles. No nanoCeO2 particles have been observed in the aerosol. ICP-MS analysis indicates that the residual material consists mainly of CeO2 (60% of the mass). Observation by TEM establishes that this material is in the form of aggregates with individual particle of 40-200 nm and suggests that sintering occurred during incineration. As a conclusion, the lab scale incineration study led mainly to the release of nano-CeO2 in the residual material, as the major component. Its size distribution is different than the one of the nano-CeO2 observed in the initial sample before incineration. Additional research is needed to improve the understanding of nanoCeO2 behavior, and to integrate experiments at lab and real scale.
Development of WAIS-III General Ability Index Minus WMS-III memory discrepancy scores.
Lange, Rael T; Chelune, Gordon J; Tulsky, David S
2006-09-01
Analysis of the discrepancy between intellectual functioning and memory ability has received some support as a useful means for evaluating memory impairment. In recent additions to Wechlser scale interpretation, the WAIS-III General Ability Index (GAI) and the WMS-III Delayed Memory Index (DMI) were developed. The purpose of this investigation is to develop base rate data for GAI-IMI, GAI-GMI, and GAI-DMI discrepancy scores using data from the WAIS-III/WMS-III standardization sample (weighted N = 1250). Base rate tables were developed using the predicted-difference method and two simple-difference methods (i.e., stratified and non-stratified). These tables provide valuable data for clinical reference purposes to determine the frequency of GAI-IMI, GAI-GMI, and GAI-DMI discrepancy scores in the WAIS-III/WMS-III standardization sample.
Investigation of radiation damage tolerance in interface-containing metallic nano structures
DOE Office of Scientific and Technical Information (OSTI.GOV)
Greer, Julia R.
The proposed work seeks to conduct a basic study by applying experimental and computational methods to obtain quantitative influence of helium sink strength and proximity on He bubble nucleation and growth in He-irradiated nano-scale metallic structures, and the ensuing deformation mechanisms and mechanical properties. We utilized a combination of nano-scale in-situ tension and compression experiments on low-energy He-irradiated samples combined with site-specific microstructural characterization and modeling efforts. We also investigated the mechanical deformation of nano-architected materials, i.e. nanolattices which are comprised of 3-dimensional interwoven networks of hollow tubes, with the wall thickness in the nanometer range. This systematic approach willmore » provide us with critical information for identifying key factors that govern He bubble nucleation and growth upon irradiation as a function of both sink strength and sink proximity through an experimentally-confirmed physical understanding. As an outgrowth of these efforts, we performed irradiations with self-ions (Ni 2+) on Ni-Al-Zr metallic glass nanolattices to assess their resilience against radiation damage rather than He-ion implantation. We focused our attention on studying individual bcc/fcc interfaces within a single nano structure (nano-pillar or a hollow tube): a single Fe (bcc)-Cu (fcc) boundary per pillar oriented perpendicular to the pillar axes, as well as pure bcc and fcc nano structures. Additional interfaces of interest include bcc/bcc and metal/metallic glass all within a single nano-structure volume. The model material systems are: (1) pure single crystalline Fe and Cu, (2) a single Fe (bcc)-Cu (fcc) boundary per nano structure (3) a single metal–metallic glass, all oriented non-parallel to the loading direction so that their fracture strength can be tested. A nano-fabrication approach, which involves e-beam lithography and templated electroplating, as well as two
Assembly and structural analysis of a covalently closed nano-scale DNA cage
Andersen, Felicie F.; Knudsen, Bjarne; Oliveira, Cristiano Luis Pinto; Frøhlich, Rikke F.; Krüger, Dinna; Bungert, Jörg; Agbandje-McKenna, Mavis; McKenna, Robert; Juul, Sissel; Veigaard, Christopher; Koch, Jørn; Rubinstein, John L.; Guldbrandtsen, Bernt; Hede, Marianne S.; Karlsson, Göran; Andersen, Anni H.; Pedersen, Jan Skov; Knudsen, Birgitta R.
2008-01-01
The inherent properties of DNA as a stable polymer with unique affinity for partner molecules determined by the specific Watson–Crick base pairing makes it an ideal component in self-assembling structures. This has been exploited for decades in the design of a variety of artificial substrates for investigations of DNA-interacting enzymes. More recently, strategies for synthesis of more complex two-dimensional (2D) and 3D DNA structures have emerged. However, the building of such structures is still in progress and more experiences from different research groups and different fields of expertise are necessary before complex DNA structures can be routinely designed for the use in basal science and/or biotechnology. Here we present the design, construction and structural analysis of a covalently closed and stable 3D DNA structure with the connectivity of an octahedron, as defined by the double-stranded DNA helices that assembles from eight oligonucleotides with a yield of ∼30%. As demonstrated by Small Angle X-ray Scattering and cryo-Transmission Electron Microscopy analyses the eight-stranded DNA structure has a central cavity larger than the apertures in the surrounding DNA lattice and can be described as a nano-scale DNA cage, Hence, in theory it could hold proteins or other bio-molecules to enable their investigation in certain harmful environments or even allow their organization into higher order structures. PMID:18096620
Ecotoxicity and environmental safety related to nano-scale zerovalent iron remediation applications.
Semerád, Jaroslav; Cajthaml, Tomáš
2016-12-01
This mini-review summarizes the current information that has been published on the various effects of nano-scale zerovalent iron (nZVI) on microbial biota, with an emphasis on reports that highlight the positive aspects of its application or its stimulatory effects on microbiota. By nature, nZVI is a highly reactive substance; thus, the possibility of nZVI being toxic is commonly suspected. Accordingly, the cytotoxicity of nZVI and the toxicity of nZVI-related products have been detected by laboratory tests and documented in the literature. However, there are numerous other published studies on its useful nature, which are usually skipped in reviews that deal only with the phenomenon of toxicity. Therefore, the objective of this article is to review both recent publications reporting the toxic effects of nZVI on microbiota and studies documenting the positive effects of nZVI on various environmental remediation processes. Although cytotoxicity is an issue of general importance and relevance, nZVI can reduce the overall toxicity of a contaminated site, which ultimately results in the creation of better living conditions for the autochthonous microflora. Moreover, nZVI changes the properties of the site in a manner such that it can also be used as a tool in a tailor-made approach to support a specific microbial community for the decontamination of a particular polluted site.
High-Bandwidth Dynamic Full-Field Profilometry for Nano-Scale Characterization of MEMS
NASA Astrophysics Data System (ADS)
Chen, Liang-Chia; Huang, Yao-Ting; Chang, Pi-Bai
2006-10-01
The article describes an innovative optical interferometric methodology to delivery dynamic surface profilometry with a measurement bandwidth up to 10MHz or higher and a vertical resolution up to 1 nm. Previous work using stroboscopic microscopic interferometry for dynamic characterization of micro (opto)electromechanical systems (M(O)EMS) has been limited in measurement bandwidth mainly within a couple of MHz. For high resonant mode analysis, the stroboscopic light pulse is insufficiently short to capture the moving fringes from dynamic motion of the detected structure. In view of this need, a microscopic prototype based on white-light stroboscopic interferometry with an innovative light superposition strategy was developed to achieve dynamic full-field profilometry with a high measurement bandwidth up to 10MHz or higher. The system primarily consists of an optical microscope, on which a Mirau interferometric objective embedded with a piezoelectric vertical translator, a high-power LED light module with dual operation modes and light synchronizing electronics unit are integrated. A micro cantilever beam used in AFM was measured to verify the system capability in accurate characterisation of dynamic behaviours of the device. The full-field seventh-mode vibration at a vibratory frequency of 3.7MHz can be fully characterized and nano-scale vertical measurement resolution as well as tens micrometers of vertical measurement range can be performed.
Method of producing nano-scaled graphene and inorganic platelets and their nanocomposites
Jang, Bor Z [Centerville, OH; Zhamu, Aruna [Centerville, OH
2011-02-22
Disclosed is a method of exfoliating a layered material (e.g., graphite and graphite oxide) to produce nano-scaled platelets having a thickness smaller than 100 nm, typically smaller than 10 nm, and often between 0.34 nm and 1.02 nm. The method comprises: (a) subjecting the layered material in a powder form to a halogen vapor at a first temperature above the melting point or sublimation point of the halogen at a sufficient vapor pressure and for a duration of time sufficient to cause the halogen molecules to penetrate an interlayer space of the layered material, forming a stable halogen-intercalated compound; and (b) heating the halogen-intercalated compound at a second temperature above the boiling point of the halogen, allowing halogen atoms or molecules residing in the interlayer space to exfoliate the layered material to produce the platelets. Alternatively, rather than heating, step (a) is followed by a step of dispersing the halogen-intercalated compound in a liquid medium which is subjected to ultrasonication for exfoliating the halogen-intercalated compound to produce the platelets, which are dispersed in the liquid medium. The halogen can be readily captured and re-used, thereby significantly reducing the impact of halogen to the environment. The method can further include a step of dispersing the platelets in a polymer or monomer solution or suspension as a precursor step to nanocomposite fabrication.
Method of producing nano-scaled graphene and inorganic platelets and their nanocomposites
Jang, Bor Z [Centerville, OH; Zhamu, Aruna [Centerville, OH
2012-02-14
Disclosed is a method of exfoliating a layered material (e.g., graphite and graphite oxide) to produce nano-scaled platelets having a thickness smaller than 100 nm, typically smaller than 10 nm, and often between 0.34 nm and 1.02 nm. The method comprises: (a) subjecting the layered material in a powder form to a halogen vapor at a first temperature above the melting point or sublimation point of the halogen at a sufficient vapor pressure and for a duration of time sufficient to cause the halogen molecules to penetrate an interlayer space of the layered material, forming a stable halogen-intercalated compound; and (b) heating the halogen-intercalated compound at a second temperature above the boiling point of the halogen, allowing halogen atoms or molecules residing in the interlayer space to exfoliate the layered material to produce the platelets. Alternatively, rather than heating, step (a) is followed by a step of dispersing the halogen-intercalated compound in a liquid medium which is subjected to ultrasonication for exfoliating the halogen-intercalated compound to produce the platelets, which are dispersed in the liquid medium. The halogen can be readily captured and re-used, thereby significantly reducing the impact of halogen to the environment. The method can further include a step of dispersing the platelets in a polymer or monomer solution or suspension as a precursor step to nanocomposite fabrication.
Lipid Membrane Encapsulation of a 3D DNA Nano Octahedron.
Perrault, Steven D; Shih, William M
2017-01-01
Structural DNA nanotechnology methods such as DNA origami allow for the synthesis of highly precise nanometer-scale materials (Rothemund, Nature 440:297-302, 2006; Douglas et al., Nature 459:414-418, 2009). These offer compelling advantages for biomedical applications. Such materials can suffer from structural instability in biological environments due to denaturation and nuclease digestion (Hahn et al., ACS Nano 2014; Perrault and Shih, ACS Nano 8:5132-5140, 2014). Encapsulation of DNA nanostructures in a lipid membrane compartmentalizes them from their environment and prevents denaturation and nuclease digestion (Perrault and Shih, ACS Nano 8:5132-5140, 2014). Here, we describe the encapsulation of a 50 nm DNA nanostructure having the geometry of a wireframe octahedron in a phospholipid membrane containing poly-(ethylene glycol), resulting in biocompatible DNA nanostructures.
Nano-cracks in a synthetic graphite composite for nuclear applications
NASA Astrophysics Data System (ADS)
Liu, Dong; Cherns, David
2018-05-01
Mrozowski nano-cracks in nuclear graphite were studied by transmission electron microscopy and selected area diffraction. The material consisted of single crystal platelets typically 1-2 nm thick and stacked with large relative rotations around the c-axis; individual platelets had both hexagonal and cubic stacking order. The lattice spacing of the (0002) planes was about 3% larger at the platelet boundaries which were the source of a high fraction of the nano-cracks. Tilting experiments demonstrated that these cracks were empty, and not, as often suggested, filled by amorphous material. In addition to conventional Mrozowski cracks, a new type of nano-crack is reported, which originates from the termination of a graphite platelet due to crystallographic requirements. Both types are crucial to understanding the evolution of macro-scale graphite properties with neutron irradiation.
Path to bio-nano-information fusion.
Chen, Jia Ming; Ho, Chih-Ming
2006-12-01
This article will discuss the challenges in a new convergent discipline created by the fusion of biotechnology, nanotechnology, and information technology. To illustrate the research challenges, we will begin with an introduction to the nanometer-scale environment in which biology resides, and point out the many important behaviors of matters at that scale. Then we will describe an ideal model system, the cell, for bio-nano-information fusion. Our efforts in advancing this field at the Institute of Cell Mimetic Space Exploration (CMISE) will be introduced here as an example to move toward achieving this goal.
NASA Astrophysics Data System (ADS)
Cui, Wangjun; Wang, Fei; Wang, Jie; Liu, Haijing; Wang, Congxiao; Xia, Yongyao
Core-shell structured, carbon-coated, nano-scale Cu 6Sn 5 has been prepared by a modified carbothermal reduction method using polymer coated mixed oxides of CuO and SnO 2 as precursors. On heat treatment, the mixture oxides were converted into Cu 6Sn 5 alloy by carbothermal reduction. Simultaneously, the remnants carbon was coated on the surface of the Cu 6Sn 5 particles to form a core-shell structure. Transmission electron microscope (TEM) images demonstrate that the well-coated carbon layer effectively prevents the encapsulated, low melting point alloy from out flowing in a high-temperature treatment process. Core-shell structured, carbon coated Cu 6Sn 5 delivers a reversible capacity of 420 mAh g -1 with capacity retention of 80% after 50 cycles. The improvement in the cycling ability can be attributed to the fact that the carbon-shell prevents aggregation and pulverization of nano-sized tin-based alloy particles during charge/discharge cycling.
Wooles, Ashley J; Mills, David P; Tuna, Floriana; McInnes, Eric J L; Law, Gareth T W; Fuller, Adam J; Kremer, Felipe; Ridgway, Mark; Lewis, William; Gagliardi, Laura; Vlaisavljevich, Bess; Liddle, Stephen T
2018-05-29
Despite the fact that non-aqueous uranium chemistry is over 60 years old, most polarised-covalent uranium-element multiple bonds involve formal uranium oxidation states IV, V, and VI. The paucity of uranium(III) congeners is because, in common with metal-ligand multiple bonding generally, such linkages involve strongly donating, charge-loaded ligands that bind best to electron-poor metals and inherently promote disproportionation of uranium(III). Here, we report the synthesis of hexauranium-methanediide nanometre-scale rings. Combined experimental and computational studies suggest overall the presence of formal uranium(III) and (IV) ions, though electron delocalisation in this Kramers system cannot be definitively ruled out, and the resulting polarised-covalent U = C bonds are supported by iodide and δ-bonded arene bridges. The arenes provide reservoirs that accommodate charge, thus avoiding inter-electronic repulsion that would destabilise these low oxidation state metal-ligand multiple bonds. Using arenes as electronic buffers could constitute a general synthetic strategy by which to stabilise otherwise inherently unstable metal-ligand linkages.
Fabrication of micro/nano hierarchical structures with analysis on the surface mechanics
NASA Astrophysics Data System (ADS)
Jheng, Yu-Sheng; Lee, Yeeu-Chang
2016-10-01
Biomimicry refers to the imitation of mechanisms and features found in living creatures using artificial methods. This study used optical lithography, colloidal lithography, and dry etching to mimic the micro/nano hierarchical structures covering the soles of gecko feet. We measured the static contact angle and contact angle hysteresis to reveal the behavior of liquid drops on the hierarchical structures. Pulling tests were also performed to measure the resistance of movement between the hierarchical structures and a testing plate. Our results reveal that hierarchical structures at the micro-/nano-scale are considerably hydrophobic, they provide good flow characteristics, and they generate more contact force than do surfaces with micro-scale cylindrical structures.
NASA Astrophysics Data System (ADS)
Moosavi, Saeideh Sadat; Norouzbeigi, Reza; Velayi, Elmira
2017-11-01
In the present work, copper oxide superhydrophobic surface is fabricated on a copper foil via the chemical bath deposition (CBD) method. The effects of some influential factors such as initial concentrations of Cu (II) ions and the surface energy modifier, solution pH, reaction and modification steps time on the wettability property of copper oxide surface were evaluated using Taguchi L16 experimental design. Results showed that the initial concentration of Cu (II) has the most significant impact on the water contact angle and wettability characteristics. The XRD, SEM, AFM and FTIR analyses were used to characterize the copper oxide surfaces. The Water contact angle (WCA) and contact angle hysteresis (CAH) were also measured. The SEM results indicated the formation of a flower-like micro/nano dual-scale structure of copper oxide on the substrate. This structure composed of numerous nano-petals with a thickness of about 50 nm. As a result, a copper oxide hierarchical surface with WCA of 168.4°± 3.5° and CAH of 2.73° exhibited the best superhydrophobicity under proposed optimum condition. This result has been obtained just by 10 min hydrolysis reaction. Besides, this surface showed a good stability under acidic and saline conditions.
NASA Astrophysics Data System (ADS)
Sokolov, B. Yu.; Sharipov, M. Z.
2013-12-01
The temperature dependence of the Faraday effect in terbium garnet ferrite, Tb3Fe5O12, is investigated near its magnetic-compensation temperature, Т с = 249 K. A non-monotonous variation in the value of the Faraday rotation angle Ф is observed in a weak magnetic field as the temperature approaches Т с : the temperature plot of the Faraday rotation angle has two local maxima observed left and right of the magnetic compensation point. A theoretical model is proposed, which follows from the phenomenological theory of domain-boundary displacement under the action of a magnetic field, offering an unambiguous description of the principles of domain-structure influence on the Faraday effect in Tb3Fe5O12 near Т с .
Yin, Qiwei; Lu, Huadong; Su, Jing; Peng, Kunchi
2016-05-01
The thermal lens effect of terbium gallium garnet (TGG) crystal in a high power single-frequency laser severely limits the output power and the beam quality of the laser. By inserting a potassium dideuterium phosphate (DKDP) slice with negative thermo-optical coefficient into the laser resonator, the harmful influence of the thermal lens effect of the TGG crystal can be effectively mitigated. Using this method, the stable range of the laser is broadened, the bistability phenomenon of the laser during the process of changing the pump power is completely eliminated, the highest output power of an all-solid-state continuous-wave intracavity-frequency-doubling single-frequency laser at 532 nm is enhanced to 30.2 W, and the beam quality of the laser is significantly improved.
NanoSIMS Reveals New Structural and Elemental Signatures of Early Life
NASA Technical Reports Server (NTRS)
Oehler, Dorothy Z.; Mostefaoui, Smail; Meibom, Anders; Selo, Madeleine; Robert, Francois; McKay, David S.
2006-01-01
The young technology of NanoSIMS is unlocking new information from organic matter in ancient sediments. We have used this technique to characterize sub-micron scale element composition of Proterozoic organics that are clearly biogenic as a guide for interpreting problematic structures in terrestrial or extraterrestrial samples. We used the NanoSIMS 50 of the National Museum of Natural History in Paris to map carbon, nitrogen (as CN), and sulfur in organic structures from the approximately 0.8 Ga Bitter Springs Formation. We analyzed spheroidal and filamentous microfossils as well as organic laminae that appeared amorphous by optical and scanning electron microscopy. In clear-cut microfossils, a coincidence between optical images and NanoSIMS element maps suggests a biological origin for the mapped carbon, sulfur, and nitrogen; this conclusion is supported by high resolution NanoSIMS maps showing identical spatial distributions of C, CN and S. High resolution images also demonstrate distinctive nano structure of the filaments and spheroids. In the amorphous laminae, NanoSIMS reveals morphologies reminiscent of compressed microfossils. Distinct CN/C ratios of the spheroids, filaments, and laminae may reflect their biological precursors (cell walls, cyanobacterial sheaths, and microbial communities/biofilms, respectively). Similar amorphous laminae comprise a preponderance of the organic matter in many Precambrian deposits. Thus it is possible that NanoSIMS will provide fresh insight into a large body of previously uninterpretable material. Additionally, NanoSIMS analysis may establish new biosignatures that will be helpful for assessing the origin and biogenicity of controversial Archean structures and any organic materials that may occur in Martian or other extraterrestrial samples.
Nano-extrusion: a promising tool for continuous manufacturing of solid nano-formulations.
Baumgartner, Ramona; Eitzlmayr, Andreas; Matsko, Nadejda; Tetyczka, Carolin; Khinast, Johannes; Roblegg, Eva
2014-12-30
Since more than 40% of today's drugs have low stability, poor solubility and/or limited ability to cross certain biological barriers, new platform technologies are required to address these challenges. This paper describes a novel continuous process that converts a stabilized aqueous nano-suspension into a solid oral formulation in a single step (i.e., the NANEX process) in order to improve the solubility of a model drug (phenytoin). Phenytoin nano-suspensions were prepared via media milling using different stabilizers. A stable nano-suspension was obtained using Tween(®) 80 as a stabilizer. The matrix material (Soluplus(®)) was gravimetrically fed into the hot melt extruder. The suspension was introduced through a side feeding device and mixed with the molten polymer to immediately devolatilize the water in the nano-suspension. Phenytoin nano-crystals were dispersed and embedded in the molten polymer. Investigation of the nano-extrudates via transmission electron microscopy and atomic force microscopy showed that the nano-crystals were embedded de-aggregated in the extrudates. Furthermore, no changes in the crystallinity (due to the mechanical and thermal stress) occurred. The dissolution studies confirmed that the prepared nano-extrudates increased the solubility of nano-crystalline phenytoin, regardless of the polymer. Our work demonstrates that NANEX represents a promising new platform technology in the design of novel drug delivery systems to improve drug performance. Copyright © 2014 Elsevier B.V. All rights reserved.
PREFACE: The 8th China International NanoScience and Technology Symposium
NASA Astrophysics Data System (ADS)
Cong, Hailin
2009-09-01
The 8th China International NanoScience and Technology Symposium, Xiangtan (2009) - Nano-products Exposition, sponsored by Chinese Society of Miro-nanoTechnology and IEEE Nanotechnology Council, etc will be held on 23-27 October 2009 in Xiangtan, China. This symposium is held in order to promote the technology for the development of micro- and nano-scale, cross-scale integration, to share new micro/nano technologies, to exchange information and knowledge over all fields and promote the industrialization and development of nanotechnology. This is a leading professional and traditional conference with at least 400 participants every year. Famous experts, professors and government officials at home and abroad will give lectures during the symposium, which provides a good platform for delegates to discover the latest developments and dynamics of nanotechnology. Researchers, teachers and students in colleges, and technical personnel in the industrial community are welcome to contribute and actively participate in the symposium. In our last symposium held in 2008, over 600 participants from all over the world attended, and we received over 570 abstract and paper submissions for the proceedings published in different languages in famous professional journals. And this year, we have already received over 400 submissions. After strict peer review, 60 of them are published in this volume of Journal of Physics: Conference Series. We are confident that the event will be even more successful this year. Consequently, the organizing committee and proceedings editorial committee would like to thank our colleagues at the IOP Publishing, the invited speakers, our sponsors and all the delegates for their great contributions in this conference. Hailin Cong Vice Chair of the proceedings editorial committee
NASA Astrophysics Data System (ADS)
Paul, Abhijeet
2011-07-01
The technological progress in dimensional scaling has not only kept Silicon CMOS industry on Moore's law for the past five decades but has also benefited many other areas such as thermoelectricity, photo-voltaics, and energy storage. Extending CMOS beyond Si (More Moore, MM) and adding functional diversity to CMOS (More Than Moore, MTM) requires a thorough understanding of the basic electron and heat flow in semiconductors. Along with experiments computer modeling and simulation are playing an increasingly vital role in exploring the numerous possibilities in materials, devices and systems. With these aspects in mind the present work applies computational physics modeling and simulations to explore the, (i) electronic, (ii) thermal, and (iii) thermoelectric properties in nano-scale semiconductors. The electronic structure of zinc-blende and lead-chalcogenide nano-materials is calculated using an atomistic Tight-Binding model. The phonon dispersion in zinc-blende materials is obtained using the Modified Valence Force Field model. Electronic and thermal transport at the nano-scale is explored using Green's function method and Landauer's method. Thermoelectric properties of semiconductor nanostructures are calculated using Landauer's method. Using computer modeling and simulations the variation of the three physical properties (i-iii) are explored with varying size, transport orientation, shape, porosity, strain and alloying of nanostructures. The key findings are, (a) III-Vs and Ge with optimized strain and orientation can improve transistors' and thermoelectric performance, (b) porous Si nanowires provide a lucrative idea for enhancing the thermoelectric efficiency at room temperature, and (c) Si/Ge superlattice nanowires can be used for nano-scale tuning of lattice thermal conductivity by period control. The present work led to the development of two new interface trap density extraction methods in ultra-scaled FinFETs and correlation of the phonon shifts in Si
Combinatorial Nano-Bio Interfaces.
Cai, Pingqiang; Zhang, Xiaoqian; Wang, Ming; Wu, Yun-Long; Chen, Xiaodong
2018-06-08
Nano-bio interfaces are emerging from the convergence of engineered nanomaterials and biological entities. Despite rapid growth, clinical translation of biomedical nanomaterials is heavily compromised by the lack of comprehensive understanding of biophysicochemical interactions at nano-bio interfaces. In the past decade, a few investigations have adopted a combinatorial approach toward decoding nano-bio interfaces. Combinatorial nano-bio interfaces comprise the design of nanocombinatorial libraries and high-throughput bioevaluation. In this Perspective, we address challenges in combinatorial nano-bio interfaces and call for multiparametric nanocombinatorics (composition, morphology, mechanics, surface chemistry), multiscale bioevaluation (biomolecules, organelles, cells, tissues/organs), and the recruitment of computational modeling and artificial intelligence. Leveraging combinatorial nano-bio interfaces will shed light on precision nanomedicine and its potential applications.
A combined method for correlative 3D imaging of biological samples from macro to nano scale
NASA Astrophysics Data System (ADS)
Kellner, Manuela; Heidrich, Marko; Lorbeer, Raoul-Amadeus; Antonopoulos, Georgios C.; Knudsen, Lars; Wrede, Christoph; Izykowski, Nicole; Grothausmann, Roman; Jonigk, Danny; Ochs, Matthias; Ripken, Tammo; Kühnel, Mark P.; Meyer, Heiko
2016-10-01
Correlative analysis requires examination of a specimen from macro to nano scale as well as applicability of analytical methods ranging from morphological to molecular. Accomplishing this with one and the same sample is laborious at best, due to deformation and biodegradation during measurements or intermediary preparation steps. Furthermore, data alignment using differing imaging techniques turns out to be a complex task, which considerably complicates the interconnection of results. We present correlative imaging of the accessory rat lung lobe by combining a modified Scanning Laser Optical Tomography (SLOT) setup with a specially developed sample preparation method (CRISTAL). CRISTAL is a resin-based embedding method that optically clears the specimen while allowing sectioning and preventing degradation. We applied and correlated SLOT with Multi Photon Microscopy, histological and immunofluorescence analysis as well as Transmission Electron Microscopy, all in the same sample. Thus, combining CRISTAL with SLOT enables the correlative utilization of a vast variety of imaging techniques.
Characterizing the Nano and Micro Structure of Concrete toImprove its Durability
DOE Office of Scientific and Technical Information (OSTI.GOV)
Monteiro, P.J.M.; Kirchheim, A.P.; Chae, S.
2009-01-13
New and advanced methodologies have been developed to characterize the nano and microstructure of cement paste and concrete exposed to aggressive environments. High resolution full-field soft X-ray imaging in the water window is providing new insight on the nano scale of the cement hydration process, which leads to a nano-optimization of cement-based systems. Hard X-ray microtomography images of ice inside cement paste and cracking caused by the alkali?silica reaction (ASR) enables three-dimensional structural identification. The potential of neutron diffraction to determine reactive aggregates by measuring their residual strains and preferred orientation is studied. Results of experiments using these tools aremore » shown on this paper.« less
Characterizing the nano and micro structure of concrete to improve its durability
DOE Office of Scientific and Technical Information (OSTI.GOV)
Monteiro, P.J.M.; Kirchheim, A.P.; Chae, S.
2008-10-22
New and advanced methodologies have been developed to characterize the nano and microstructure of cement paste and concrete exposed to aggressive environments. High resolution full-field soft X-ray imaging in the water window is providing new insight on the nano scale of the cement hydration process, which leads to a nano-optimization of cement-based systems. Hard X-ray microtomography images on ice inside cement paste and cracking caused by the alkali-silica reaction (ASR) enables three-dimensional structural identification. The potential of neutron diffraction to determine reactive aggregates by measuring their residual strains and preferred orientation is studied. Results of experiments using these tools willmore » be shown on this paper.« less
Sub-10-nm suspended nano-web formation by direct laser writing
NASA Astrophysics Data System (ADS)
Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.
2018-06-01
A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).
Underivatized oxysterols and nanoLC-ESI-MS: A mismatch.
Roberg-Larsen, Hanne; Vesterdal, Caroline; Wilson, Steven Ray; Lundanes, Elsa
2015-07-01
Due to their non-charged character, liquid chromatography-electrospray ionization-mass spectrometry (LC-ESI-MS) measurements of oxysterols are often performed after derivatization with e.g. charged Girard reagents. However, derivatization reactions are time-consuming and may require numerous steps to remove excess reagent. In addition, extensive sample handling can be associated with cholesterol autoxidation, resulting in analyte artifacts and hence false positives. Nano scale liquid chromatography in combination with electrospray-mass spectrometry (nanoLC-ESI-MS) is a powerful tool for analyzing limited samples, due to substantially increased sensitivity compared to conventional LC-ESI-MS. The signal enhancement may compensate for the poor ionization of the oxysterols; hence we have explored the possibility to quantify oxysterols without derivatization using nanoLC-ESI-MS. Non-derivatized oxysterols and nanoLC were however not compatible, due to persistent and large carry-over. This was attributed to the extended contribution of surface to volume ratio in such miniaturized systems and interactions with the materials of the nanoLC instrumentation (e.g. adsorption to the fused silica tubing). Two contemporary MS instruments (Q-Exactive™ hybrid quadrupole-Orbitrap and TSQ Quantiva™ triple quadrupole) were used. However, both the MS and MS/MS spectra of non-derivatized oxysterols were ambiguous and/or unrepeatable for both of the instruments employed. Derivatizing oxysterols is more cumbersome, but provides more selective and reliable results, and Girard derivatization+nanoLC-ESI-MS continues to be our recommended choice for measuring oxysterols in very limited samples. These investigations also indicate that extra care should be taken to remove lipids prior to nanoLC of other analytes, as adsorbed oxysterols, etc. can compromise analysis. Copyright © 2015 Elsevier Inc. All rights reserved.
NASA Astrophysics Data System (ADS)
Mily, Edward Joseph, Jr.
Physical vapor deposition of thin film thermites allow for a clean avenue for probing fundamental properties of nanoenergetic materials that prove difficult for traditional powder processing. Precise control over diffusion dimensions, microstructure, and total amount of material are able to be realized with this fabrication technique and the testing of such materials provide valuable insight into how oxidation occurs. This thesis provides several examples of how existing PVD techniques can be coupled with thermite constituents to further the energetic community's understanding of how oxidation occurs in the solid state with the variation of geometric and chemical alterations. The goal of these investigations was to elucidate which material properties and mechanisms drive exothermic activity. The thermite thin films of Al/CuO, Zr/CuO, and Mg/Cuo with varied reducing metal constituents were tested under slow heating conditions. The trend of the metal variation demonstrated the importance of terminal oxide diffusion properties in either impeding or enhancing oxygen exchange. When the reducing metal forms a terminal oxide with limited oxygen diffusivity, exothermicity requires elevated activation energies to commence self-sustaining reaction. In addition to the effects of chemical variation, bilayer thicknesses were varied and found to decrease exothermic peak temperatures similar to the trends found in intermetallic thin film energetics and powder energetic materials. The thin film thermites were also subjected to extreme initiation methods via laser driven flyer plate impact ignition and high heating rate heat treatment (105 K/s). General insight into nano thermite behavior at environments characteristic of applications was sought, and similar trends discovered among slow vs rapid testing. Decreasing reaction dimensions yielded higher reactivity and diffusion barrier properties role in impacting exothermic behavior persist to into the microsecond regime. Ultimately
The role of nano-particles in the field of thermal spray coating technology
NASA Astrophysics Data System (ADS)
Siegmann, Stephan; Leparoux, Marc; Rohr, Lukas
2005-06-01
Nano-particles play not only a key role in recent research fields, but also in the public discussions about health and safety in nanotechnology. Nevertheless, the worldwide activities in nano-particles research increased dramatically during the last 5 to 10 years. There are different potential routes for the future production of nano-particles at large scale. The main directions envisaged are mechanical milling, wet chemical reactions or gas phase processes. Each of the processes has its specific advantages and limitations. Mechanical milling and wet chemical reactions are typically time intensive and batch processes, whereas gas phase productions by flames or plasma can be carried out continuously. Materials of interest are mainly oxide ceramics, carbides, nitrides, and pure metals. Nano-ceramics are interesting candidates for coating technologies due to expected higher coating toughness, better thermal shock and wear resistance. Especially embedded nano-carbides and-nitrides offer homogenously distributed hard phases, which enhance coatings hardness. Thermal spraying, a nearly 100 years old and world wide established coating technology, gets new possibilities thanks to optimized, nano-sized and/or nano-structured powders. Latest coating system developments like high velocity flame spraying (HVOF), cold gas deposition or liquid suspension spraying in combination with new powder qualities may open new applications and markets. This article gives an overview on the latest activities in nano-particle research and production in special relation to thermal spray coating technology.
Selective laser processing of ink-jet printed nano-scaled tin-clad copper particles
NASA Astrophysics Data System (ADS)
Yung, K. C.; Plura, T. S.
2010-11-01
The deposition of tin-clad nano-size copper particles was carried out by means of ink-jet printing. Curing the particles on Polyimide (PI) turned them into soldered structures using an Nd-YAG laser. Area coverage of 55% was achieved for a single-layer print. Subsequent laser sintering increased this value to 95%. A Butanol-based copper ink and an aqueous tin (Sn)-clad Copper (Cu) ink were produced and were ink-jetted in this work. These nano-metallic inks showed excellent suspension stability with particle weight concentrations as high as 5%. The ink components were examined by measuring the particle size distribution in a dispersed condition, and the melting temperature. A piezo ink-jet print head was used to deposit the inks onto a moveable substrate. The thermal effect of the laser irradiation allowed approaching and connecting adjacent particles by melting the particle’s tin coating. The results were examined with regard to structure and soldering properties using EDX, SEM and optical microscopy.
Equally sloped tomography based X-ray full-field nano-CT at Shanghai Synchrotron Radiation Facility
NASA Astrophysics Data System (ADS)
Wang, Yudan; Ren, Yuqi; Zhou, Guangzhao; Du, Guohao; Xie, Honglan; Deng, Biao; Xiao, Tiqiao
2018-07-01
X-ray full-field nano-computed tomography (nano-CT) has non-destructive three-dimensional imaging capabilities with high spatial resolution, and has been widely applied to investigate morphology and structures in various areas. Conventional tomography reconstructs a 3D object from a large number of equal-angle projections. For nano-CT, it takes long collecting time due to the large projection numbers and long exposure time. Here, equally-sloped tomography (EST) based nano-CT was implemented and constructed on X-ray imaging beamline at the Shanghai Synchrotron Radiation Facility (SSRF) to overcome or alleviate these difficulties. Preliminary results show that hard TXM with the spatial resolution of 100 nm and the EST-based nano-CT with the ability of 3D nano non-destructive characterization have been realized. This technique promotes hard X-ray imaging capability to nano scales at SSRF and could have applications in many fields including nanomaterials, new energy and life sciences. The study will be helpful for the construction of the new full field X-ray nano-imaging beamline with the spatial resolution of 20 nm at SSRF phase II project.
Optical absorption and oxygen passivation of surface states in III-nitride photonic devices
NASA Astrophysics Data System (ADS)
Rousseau, Ian; Callsen, Gordon; Jacopin, Gwénolé; Carlin, Jean-François; Butté, Raphaël; Grandjean, Nicolas
2018-03-01
III-nitride surface states are expected to impact high surface-to-volume ratio devices, such as nano- and micro-wire light-emitting diodes, transistors, and photonic integrated circuits. In this work, reversible photoinduced oxygen desorption from III-nitride microdisk resonator surfaces is shown to increase optical attenuation of whispering gallery modes by 100 cm-1 at λ = 450 nm. Comparison of photoinduced oxygen desorption in unintentionally and n+-doped microdisks suggests that the spectral changes originate from the unpinning of the surface Fermi level, likely taking place at etched nonpolar III-nitride sidewalls. An oxygen-rich surface prepared by thermal annealing results in a broadband Q improvement to state-of-the-art values exceeding 1 × 104 at 2.6 eV. Such findings emphasize the importance of optically active surface states and their passivation for future nanoscale III-nitride optoelectronic and photonic devices.
NASA Astrophysics Data System (ADS)
Lin, Jian; Zi Jian Er, Kenneth; Zheng, Wei; Huang, Zhiwei
2013-08-01
We report a radially polarized tip-enhanced near-field coherent anti-Stokes Raman scattering (RP-TE-CARS) microscopy technique for high-contrast vibrational imaging of subcellular organelles at nano-scale resolutions. The radially polarized pump and Stokes laser beams are tightly focused onto the sample while a gold-coated metallic probe is placed at the upper surface of the sample to enhance the electric field and CARS signals. The back-scattered CARS signal is measured with the gold-coated nano-tip being stationary at the focal region of laser beams. The RP-TE-CARS signal is ˜6-fold higher than that using linearly polarized laser excitation. We demonstrate the good performance of the RP-TE-CARS technique developed by imaging sub-micron polystyrene beads and mitochondria at nano-scale resolutions.
Flash nano-precipitation of polymer blends: a role for fluid flow?
NASA Astrophysics Data System (ADS)
Grundy, Lorena; Mason, Lachlan; Chergui, Jalel; Juric, Damir; Craster, Richard V.; Lee, Victoria; Prudhomme, Robert; Priestley, Rodney; Matar, Omar K.
2017-11-01
Porous structures can be formed by the controlled precipitation of polymer blends; ranging from porous matrices, with applications in membrane filtration, to porous nano-particles, with applications in catalysis, targeted drug delivery and emulsion stabilisation. Under a diffusive exchange of solvent for non-solvent, prevailing conditions favour the decomposition of polymer blends into multiple phases. Interestingly, dynamic structures can be `trapped' via vitrification prior to thermodynamic equilibrium. A promising mechanism for large-scale polymer processing is flash nano-precipitation (FNP). FNP particle formation has recently been modelled using spinodal decomposition theory, however the influence of fluid flow on structure formation is yet to be clarified. In this study, we couple a Navier-Stokes equation to a Cahn-Hilliard model of spinodal decomposition. The framework is implemented using Code BLUE, a massively scalable fluid dynamics solver, and applied to flows within confined impinging jet mixers. The present method is valid for a wide range of mixing timescales spanning FNP and conventional immersion precipitation processes. Results aid in the fabrication of nano-scale polymer particles with tuneable internal porosities. EPSRC, UK, MEMPHIS program Grant (EP/K003976/1), RAEng Research Chair (OKM), PETRONAS.
Micro/Nano-pore Network Analysis of Gas Flow in Shale Matrix
Zhang, Pengwei; Hu, Liming; Meegoda, Jay N.; Gao, Shengyan
2015-01-01
The gas flow in shale matrix is of great research interests for optimized shale gas extraction. The gas flow in the nano-scale pore may fall in flow regimes such as viscous flow, slip flow and Knudsen diffusion. A 3-dimensional nano-scale pore network model was developed to simulate dynamic gas flow, and to describe the transient properties of flow regimes. The proposed pore network model accounts for the various size distributions and low connectivity of shale pores. The pore size, pore throat size and coordination number obey normal distribution, and the average values can be obtained from shale reservoir data. The gas flow regimes were simulated using an extracted pore network backbone. The numerical results show that apparent permeability is strongly dependent on pore pressure in the reservoir and pore throat size, which is overestimated by low-pressure laboratory tests. With the decrease of reservoir pressure, viscous flow is weakening, then slip flow and Knudsen diffusion are gradually becoming dominant flow regimes. The fingering phenomenon can be predicted by micro/nano-pore network for gas flow, which provides an effective way to capture heterogeneity of shale gas reservoir. PMID:26310236
Micro/Nano-pore Network Analysis of Gas Flow in Shale Matrix.
Zhang, Pengwei; Hu, Liming; Meegoda, Jay N; Gao, Shengyan
2015-08-27
The gas flow in shale matrix is of great research interests for optimized shale gas extraction. The gas flow in the nano-scale pore may fall in flow regimes such as viscous flow, slip flow and Knudsen diffusion. A 3-dimensional nano-scale pore network model was developed to simulate dynamic gas flow, and to describe the transient properties of flow regimes. The proposed pore network model accounts for the various size distributions and low connectivity of shale pores. The pore size, pore throat size and coordination number obey normal distribution, and the average values can be obtained from shale reservoir data. The gas flow regimes were simulated using an extracted pore network backbone. The numerical results show that apparent permeability is strongly dependent on pore pressure in the reservoir and pore throat size, which is overestimated by low-pressure laboratory tests. With the decrease of reservoir pressure, viscous flow is weakening, then slip flow and Knudsen diffusion are gradually becoming dominant flow regimes. The fingering phenomenon can be predicted by micro/nano-pore network for gas flow, which provides an effective way to capture heterogeneity of shale gas reservoir.
Multi-Scale Effects in the Strength of Ceramics
Cook, Robert F.
2016-01-01
Multiple length-scale effects are demonstrated in indentation-strength measurements of a range of ceramic materials under inert and reactive conditions. Meso-scale effects associated with flaw disruption by lateral cracking at large indentation loads are shown to increase strengths above the ideal indentation response. Micro-scale effects associated with toughening by microstructural restraints at small indentation loads are shown to decrease strengths below the ideal response. A combined meso-micro-scale analysis is developed that describes ceramic inert strength behaviors over the complete indentation flaw size range. Nano-scale effects associated with chemical equilibria and crack velocity thresholds are shown to lead to invariant minimum strengths at slow applied stressing rates under reactive conditions. A combined meso-micro-nano-scale analysis is developed that describes the full range of reactive and inert strength behaviors as a function of indentation load and applied stressing rate. Applications of the multi-scale analysis are demonstrated for materials design, materials selection, toughness determination, crack velocity determination, bond-rupture parameter determination, and prediction of reactive strengths. The measurements and analysis provide strong support for the existence of sharp crack tips in ceramics such that the nano-scale mechanisms of discrete bond rupture are separate from the larger scale crack driving force mechanics characterized by continuum-based stress-intensity factors. PMID:27563150
Are nano-composites and nano-ionomers suitable for orthodontic bracket bonding?
Uysal, Tancan; Yagci, Ahmet; Uysal, Banu; Akdogan, Gülsen
2010-02-01
The aim of this study was to test nano-composite (Filtek Supreme Plus Universal) and a newly introduced nano-ionomer (Ketac N100 Light Curing Nano-Ionomer) restorative to determine their shear bond strength (SBS) and failure site locations in comparison with a conventional light-cure orthodontic bonding adhesive (Transbond XT). Sixty freshly extracted human maxillary premolar teeth were arbitrarily divided into three equal groups. The brackets were bonded to the teeth in each group with different composites, according to the manufacturers' instructions. The SBS values of the brackets were recorded in Megapascals (MPa) using a universal testing machine. Adhesive remnant index scores were determined after failure of the brackets. The data were analysed using analysis of variance, Tukey honestly significant difference, and chi-square tests. The results demonstrated that group 1 (Transbond XT, mean: 12.60 +/- 4.48 MPa) had a higher SBS than that of group 2 (nano-composite, mean: 8.33 +/- 5.16 MPa; P < 0.05) and group 3 (nano-ionomer, mean: 6.14 +/- 2.12 MPa; P < 0.001). No significant differences in debond locations were found among the three groups. Nano-composites and nano-ionomers may be suitable for bonding since they fulfil the previously suggested SBS ranges for clinical acceptability, but they are inferior to a conventional orthodontic composite.
NASA Astrophysics Data System (ADS)
Tamura, T.; Kyono, A.; Kebukawa, Y.; Takagi, S.
2017-12-01
Recently, lichens as the earliest colonizers of terrestrial habitats are recognized to accelerate the mineral degradation at the interface between lichens and surface rocks. Much interest has been therefore devoted in recent years to the weathering induced by the lichen colonization. Here, we report nano-scale observations of the interface between lichens and basaltic rock by TEM and STXM techniques. Some samples of basaltic rocks totally covered by lichens were collected from the 1986 lava flows on the northwest part of Izu-Oshima volcano, Japan. To prepare specimens for the nano-scale observation, we utilized the focused ion beam (FIB) system. The microstructure and local chemistry of the specimens were thoroughly investigated by TEM equipped with energy-dispersive X-ray spectroscopy (EDX). Chemical components and chemical heterogeneity at the interface were observed by scanning transmission X-ray microscopy (STXM) at Advanced Light Source branch line 5.3.2.2. The collected rocks were classified into the augite-pigeonite-bronzite basalt including 6 to 8% plagioclase phenocrysts. The lichens adhering to the rocks were mainly Stereocaulon vesuvianum, fruticose lichen, which are widespread over the study area. The metabolites of the Stereocaulon vesuvianum exhibited a mean pH of 4.5 and dominance by acids. The STEM-EDX observations revealed that the interface between augite and the lichen was completely covered with amorphous silica multilayer with a thickness of less than 1 µm. Ca L-edge XANES spectra of the augite showed that the energy profile of the absorption edge at 349 eV was varied with the depth from the surface, indicating that the M2 site coordination accommodating Ca2+ undergoes significant change in shape as a function of distance from the surface. This behavior results from the fact that the M2 site is more distorted and more flexible in the C2/c clinopyroxene phase. Taking into consideration that the S. vesuvianum can produce acidic organic compounds
Darmstadt, G L; Kumar, V; Shearer, J C; Misra, R; Mohanty, S; Baqui, A H; Coffey, P S; Awasthi, S; Singh, J V; Santosham, M
2007-10-01
To determine the accuracy and acceptability of a handheld scale prototype designed for nonliterate users to classify newborns into three weight categories (>or=2,500 g; 2,000 to 2,499 g; and <2,000 g). Weights of 1,100 newborns in Uttar Pradesh, India, were measured on the test scale and validated against a gold standard. Mothers, family members and community health stakeholders were interviewed to assess the acceptability of the test scale. The test scale was highly sensitive and specific at classifying newborn weight (normal weight: 95.3 and 96.3%, respectively; low birth weight: 90.4 and 99.2%, respectively; very low birth weight: 91.7 and 98.4%, respectively). It was the overall agreement of the community that the test scale was more practical and easier to interpret than the gold standard. The BIRTHweigh III scale accurately identifies low birth weight and very low birth weight newborns to target weight-specific interventions. The scale is extremely practical and useful for resource-poor settings, especially those with low levels of literacy.
Development and characterization of nanopore system for nano-vesicle analysis
NASA Astrophysics Data System (ADS)
Goyal, Gaurav
Nano-vesicles have recently attracted a lot of attention in research and medical communities and are very promising next-generation drug delivery vehicles. This is due to their biocompatibility, biodegradability and their ability to protect drug cargo and deliver it to site-specific locations, while maintaining the desired pharmacokinetic profile. The interaction of these drug loaded vesicles with the recipient cells via adsorption, endocytosis or receptor mediated internalization involve significant bending and deformation and is governed by mechanical properties of the nano-vesicles. Currently, the mechanical characteristics of nano-vesicles are left unexplored because of the difficulties associated with vesicle analysis at sub-100 nm length scale. The need for a complete understanding of nano-vesicle interaction with each other and the recipient cells warrants development of an analytical tool capable of mechanical investigation of individual vesicles at sub-100 nm scale. This dissertation presents investigation of nano-vesicle deformability using resistive pulse sensing and solid-state nanopore devices. The dissertation is divided into four chapters. Chapter 1 discusses the motivation, specific aims and presents an overview of nanoparticle characterization techniques, resistive pulse sensing background and principles, techniques for fabricating solid-state nanopores, as well the deformation behavior of giant vesicles when placed in electric field. Chapter 2 is dedicated to understanding of the scientific principles governing transport of sub-100 nm particles in dilute solutions. We investigated the translocation of rigid nanoparticles through nanopores at salt concentrations < 50 mM. When using low electrolyte strength, surface effects become predominant and resulted in unconventional current signatures in our experiments. It prompted us to explore the effects of different experimental parameters using Multiphysics simulations, in order to optimize our system
Continuous engineering of nano-cocrystals for medical and energetic applications.
Spitzer, D; Risse, B; Schnell, F; Pichot, V; Klaumünzer, M; Schaefer, M R
2014-10-10
Cocrystals, solid mixtures of different molecules on molecular scale, are supposed to be tailor made materials with improved employability compared to their pristine individual components in domains such as medicine and explosives. In medicine, cocrystals are obtained by crystallization of active pharmaceutical ingredients with precisely chosen coformers to design medicaments that demonstrate enhanced stability, high solubility, and therefore high bioavailability and optimized drug up-take. Nanoscaling may further advance these characteristica compared to their micronsized counterparts - because of a larger surface to volume ratio of nanoparticles. In the field of energetic materials, cocrystals offer the opportunity to design smart explosives, combining high reactivity with significantly reduced sensitivity, nowadays essential for a safe manipulation and handling. Furthermore, cocrystals are used in ferroelectrics, non-linear material response and electronic organics. However, state of the art batch processes produce low volume of cocrystals of variable quality and only have produced micronsized cocrystals so far, no nano-cocrystals. Here we demonstrate the continuous preparation of pharmaceutical and energetic micro- and nano-cocrystals using the Spray Flash Evaporation process. Our laboratory scale pilot plant continuously prepared up to 8 grams per hour of Caffeine/Oxalic acid 2:1, Caffeine/Glutaric acid 1:1, TNT/CL-20 1:1 and HMX/Cl-20 1:2 nano- and submicronsized cocrystals.
Continuous engineering of nano-cocrystals for medical and energetic applications
NASA Astrophysics Data System (ADS)
Spitzer, D.; Risse, B.; Schnell, F.; Pichot, V.; Klaumünzer, M.; Schaefer, M. R.
2014-10-01
Cocrystals, solid mixtures of different molecules on molecular scale, are supposed to be tailor made materials with improved employability compared to their pristine individual components in domains such as medicine and explosives. In medicine, cocrystals are obtained by crystallization of active pharmaceutical ingredients with precisely chosen coformers to design medicaments that demonstrate enhanced stability, high solubility, and therefore high bioavailability and optimized drug up-take. Nanoscaling may further advance these characteristica compared to their micronsized counterparts - because of a larger surface to volume ratio of nanoparticles. In the field of energetic materials, cocrystals offer the opportunity to design smart explosives, combining high reactivity with significantly reduced sensitivity, nowadays essential for a safe manipulation and handling. Furthermore, cocrystals are used in ferroelectrics, non-linear material response and electronic organics. However, state of the art batch processes produce low volume of cocrystals of variable quality and only have produced micronsized cocrystals so far, no nano-cocrystals. Here we demonstrate the continuous preparation of pharmaceutical and energetic micro- and nano-cocrystals using the Spray Flash Evaporation process. Our laboratory scale pilot plant continuously prepared up to 8 grams per hour of Caffeine/Oxalic acid 2:1, Caffeine/Glutaric acid 1:1, TNT/CL-20 1:1 and HMX/Cl-20 1:2 nano- and submicronsized cocrystals.
Acoustic vibrations of metal nano-objects: Time-domain investigations
NASA Astrophysics Data System (ADS)
Crut, Aurélien; Maioli, Paolo; Del Fatti, Natalia; Vallée, Fabrice
2015-01-01
Theoretical and time-domain experimental investigations of the vibrational acoustic response of nano-objects are described focusing on metallic ones. Acoustic vibrations are modeled using a macroscopic-like approach based on continuum mechanics with the proper boundary conditions, a model which yields results in excellent agreement with the experimental ones and those of atomistic calculations, down to the nanometric scale. Vibrational mode excitation and detection mechanisms and the associated mode selection in ultrafast pump-probe spectroscopy are discussed, and the measured time-dependent signals in single and ensemble of nanoparticles modeled. The launched modes, their period and their damping rate are compared to experimental results obtained on ensembles of nano-objects with different composition, morphology and environment, and with size ranging from one to hundreds of nanometers. Recent extension of time-domain spectroscopy to individual nano-objects has shed new light on the vibrational responses of isolated nanoparticles, in particular on their damping, but also raises questions on the origin of its large particle to particle dispersion.
Seismic slip on clay nano-foliation
NASA Astrophysics Data System (ADS)
Aretusini, S.; Pluemper, O.; Passelègue, F. X.; Spagnuolo, E.; Di Toro, G.
2017-12-01
Deformation processes active at seismic slip rates (ca. 1 m/s) on smectite-rich slipping zones are not well understood, although they likely control the mechanical behaviour of: i) subduction zone faults affected by tsunamigenic earthquakes (e.g. Japan Trench affected by Tohoku-Oki 2011 earthquake), ii) plate-boundary faults (e.g. San Andreas Fault), and iii) landslide decollements (e.g. 1963 Vajont landslide). Here we present a set of rotary experiments performed on water-dampened 2 mm thick clay-rich (70% wt. smectite and 30% wt. opal) gouge layers sheared at slip rates V ranging from 0.01 to 1.3 m/s, for 3 m of displacement under 5 MPa normal stress. Microstructural analyses were conducted on pre- and post-sheared gouges using focused ion beam scanning electron and transmission electron microscopy. All sheared gouges were slip weakening in the first 0.1 m of displacement, with friction coefficient decreasing from 0.3-0.45 to 0.5-0.15. Then, with progressive slip, gouges evolved to slip-strengthening (final friction coefficient of 0.35-0.48) at V ≤0.1 m/s and slip-neutral (final friction of 0.05) at V=1.3 m/s. Despite the large difference in the imposed slip rate and frictional behaviour, the slipping zone always consisted of a nano-foliation defined by sub-micrometric smectite crystals wrapping opal grains. The nano-foliated layer thickness decreased from 1.5 mm at V≤0.1 m/s to 0.15 mm at V=1.3 m/s. The presence of a similar nano-foliation in all the smectite-rich wet gouges suggests the activation of similar deformation processes, dominated by frictional slip on grain boundary and basal planes. The variation of deformed thickness with slip rate shows that dynamic weakening, occurring only at seismic slip rates, is controlled by strain localization.
Nano-scale characterization of nano-hydroxyapatite incorporated chitosan particles for bone repair.
Gaihre, Bipin; Uswatta, Suren; Jayasuriya, Ambalangodage C
2018-05-01
In this study, injectable porous spherical particles were fabricated using chitosan (CS) biopolymer, sodium tripolyphosphate (TPP), and nano-hydroxyapatite (nHA). TPP was primarily used as an ionic crosslinker to crosslink 2% (w/v) CS droplets. 2% (w/v) nHA was used to prepare nHA incorporated particles. The surface morphological properties and nanomechanical properties such as topography, deformation, adhesion, and dissipation of CS particles with and without nHA were studied using contact mode and peakforce quantitative nanomechanical property mapping mode in atomic force microscopy. The nHA spots have higher density than CS which leads to higher forces acting on the probe tip and higher energy dissipation to lift the tip from nHA areas. The cumulative release data showed that about 87% of total BMP-2 encapsulated within the particles was released by third week of experiment period. Degradation study was conducted to understand how the particles degradation occurs in the presence of phosphate buffered saline with continues shaking in an incubator at 37° C. In addition, BMP-2 release from the 2% nHA/CS particles was studied over a three weeks period and found that BMP-2 release was governed by the simple diffusion rather than the degradation of particles. Copyright © 2018 Elsevier B.V. All rights reserved.
Effect of size on bulk and surface cohesion energy of metallic nano-particles
NASA Astrophysics Data System (ADS)
Yaghmaee, M. S.; Shokri, B.
2007-04-01
The knowledge of nano-material properties not only helps us to understand the extreme behaviour of small-scale materials better (expected to be different from what we observe from their bulk value) but also helps us to analyse and design new advanced functionalized materials through different nano technologies. Among these fundamental properties, the cohesion (binding) energy mainly describes most behaviours of materials in different environments. In this work, we discuss this fundamental property through a nano-thermodynamical approach using two algorithms, where in the first approach the size dependence of the inner (bulk) cohesion energy is studied, and in the second approach the surface cohesion energy is considered too. The results, which are presented through a computational demonstration (for four different metals: Al, Ga, W and Ag), can be compared with some experimental values for W metallic nano-particles.
Hybrid 3D printing by bridging micro/nano processes
NASA Astrophysics Data System (ADS)
Yoon, Hae-Sung; Jang, Ki-Hwan; Kim, Eunseob; Lee, Hyun-Taek; Ahn, Sung-Hoon
2017-06-01
A hybrid 3D printing process was developed for multiple-material/freeform nano-scale manufacturing. The process consisted of aerodynamically focused nanoparticle (AFN) printing, micro-machining, focused ion beam milling, and spin-coating. Theoretical and experimental investigations were carried out to improve the compatibility of each of the processes, enabling bridging of various different techniques. The resulting hybrid process could address the limitations of individual processes, enabling improved process scaling and dimensional degrees of freedom, without losing the advantages of the existing processes. The minimum structure width can be reduced to 50 nm using undercut structures. In addition, AFN printing employs particle impact for adhesion, and various inorganic materials are suitable for printing, including metals and functional ceramics. Using the developed system, we fabricated bi-material cantilevers for applications as a thermal actuator. The mechanical and thermal properties of the structure were investigated using an in situ measurement system, and irregular thermal phenomena due to the fabrication process were analyzed. We expect that this work will lead to improvements in the area of customized nano-scale manufacturing, as well as further improvements in manufacturing technology by combining different fabrication techniques.