Sample records for nanopatterned sapphire substrates

  1. Fabrication of wafer-scale nanopatterned sapphire substrate through phase separation lithography

    NASA Astrophysics Data System (ADS)

    Guo, Xu; Ni, Mengyang; Zhuang, Zhe; Dai, Jiangping; Wu, Feixiang; Cui, Yushuang; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2016-04-01

    A phase separation lithography (PSL) based on polymer blend provides an extremely simple, low-cost, and high-throughput way to fabricate wafer-scale disordered nanopatterns. This method was introduced to fabricate nanopatterned sapphire substrates (NPSSs) for GaN-based light-emitting diodes (LEDs). The PSL process only involved in spin-coating of polystyrene (PS)/polyethylene glycol (PEG) polymer blend on sapphire substrate and followed by a development with deionized water to remove PEG moiety. The PS nanoporous network was facilely obtained, and the structural parameters could be effectively tuned by controlling the PS/PEG weight ratio of the spin-coating solution. 2-in. wafer-scale NPSSs were conveniently achieved through the PS nanoporous network in combination with traditional nanofabrication methods, such as O2 reactive ion etching (RIE), e-beam evaporation deposition, liftoff, and chlorine-based RIE. In order to investigate the performance of such NPSSs, typical blue LEDs with emission wavelengths of ~450 nm were grown on the NPSS and a flat sapphire substrate (FSS) by metal-organic chemical vapor deposition, respectively. The integral photoluminescence (PL) intensity of the NPSS LED was enhanced by 32.3 % compared to that of the FSS-LED. The low relative standard deviation of 4.7 % for PL mappings of NPSS LED indicated the high uniformity of PL data across the whole 2-in. wafer. Extremely simple, low cost, and high throughput of the process and the ability to fabricate at the wafer scale make PSL a potential method for production of nanopatterned sapphire substrates.

  2. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  3. Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography

    PubMed Central

    2013-01-01

    Large-scale nanopatterned sapphire substrates were fabricated by annealing of patterned Al thin films. Patterned Al thin films were obtained by soft UV-nanoimprint lithography and reactive ion etching. The soft mold with 550-nm-wide lines separated by 250-nm space was composed of the toluene-diluted polydimethylsiloxane (PDMS) layer supported by the soft PDMS. Patterned Al thin films were subsequently subjected to dual-stage annealing due to the melting temperature of Al thin films (660°C). The first comprised a low-temperature oxidation anneal at 450°C for 24 h. This was followed by a high-temperature annealing in the range of 1,000°C and 1,200°C for 1 h to induce growth of the underlying sapphire single crystal to consume the oxide layer. The SEM results indicate that the patterns were retained on sapphire substrates after high-temperature annealing at less than 1,200°C. Finally, large-scale nanopatterned sapphire substrates were successfully fabricated by annealing of patterned Al thin films for 24 h at 450°C and 1 h at 1,000°C by soft UV-nanoimprint lithography. PMID:24215718

  4. Improved output power of GaN-based light-emitting diodes grown on a nanopatterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Chan, Chia-Hua; Hou, Chia-Hung; Tseng, Shao-Ze; Chen, Tsing-Jen; Chien, Hung-Ta; Hsiao, Fu-Li; Lee, Chien-Chieh; Tsai, Yen-Ling; Chen, Chii-Chang

    2009-07-01

    This letter describes the improved output power of GaN-based light-emitting diodes (LEDs) formed on a nanopatterned sapphire substrate (NPSS) prepared through etching with a self-assembled monolayer of 750-nm-diameter SiO2 nanospheres used as the mask. The output power of NPSS LEDs was 76% greater than that of LEDs on a flat sapphire substrate. Three-dimensional finite-difference time-domain calculation predicted a 40% enhancement in light extraction efficiency of NPSS LEDs. In addition, the reduction of full widths at half maximum in the ω-scan rocking curves for the (0 0 2) and (1 0 2) planes of GaN on NPSS suggested improved crystal quality.

  5. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  6. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  7. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  8. Fabrication of volcano-shaped nano-patterned sapphire substrates using colloidal self-assembly and wet chemical etching.

    PubMed

    Geng, Chong; Zheng, Lu; Fang, Huajing; Yan, Qingfeng; Wei, Tongbo; Hao, Zhibiao; Wang, Xiaoqing; Shen, Dezhong

    2013-08-23

    Patterned sapphire substrates (PSS) have been widely used to enhance the light output power in GaN-based light emitting diodes. The shape and feature size of the pattern in a PSS affect its enhancement efficiency to a great degree. In this work we demonstrate the nanoscale fabrication of volcano-shaped PSS using a wet chemical etching approach in combination with a colloidal monolayer templating strategy. Detailed analysis by scanning electron microscopy reveals that the unique pattern shape is a result of the different corrosion-resistant abilities of silica masks of different effective heights during wet chemical etching. The formation of silica etching masks of different effective heights has been ascribed to the silica precursor solution in the interstice of the colloidal monolayer template being distributed unevenly after infiltration. In the subsequent wet chemical etching process, the active reaction sites altered as etching duration was prolonged, resulting in the formation of volcano-shaped nano-patterned sapphire substrates.

  9. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Lan, Ding; Chen, Yu; Hu, Qiang; Lu, Hongxi; Li, Jinmin

    2014-02-01

    Self-assembly SiO2 nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, the external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.

  10. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  11. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Wang, Junxi

    2014-02-15

    Self-assembly SiO{sub 2} nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, themore » external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.« less

  12. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  13. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  14. The aspect ratio effects on the performances of GaN-based light-emitting diodes with nanopatterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kao, Chien-Chih; Su, Yan-Kuin; Lin, Chuing-Liang; Chen, Jian-Jhong

    2010-07-01

    The nanopatterned sapphire substrates (NPSSs) with aspect ratio that varied from 2.00 to 2.50 were fabricated by nanoimprint lithography. We could improve the epitaxial film quality and enhance the light extraction efficiency by NPSS technique. In this work, the aspect ratio effects on the performances of GaN-based light-emitting diodes (LEDs) with NPSS were investigated. The light output enhancement of GaN-based LEDs with NPSS was increased from 11% to 27% as the aspect ratio of the NPSS increases from 2.00 to 2.50. Owing to the same improvement of crystalline quality by using various aspect ratios of NPSS, these results indicated that the aspect ratio of the NPSS is strongly related to the light extraction efficiency.

  15. Photovoltaic cell with nano-patterned substrate

    DOEpatents

    Cruz-Campa, Jose Luis; Zhou, Xiaowang; Zubia, David

    2016-10-18

    A photovoltaic solar cell comprises a nano-patterned substrate layer. A plurality of nano-windows are etched into an intermediate substrate layer to form the nano-patterned substrate layer. The nano-patterned substrate layer is positioned between an n-type semiconductor layer composed of an n-type semiconductor material and a p-type semiconductor layer composed of a p-type semiconductor material. Semiconductor material accumulates in the plurality of nano-windows, causing a plurality of heterojunctions to form between the n-type semiconductor layer and the p-type semiconductor layer.

  16. Light extraction efficiency analysis of GaN-based light-emitting diodes with nanopatterned sapphire substrates.

    PubMed

    Pan, Jui-Wen; Tsai, Pei-Jung; Chang, Kao-Der; Chang, Yung-Yuan

    2013-03-01

    In this paper, we propose a method to analyze the light extraction efficiency (LEE) enhancement of a nanopatterned sapphire substrates (NPSS) light-emitting diode (LED) by comparing wave optics software with ray optics software. Finite-difference time-domain (FDTD) simulations represent the wave optics software and Light Tools (LTs) simulations represent the ray optics software. First, we find the trends of and an optimal solution for the LEE enhancement when the 2D-FDTD simulations are used to save on simulation time and computational memory. The rigorous coupled-wave analysis method is utilized to explain the trend we get from the 2D-FDTD algorithm. The optimal solution is then applied in 3D-FDTD and LTs simulations. The results are similar and the difference in LEE enhancement between the two simulations does not exceed 8.5% in the small LED chip area. More than 10(4) times computational memory is saved during the LTs simulation in comparison to the 3D-FDTD simulation. Moreover, LEE enhancement from the side of the LED can be obtained in the LTs simulation. An actual-size NPSS LED is simulated using the LTs. The results show a more than 307% improvement in the total LEE enhancement of the NPSS LED with the optimal solution compared to the conventional LED.

  17. GaN-Based Light-Emitting Diodes Grown on Nanoscale Patterned Sapphire Substrates with Void-Embedded Cortex-Like Nanostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Sheng; Yeh, J. Andrew

    2011-09-01

    High-efficiency GaN-based light-emitting diodes (LEDs) with an emitting wavelength of 438 nm were demonstrated utilizing nanoscale patterned sapphire substrates with void-embedded cortex-like nanostructures (NPSS-VECN). Unlike the previous nanopatterned sapphire substrates, the presented substrate has a new morphology that can not only improve the crystalline quality of GaN epilayers but also generate a void-embedded nanostructural layer to enhance light extraction. Under a driving current of 20 mA, the external quantum efficiency of an LED with NPSS-VECN is enhanced by 2.4-fold compared with that of the conventional LED. Moreover, the output powers of two devices respectively are 33.1 and 13.9 mW.

  18. InGaN-Based Light-Emitting Diodes Grown on a Micro/Nanoscale Hybrid Patterned Sapphire Substrate.

    PubMed

    Ke, Wen-Cheng; Lee, Fang-Wei; Chiang, Chih-Yung; Liang, Zhong-Yi; Chen, Wei-Kuo; Seong, Tae-Yeon

    2016-12-21

    A hybrid patterned sapphire substrate (hybrid-PSS) was prepared using an anodic aluminum oxide etching mask to transfer nanopatterns onto a conventional patterned sapphire substrate with microscale patterns (bare-PSS). The threading dislocation (TD) suppression of light-emitting diodes (LEDs) grown on a hybrid-PSS (HP-LED) exhibits a smaller reverse leakage current compared with that of LEDs grown on a bare-PSS (BP-LED). The strain-free GaN buffer layer and fully strained InGaN active layer were evidenced by cross-sectional Raman spectra and reciprocal space mapping of the X-ray diffraction intensity for both samples. The calculated piezoelectric fields for both samples are close, implying that the quantum-confined Stark effect was not a dominant mechanism influencing the electroluminescence (EL) peak wavelength under a high injection current. The bandgap shrinkage effect of the InGaN well layer was considered to explain the large red-shifted EL peak wavelength under high injection currents. The estimated LED chip temperatures rise from room temperature to 150 °C and 75 °C for BP-LED and HP-LED, respectively, at a 600-mA injection current. This smaller temperature rise of the LED chip is attributed to the increased contact area between the sapphire and the LED structural layer because of the embedded nanopattern. Although the chip generates more heat at high injection currents, the accumulated heat can be removed to outside the chip effectively. The high diffuse reflection (DR) rate of hybrid-PSS increases the escape probability of photons, resulting in an increase in the viewing angle of the LEDs from 130° to 145°. The efficiency droop was reduced from 46% to 35%, effects which can be attributed to the elimination of TDs and strain relaxation by embedded nanopatterns. In addition, the light output power of HP-LED at 360-mA injection currents exhibits a ∼ 22.3% enhancement, demonstrating that hybrid-PSSs are beneficial to apply in high-power LEDs.

  19. Wafer scale BN on sapphire substrates for improved graphene transport.

    PubMed

    Vangala, Shivashankar; Siegel, Gene; Prusnick, Timothy; Snure, Michael

    2018-06-11

    Wafer scale (2") BN grown by metal organic chemical vapor deposition (MOCVD) on sapphire was examined as a weakly interacting dielectric substrate for graphene, demonstrating improved transport properties over conventional sapphire and SiO 2 /Si substrates. Chemical vapor deposition grown graphene was transferred to BN/sapphire substrates for evaluation of more than 30 samples using Raman and Hall effects measurements. A more than 2x increase in Hall mobility and 10x reduction in sheet carrier density was measured for graphene on BN/sapphire compared to sapphire substrates. Through control of the MOCVD process, BN films with roughness ranging from <0.1 nm to >1 nm were grown and used to study the effects of substrate roughness on graphene transport. Arrays of graphene field effect transistors were fabricated on 2" BN/sapphire substrates demonstrating scalability and device performance enhancement.

  20. Ultrasmooth, Polydopamine Modified Surfaces for Block Copolymer Nanopatterning on Inert and Flexible Substrates

    NASA Astrophysics Data System (ADS)

    Katsumata, Reika; Cho, Joon Hee; Zhou, Sunshine; Kim, Chae Bin; Dulaney, Austin; Janes, Dustin; Ellison, Christopher

    Nature has engineered universal, catechol-containing adhesives that can be synthetically mimicked in the form of polydopamine (PDA). We exploited PDA to enable block copolymer (BCP) nanopatterning on a variety of soft material surfaces in a way that can potentially be applied to flexible electrical devices. Applying BCP nanopatterning to soft substrates is challenging because soft substrates are often chemically inert and possess incompatible low surface energies. In this study, we exploited PDA to enable the formation of BCP nanopatterns on a variety of surfaces such as Teflon, poly(ethylene terephthalate) (PET), and Kapton. While previous studies produced a PDA coating layer too rough for BCP nanopatterning, we succeeded in fabricating conformal and ultra-smooth surfaces of PDA by engineering the PDA coating process and post-sonication procedure. This chemically functionalized, biomimetic thin film (3 nm thick) served as a reactive platform for subsequently grafting a surface treatment to perpendicularly orient a lamellae-forming BCP layer. Furthermore, we demonstrated that a perfectly nanopatterned PDA-PET substrate can be bent without distorting or damaging the nanopattern in conditions that far exceeds typical bending curvatures in roll-to-roll manufacturing.

  1. Formation of Au nano-patterns on various substrates using simplified nano-transfer printing method

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Woo; Yang, Ki-Yeon; Hong, Sung-Hoon; Lee, Heon

    2008-06-01

    For future device applications, fabrication of the metal nano-patterns on various substrates, such as Si wafer, non-planar glass lens and flexible plastic films become important. Among various nano-patterning technologies, nano-transfer print method is one of the simplest techniques to fabricate metal nano-patterns. In nano-transfer printing process, thin Au layer is deposited on flexible PDMS mold, containing surface protrusion patterns, and the Au layer is transferred from PDMS mold to various substrates due to the difference of bonding strength of Au layer to PDMS mold and to the substrate. For effective transfer of Au layer, self-assembled monolayer, which has strong bonding to Au, is deposited on the substrate as a glue layer. In this study, complicated SAM layer coating process was replaced to simple UV/ozone treatment, which can activates the surface and form the -OH radicals. Using simple UV/ozone treatments on both Au and substrate, Au nano-pattern can be successfully transferred to as large as 6 in. diameter Si wafer, without SAM coating process. High fidelity transfer of Au nano-patterns to non-planar glass lens and flexible PET film was also demonstrated.

  2. Increased effective reflection and transmission at the GaN-sapphire interface of LEDs grown on patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dongxue, Wu; Ping, Ma; Boting, Liu; Shuo, Zhang; Junxi, Wang; Jinmin, Li

    2016-10-01

    The effect of patterned sapphire substrate (PSS) on the top-surface (P-GaN-surface) and the bottom-surface (sapphire-surface) of the light output power (LOP) of GaN-based LEDs was investigated, in order to study the changes in reflection and transmission of the GaN-sapphire interface. Experimental research and computer simulations were combined to reveal a great enhancement in LOP from either the top or bottom surface of GaN-based LEDs, which are prepared on patterned sapphire substrates (PSS-LEDs). Furthermore, the results were compared to those of the conventional LEDs prepared on the planar sapphire substrates (CSS-LEDs). A detailed theoretical analysis was also presented to further support the explanation for the increase in both the effective reflection and transmission of PSS-GaN interface layers and to explain the causes of increased LOP values. Moreover, the bottom-surface of the PSS-LED chip shows slightly increased light output performance when compared to that of the top-surface. Therefore, the light extraction efficiency (LEE) can be further enhanced by integrating the method of PSS and flip-chip structure design. Project supported by the National High Technology Program of China (No. Y48A040000) and the National High Technology Program of China (No. Y48A040000).

  3. Pattern interpolation in thin films of lamellar, symmetric copolymers on nano-patterned substrates

    NASA Astrophysics Data System (ADS)

    Detcheverry, Francois; Nagpal, Umang; Liu, Guoliang; Nealey, Paul; de Pablo, Juan

    2009-03-01

    A molecular model of block copolymer systems is used to conduct a systematic study of the morphologies that arise when thin films of symmetric, lamellar forming block copolymer materials are deposited on nanopatterned surfaces. Over 500 distinct cases are considered. It is found that, in general, three distinct morphologies can arise depending on the strength of the substrate-polymer interactions, the film thickness, and the period of the substrate pattern. The relative stability of those morphologies is determined by direct calculation of the free energy differences. The dynamic propensity of those morphologies to emerge is examined by careful analysis of simulated trajectories. The results of this systematic study are used to interpret recent experimental data for films of polystyrene-PMMA copolymers on chemically nanopatterned surfaces.

  4. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  5. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  6. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  7. Lattice-Matched Semiconductor Layers on Single Crystalline Sapphire Substrate

    NASA Technical Reports Server (NTRS)

    Choi, Sang; King, Glen; Park, Yeonjoon

    2009-01-01

    SiGe is an important semiconductor alloy for high-speed field effect transistors (FETs), high-temperature thermoelectric devices, photovoltaic solar cells, and photon detectors. The growth of SiGe layer is difficult because SiGe alloys have different lattice constants from those of the common Si wafers, which leads to a high density of defects, including dislocations, micro-twins, cracks, and delaminations. This innovation utilizes newly developed rhombohedral epitaxy of cubic semiconductors on trigonal substrates in order to solve the lattice mismatch problem of SiGe by using trigonal single crystals like sapphire (Al2O3) as substrate to give a unique growth-orientation to the SiGe layer, which is automatically controlled at the interface upon sapphire (0001). This technology is different from previous silicon on insulator (SOI) or SGOI (SiGe on insulator) technologies that use amorphous SiO2 as the growth plane. A cubic semiconductor crystal is a special case of a rhombohedron with the inter-planar angle, alpha = 90 deg. With a mathematical transformation, all rhombohedrons can be described by trigonal crystal lattice structures. Therefore, all cubic lattice constants and crystal planes (hkl) s can be transformed into those of trigonal crystal parameters. These unique alignments enable a new opportunity of perfect lattice matching conditions, which can eliminate misfit dislocations. Previously, these atomic alignments were thought to be impossible or very difficult. With the invention of a new x-ray diffraction measurement method here, growth of cubic semiconductors on trigonal crystals became possible. This epitaxy and lattice-matching condition can be applied not only to SiGe (111)/sapphire (0001) substrate relations, but also to other crystal structures and other materials, including similar crystal structures which have pointgroup rotational symmetries by 120 because the cubic (111) direction has 120 rotational symmetry. The use of slightly miscut (less than

  8. Microdynamic Devices Fabricated on Silicon-On-Sapphire Substrates.

    DTIC Science & Technology

    Silicon-on-sapphire substrates are provided for the fabrication of micromechanical devices, such as micromotors . The high voltage stand-off...a consequence, the electrostatically driven devices, micromotors , can be incorporated in the integrated circuits and yet be powered at elevated voltages to increase their work potential.

  9. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  10. Method of making nanopatterns and nanostructures and nanopatterned functional oxide materials

    DOEpatents

    Dravid, Vinayak P; Donthu, Suresh K; Pan, Zixiao

    2014-02-11

    Method for nanopatterning of inorganic materials, such as ceramic (e.g. metal oxide) materials, and organic materials, such as polymer materials, on a variety of substrates to form nanopatterns and/or nanostructures with control of dimensions and location, all without the need for etching the materials and without the need for re-alignment between multiple patterning steps in forming nanostructures, such as heterostructures comprising multiple materials. The method involves patterning a resist-coated substrate using electron beam lithography, removing a portion of the resist to provide a patterned resist-coated substrate, and spin coating the patterned resist-coated substrate with a liquid precursor, such as a sol precursor, of the inorganic or organic material. The remaining resist is removed and the spin coated substrate is heated at an elevated temperature to crystallize the deposited precursor material.

  11. Fabrication of 2-inch nano patterned sapphire substrate with high uniformity by two-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Dai, LongGui; Yang, Fan; Yue, Gen; Jiang, Yang; Jia, Haiqiang; Wang, Wenxin; Chen, Hong

    2014-11-01

    Generally, nano-scale patterned sapphire substrate (NPSS) has better performance than micro-scale patterned sapphire substrate (MPSS) in improving the light extraction efficiency of LEDs. Laser interference lithography (LIL) is one of the powerful fabrication methods for periodic nanostructures without photo-masks for different designs. However, Lloyd's mirror LIL system has the disadvantage that fabricated patterns are inevitably distorted, especially for large-area twodimensional (2D) periodic nanostructures. Herein, we introduce two-beam LIL system to fabricate consistent large-area NPSS. Quantitative analysis and characterization indicate that the high uniformity of the photoresist arrays is achieved. Through the combination of dry etching and wet etching techniques, the well-defined NPSS with period of 460 nm were prepared on the whole sapphire substrate. The deviation is 4.34% for the bottom width of the triangle truncated pyramid arrays on the whole 2-inch sapphire substrate, which is suitable for the application in industrial production of NPSS.

  12. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    NASA Technical Reports Server (NTRS)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  13. Synthesis and Transfer of Large-Area Monolayer WS2 Crystals: Moving Toward the Recyclable Use of Sapphire Substrates.

    PubMed

    Xu, Zai-Quan; Zhang, Yupeng; Lin, Shenghuang; Zheng, Changxi; Zhong, Yu Lin; Xia, Xue; Li, Zhipeng; Sophia, Ponraj Joice; Fuhrer, Michael S; Cheng, Yi-Bing; Bao, Qiaoliang

    2015-06-23

    Two-dimensional layered transition metal dichalcogenides (TMDs) show intriguing potential for optoelectronic devices due to their exotic electronic and optical properties. Only a few efforts have been dedicated to large-area growth of TMDs. Practical applications will require improving the efficiency and reducing the cost of production, through (1) new growth methods to produce large size TMD monolayer with less-stringent conditions, and (2) nondestructive transfer techniques that enable multiple reuse of growth substrate. In this work, we report to employ atmospheric pressure chemical vapor deposition (APCVD) for the synthesis of large size (>100 μm) single crystals of atomically thin tungsten disulfide (WS2), a member of TMD family, on sapphire substrate. More importantly, we demonstrate a polystyrene (PS) mediated delamination process via capillary force in water which reduces the etching time in base solution and imposes only minor damage to the sapphire substrate. The transferred WS2 flakes are of excellent continuity and exhibit comparable electron mobility after several growth cycles on the reused sapphire substrate. Interestingly, the photoluminescence emission from WS2 grown on the recycled sapphire is much higher than that on fresh sapphire, possibly due to p-type doping of monolayer WS2 flakes by a thin layer of water intercalated at the atomic steps of the recycled sapphire substrate. The growth and transfer techniques described here are expected to be applicable to other atomically thin TMD materials.

  14. Characteristics of surface acoustic waves in (11\\bar 2 0)ZnO film/ R-sapphire substrate structures

    NASA Astrophysics Data System (ADS)

    Wang, Yan; Zhang, ShuYi; Xu, Jing; Xie, YingCai; Lan, XiaoDong

    2018-02-01

    (11\\bar 2 0)ZnO film/ R-sapphire substrate structure is promising for high frequency acoustic wave devices. The propagation characteristics of SAWs, including the Rayleigh waves along [0001] direction and Love waves along [1ī00] direction, are investigated by using 3 dimensional finite element method (3D-FEM). The phase velocity ( v p), electromechanical coupling coefficient ( k 2), temperature coefficient of frequency ( TCF) and reflection coefficient ( r) of Rayleigh wave and Love wave devices are theoretically analyzed. Furthermore, the influences of ZnO films with different crystal orientation on SAW properties are also investigated. The results show that the 1st Rayleigh wave has an exceedingly large k 2 of 4.95% in (90°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate associated with a phase velocity of 5300 m/s; and the 0th Love wave in (0°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate has a maximum k 2 of 3.86% associated with a phase velocity of 3400 m/s. And (11\\bar 2 0)ZnO film/ R-sapphire substrate structures can be used to design temperature-compensated and wide-band SAW devices. All of the results indicate that the performances of SAW devices can be optimized by suitably selecting ZnO films with different thickness and crystal orientations deposited on R-sapphire substrates.

  15. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  16. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  17. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  18. Ga2O3-In2O3 thin films on sapphire substrates: Synthesis and ultraviolet photoconductivity

    NASA Astrophysics Data System (ADS)

    Muslimov, A. E.; Butashin, A. V.; Kolymagin, A. B.; Nabatov, B. V.; Kanevsky, V. M.

    2017-11-01

    The structure and electrical and optical properties of β-Ga2O3-In2O3 thin films on sapphire substrates with different orientations have been investigated. The samples have been prepared by annealing of gallium-indium metallic films on sapphire substrates in air at different gallium-to-indium ratios in the initial mixture. The photoconductivity of these structures in the solar-blind ultraviolet spectral region has been examined.

  19. Properties of GaN grown on sapphire substrates

    NASA Technical Reports Server (NTRS)

    Crouch, R. K.; Debnam, W. J.; Fripp, A. L.

    1978-01-01

    Epitaxial growth of GaN on sapphire substrates using an open-tube growth furnace has been carried out to study the effects of substrate orientation and transfer gas upon the properties of the layers. It has been found that for the (0001) substrates, surface appearance was virtually independent of carrier gas and of doping levels. For the (1(-1)02) substrates surface faceting was greatly reduced when He was used as a transfer gas as opposed to H2. Faceting was also reduced when the GaN was doped with Zn, and the best surfaces for the (1(-1)02) substrates were obtained in a Zn-doped run using He as the transfer gas. The best sample in terms of electrical properties for the (1(-1)02) substrate had a mobility greater than 400 sq cm/V per sec and a carrier concentration of about 10 to the 17th per cu cm. This sample was undoped and used He as the transfer gas. The best (0001) sample was also grown undoped with He as the transfer gas and had a mobility of 300 sq cm/V per sec and a carrier concentration of 1 x 10 to the 18th per cu cm.

  20. Zeta potential orientation dependence of sapphire substrates.

    PubMed

    Kershner, Ryan J; Bullard, Joseph W; Cima, Michael J

    2004-05-11

    The zeta potential of planar sapphire substrates for three different crystallographic orientations was measured by a streaming potential technique in the presence of KCl and (CH3)4NCl electrolytes. The streaming potential was measured for large single crystalline C-plane (0001), A-plane (1120), and R-plane (1102) wafers over a full pH range at three or more ionic strengths ranging from 1 to 100 mM. The roughness of the epi-polished wafers was verified using atomic force microscopy to be on the order of atomic scale, and X-ray photoelectron spectroscopy (XPS) was used to ensure that the samples were free of silica and other contaminants. The results reveal a shift in the isoelectric point (iep) of the three samples by as much as two pH units, with the R-plane surface exhibiting the most acidic behavior and the C-plane samples having the highest iep. The iep at all ionic strengths was tightly centered around a single pH for each wafer. These values of iep are substantially different from the range of pH 8-10 consistently reported in the literature for alpha-Al2O3 particles. Particle zeta potential measurements were performed on a model powder using phase analysis light scattering, and the iep was confirmed to occur at pH 8. Modified Auger parameters (MAP) were calculated from XPS spectra of a monolayer of iridium metal deposited on the sapphire by electron beam deposition. A shift in MAP consistent with the observed differences in iep of the surfaces confirms the effect of surface structure on the transfer of charge between the Ir and sapphire, hence accounting for the changes in acidity as a function of crystallographic orientation.

  1. Liquid-phase growth of few-layered graphene on sapphire substrates using SiC micropowder source

    NASA Astrophysics Data System (ADS)

    Maruyama, Takahiro; Yamashita, Yutaka; Saida, Takahiro; Tanaka, Shin-ichiro; Naritsuka, Shigeya

    2017-06-01

    We demonstrated direct synthesis of graphene films consisting of a few layers (few-layered graphene) on sapphire substrates by liquid-phase growth (LPG), using liquid Ga as the melt and SiC micropowder as the source material. When the dissolution temperature was above 700 °C, almost all Si atoms of SiC diffused into the Ga melt and only carbon atoms remained at the interface beneath the liquid Ga. Above 800 °C, X-ray photoelectron spectra showed that most of the remaining carbon was graphitized. When the dissolution temperature was 1000 °C, Raman spectra showed that few-layered graphene films grew on the sapphire substrates.

  2. Enhanced light output from the nano-patterned InP semiconductor substrate through the nanoporous alumina mask.

    PubMed

    Jung, Mi; Kim, Jae Hun; Lee, Seok; Jang, Byung Jin; Lee, Woo Young; Oh, Yoo-Mi; Park, Sun-Woo; Woo, Deokha

    2012-07-01

    A significant enhancement in the light output from nano-patterned InP substrate covered with a nanoporous alumina mask was observed. A uniform nanohole array on an InP semiconductor substrate was fabricated by inductively coupled plasma reactive ion etching (ICP-RIE), using the nanoporous alumina mask as a shadow mask. The light output property of the semiconductor substrate was investigated via photoluminescence (PL) intensity measurement. The InP substrate with a nanohole array showed a more enhanced PL intensity compared with the raw InP substrate without a nanohole structure. After ICP-RIE etching, the light output from the nanoporous InP substrate covered with a nanoporous alumina mask showed fourfold enhanced PL intensity compared with the raw InP substrate. These results can be used as a prospective method for increasing the light output efficiency of optoelectronic devices.

  3. Defect analysis of the LED structure deposited on the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Nie, Qichu; Jiang, Zhimin; Gan, Zhiyin; Liu, Sheng; Yan, Han; Fang, Haisheng

    2018-04-01

    Transmission electron microscope (TEM) and double-crystal X-ray diffraction (DCXRD) measurements have been performed to investigate dislocations of the whole structure of the LED layers deposited on both the conventional (unpatterned sapphire substrate, UPSS) and patterned sapphire substrates (PSS). TEM results show that there exists a dislocation-accumulated region near the substrate/GaN interface, where the dislocation density is much higher with the UPPS than that with the PSS. It indicates that the pattern on the substrate surface is able to block the formation and propagation of dislocations. Further analysis discloses that slope of the pattern is found to suppress the deposition of GaN, and thus to provide more spaces for the epitaxially lateral overgrowth (ELO) of high temperature GaN, which significantly reduces the number of the initial islands, and minimizes dislocation formation due to the island coalescence. V-defect incorporating the threading dislocation is detected in the InGaN/GaN multi-quantum wells (MQWs), and its propagation mechanism is determined as the decrease of the surface energy due to the incorporation of indium. In addition, temperature dependence of dislocation formation is further investigated. The results show that dislocation with the screw component decreases monotonously as temperature goes up. However, edge dislocation firstly drops, and then increases by temperature due to the enhanced thermal mismatch stress. It implies that an optimized range of the growth temperature can be obtained to improve quality of the LED layers.

  4. Enhancement of the light output power of InGaN/GaN light-emitting diodes grown on pyramidal patterned sapphire substrates in the micro- and nanoscale

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Yan, Fawang; Zhang, Yang; Li, Jinmin; Zeng, Yiping; Wang, Guohong

    2008-01-01

    Sapphire substrates were patterned by a chemical wet etching technique in the micro- and nanoscale to enhance the light output power of InGaN/GaN light-emitting diodes (LEDs). InGaN/GaN LEDs on a pyramidal patterned sapphire substrate in the microscale (MPSS) and pyramidal patterned sapphire substrate in the nanoscale (NPSS) were grown by metalorganic chemical vapor deposition. The characteristics of the LEDs fabricated on the MPSS and NPSS prepared by wet etching were studied and the light output powers of the LEDs fabricated on the MPSS and NPSS increased compared with that of the conventional LEDs fabricated on planar sapphire substrates. In comparison with the planar sapphire substrate, an enhancement in output power of about 29% and 48% is achieved with the MPSS and NPSS at an injection current of 20 mA, respectively. This significant enhancement is attributable to the improvement of the epitaxial quality of GaN-based epilayers and the improvement of the light extraction efficiency by patterned sapphire substrates. Additionally, the NPSS is more effective to enhance the light output power than the MPSS.

  5. High-T(sub c) Edge-geometry SNS Weak Links on Silicon-on-sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Hunt, B.; Foote, M.; Pike, W.; Barner, J.; Vasquez, R.

    1994-01-01

    High-quality superconductor/normal-metal/superconductor(SNS) edge-geometry weak links have been produced on silicon-on-sapphire (SOS) substrates using a new SrTiO(sub 3)/'seed layer'/cubic-zirconia (YS2) buffer system.

  6. YBCO High-Temperature Superconducting Filters on M-Plane Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Sabataitis, J. C.; Mueller, C. H.; Miranda, F. A.; Warner, J.; Bhasin, K. B.

    1996-01-01

    Since the discovery of High Temperature Superconductors (HTS) in 1986, microwave circuits have been demonstrated using HTS films on various substrates. These HTS-based circuits have proven to operate with less power loss than their metallic film counterparts at 77 K. This translates into smaller and lighter microwave circuits for space communication systems such as multiplexer filter banks. High quality HTS films have conventionally been deposited on lanthanum aluminate (LaAlO3) substrates. However, LaAlO3 has a relative dielectric constant (epsilon(sub r)) of 24. With a epsilon(sub r) approx. 9.4-11.6, sapphire (Al2O3) would be a preferable substrate for the fabrication of HTS-based components since the lower dielectric constant would permit wider microstrip lines to be used in filter design, since the lower dielectric constant would permit wider microstrip lines to be used for a given characteristic impedance (Z(sub 0)), thus lowering the insertion losses and increasing the power handling capabilities of the devices. We report on the fabrication and characterization of YBa2Cu3O(7-delta) (YBCO) on M-plane sapphire bandpass filters at 4.0 GHz. For a YBCO 'hairpin' filter, a minimum insertion loss of 0.5 dB was measured at 77 K as compared with 1.4 dB for its gold counterpart. In an 'edge-coupled' configuration, the insertion loss went down from 0.9 dB for the gold film to 0.8 dB for the YBCO film at the same temperature.

  7. Nanopatterned articles produced using reconstructed block copolymer films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Russell, Thomas P.; Park, Soojin; Wang;, Jia-Yu

    Nanopatterned surfaces are prepared by a method that includes forming a block copolymer film on a substrate, annealing and surface reconstructing the block copolymer film to create an array of cylindrical voids, depositing a metal on the surface-reconstructed block copolymer film, and heating the metal-coated block copolymer film to redistribute at least some of the metal into the cylindrical voids. When very thin metal layers and low heating temperatures are used, metal nanodots can be formed. When thicker metal layers and higher heating temperatures are used, the resulting metal structure includes nanoring-shaped voids. The nanopatterned surfaces can be transferred tomore » the underlying substrates via etching, or used to prepare nanodot- or nanoring-decorated substrate surfaces.« less

  8. Nanopatterned articles produced using surface-reconstructed block copolymer films

    DOEpatents

    Russell, Thomas P.; Park, Soojin; Wang, Jia-Yu; Kim, Bokyung

    2016-06-07

    Nanopatterned surfaces are prepared by a method that includes forming a block copolymer film on a substrate, annealing and surface reconstructing the block copolymer film to create an array of cylindrical voids, depositing a metal on the surface-reconstructed block copolymer film, and heating the metal-coated block copolymer film to redistribute at least some of the metal into the cylindrical voids. When very thin metal layers and low heating temperatures are used, metal nanodots can be formed. When thicker metal layers and higher heating temperatures are used, the resulting metal structure includes nanoring-shaped voids. The nanopatterned surfaces can be transferred to the underlying substrates via etching, or used to prepare nanodot- or nanoring-decorated substrate surfaces.

  9. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns

    PubMed Central

    2011-01-01

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials. PMID:22168918

  10. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns.

    PubMed

    Barbagini, Francesca; Bengoechea-Encabo, Ana; Albert, Steven; Martinez, Javier; Sanchez García, Miguel Angel; Trampert, Achim; Calleja, Enrique

    2011-12-14

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials.

  11. Complex Investigations of Sapphire Crystals Production

    NASA Astrophysics Data System (ADS)

    Malyukov, S. P.; Klunnikova, Yu V.

    The problem of optimum conditions choice for processing sapphire substrates was solved with optimization methods and with combination of analytical simulation methods, experiment and expert system technology. The experimental results and software give rather full information on features of real structure of the sapphire crystal substrates and can be effectively used for optimization of technology of the substrate preparation for electronic devices.

  12. Compact discs as versatile cost-effective substrates for releasable nanopatterned aluminium films

    NASA Astrophysics Data System (ADS)

    Barrios, Carlos Angulo; Canalejas-Tejero, Víctor

    2015-02-01

    We demonstrate that standard polycarbonate compact disk surfaces can provide unique adhesion to Al films that is both strong enough to permit Al film nanopatterning and weak enough to allow easy nanopatterned Al film detachment using Scotch tape. Transferred Al nanohole arrays on Scotch tape exhibit excellent optical and plasmonic performance.We demonstrate that standard polycarbonate compact disk surfaces can provide unique adhesion to Al films that is both strong enough to permit Al film nanopatterning and weak enough to allow easy nanopatterned Al film detachment using Scotch tape. Transferred Al nanohole arrays on Scotch tape exhibit excellent optical and plasmonic performance. Electronic supplementary information (ESI) available: 1. Optical simulations (Fig. SI.1); 2. Optical coupling via an Al NHA on the Scotch tape (Fig. SI.2); 3. Electrostatics-based opto-mechanical cantilever (Fig. SI.3). Video 1. Transfer of the Al film nanostructured with a nanohole array from a polycarbonate CD surface onto a Scotch tape; Video 2. Opto-mechanical electrostatics-based sensor: electrical attraction. Video 3. Opto-mechanical electrostatics-based sensor: electrical repulsion. See DOI: 10.1039/c4nr06271j

  13. Effect of thermal interaction between bulk GaN substrates and corral sapphire on blue light emission InGaN/GaN multi-quantum wells by MOCVD

    NASA Astrophysics Data System (ADS)

    Sivanathan, P. C.; Shuhaimi, Ahmad; Hamza, Hebal; Kowsz, Stacy J.; Abdul Khudus, Muhammad I. M.; Li, Hongjian; Allif, Kamarul

    2018-07-01

    The InGaN/GaN multi-quantum wells, growth on bulk GaN substrate were studied for blue light emission. Growth temperature plays a key role determining the peak wavelength of a quantum well. The study was carried out by growing quantum wells, MQWs on the whole sapphire at 716 °C and observed peak wavelength at 463 nm. While the bulk GaN substrate with sapphire corral grown at 703 °C and observed a blueshift at 433 nm peak wavelength. These results contradict that of typical observation of wavelength emission inversely proportional to the growth temperature. On the other hand, the growth of GaN-sapphire and GaN-silicon at similar conditions emits 435 nm and 450 nm respectively. The heat interaction of bulk GaN substrates surrounded by the sapphire corral exhibits different growth conditions in multi-quantum wells when compared to that of a whole sapphire substrate (absence of bulk GaN). The predicated surface temperature of bulk GaN substrate is 10 °C-15 °C of more than the corral sapphire. This observation may link to the difference in the thermal distribution of the growth surface corresponding to the different thermal conductivity ratio. The photoluminescence and computational techniques were used to understand in-depth of the heat interaction.

  14. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Voronenkov, V. V.; Virko, M. V.; Kogotkov, V. S.

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A verticalmore » Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.« less

  15. Method of producing nanopatterned articles, and articles produced thereby

    DOEpatents

    Russell, Thomas P; Park, Soojin; Xu, Ting

    2013-11-12

    A nanopatterned surface is prepared by forming a block copolymer film on a miscut crystalline substrate, annealing the block copolymer film, then reconstructing the surface of the annealed block copolymer film. The method creates a well-ordered array of voids in the block copolymer film that is maintained over a large area. The nanopatterned block copolymer films can be used ina variety of different applications, including the fabrication of high density data storage media.

  16. Method of producing nanopatterned articles, and articles produced thereby

    DOEpatents

    Russell, Thomas P; Park, Soojin; Xu, Ting

    2015-04-28

    A nanopatterned surface is prepared by forming a block copolymer film on a miscut crystalline substrate, annealing the block copolymer film, then reconstructing the surface of the annealed block copolymer film The method creates a well-ordered array of voids in the block copolymer film that is maintained over a large area. The nanopatterned block copolymer films can be used in a variety of different applications, including the fabrication of high density data storage media.

  17. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  18. Microwave properties of peeled HEMT devices sapphire substrates

    NASA Technical Reports Server (NTRS)

    Young, Paul G.; Alterovitz, Samuel A.; Mena, Rafael A.; Smith, Edwyn D.

    1992-01-01

    The focus of this research is to demonstrate the first full radio frequency characterization of high electron mobility transistor (HEMT) device parameters. The results of this research are used in the design of circuits with peeled HEMT devices, e.g. 10 GHz amplifiers. Devices were fabricated using two HEMT structures grown by molecular beam epitaxy methods. A 500 A AlAs release layer for 'peel off' was included under the active layers of the structure. The structures are a homogeneously doped Al(0.3)GA(0.7)As/GaAs and a delta doped square well Al(.23)Ga(.77)As/GaAs HEMT structure. Devices were fabricated using a mesa isolation process. Contacts were done by sequentially evaporating Au/Ge/Au/Ni/Au followed by rapid thermal anneal at 400 C for 15 seconds. Gates were wet etch recessed and 1 to 1.4 micron Ti/Au gate metal was deposited. Devices were peeled off the GaAs substrate using Apiezon wax to support the active layer and a HF:DI (1:10) solution to remove the AlAs separation layer. Devices were then attached to sapphire substrates using van der Waals bonding.

  19. Method of producing nanopatterned articles using surface-reconstructed block copolymer films

    DOEpatents

    Russell, Thomas P; Park, Soojin; Wang, Jia-Yu; Kim, Bokyung

    2013-08-27

    Nanopatterned surfaces are prepared by a method that includes forming a block copolymer film on a substrate, annealing and surface reconstructing the block copolymer film to create an array of cylindrical voids, depositing a metal on the surface-reconstructed block copolymer film, and heating the metal-coated block copolymer film to redistribute at least some of the metal into the cylindrical voids. When very thin metal layers and low heating temperatures are used, metal nanodots can be formed. When thicker metal layers and higher heating temperatures are used, the resulting metal structure includes nanoring-shaped voids. The nanopatterned surfaces can be transferred to the underlying substrates via etching, or used to prepare nanodot- or nanoring-decorated substrate surfaces.

  20. Field-assisted nanopatterning of metals, metal oxides and metal salts

    NASA Astrophysics Data System (ADS)

    Liu, Jun-Fu; Miller, Glen P.

    2009-02-01

    The tip-based nanofabrication method called field-assisted nanopatterning or FAN has now been extended to the transfer of metals, metal oxides and metal salts onto various receiving substrates including highly ordered pyrolytic graphite, passivated gold and indium-tin oxide. Standard atomic force microscope tips were first dip-coated using suspensions of inorganic compounds in solvent. The films prepared in this manner were non-uniform and contained inorganic nanoparticles. Tip-based nanopatterning on chosen substrates was conducted under high electric field conditions. The same tip was used for both nanofabrication and imaging. Arbitrary patterns were formed with dimensions that ranged from tens of microns to sub-20 nm and were controlled by tuning the tip bias during fabrication. Most tip-based nanopatterning techniques are limited in terms of the type of species that can be deposited and the type of substrates onto which the deposition occurs. With the successful deposition of inorganic species reported here, FAN is demonstrated to be a truly versatile tip-based nanofabrication technique that is useful for the deposition of a wide variety of both organic and inorganic species including small molecules, large molecules and polymers.

  1. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    NASA Astrophysics Data System (ADS)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  2. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  3. Efficiency improvement of InGaN light emitting diodes with embedded self-assembled SiO2 nanosphere arrays

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Fan, Chao; Chen, Yu; Hu, Qiang; Li, Jinmin

    2014-05-01

    In this study, the periodic SiO2 nanosphere nanopatterned sapphire substrate (SiO2-NPSS) was made using self-assembled SiO2 nanosphere monolayer template and inductively coupled plasma (ICP) etching. And the self-assembled SiO2 nanosphere monolayer was directly embedded into the GaN/sapphire interface by nanoscale epitaxial lateral overgrowth (NELOG). For comparison, a common nanopatterned sapphire substrate (C-NPSS) was also made through dry etching with the SiO2 nanospheres used as the mask. Compared with LEDs grown on C-NPSS and flat sapphire substrate (FSS), the external quantum efficiency of LEDs with SiO2 nanopheres (SiO2-NPSS) was increased by 30.7% and 81.9% under a driving current 350 mA. The SiO2-NPSS not only improved the crystalline quality of GaN but also enhanced the light extraction efficiency (LEE) of LED. And the SiO2-NPSS LED also showed more light in vertical direction and more uniform light distribution. By finite-difference time-domain (FDTD) simulation, we confirmed that more light could be reflected from the GaN/SiO2 interface than the GaN/sapphire interface because the refractive index of SiO2 was lower than that of sapphire. Therefore, LED grown on the SiO2-NPSS showed superior light extraction efficiency compared to that on C-NPSS.

  4. Roll-to-roll nanopatterning using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Sean; Ganapathisubramanian, Maha; Miller, Mike; Yang, Jack; Choi, Jin; Xu, Frank; Resnick, Douglas J.; Sreenivasan, S. V.

    2012-03-01

    The ability to pattern materials at the nanoscale can enable a variety of applications ranging from high density data storage, displays, photonic devices and CMOS integrated circuits to emerging applications in the biomedical and energy sectors. These applications require varying levels of pattern control, short and long range order, and have varying cost tolerances. Extremely large area R2R manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. The cost of manufacturing is typically driven by speed (or throughput), tool complexity, cost of consumables (materials used, mold or master cost, etc.), substrate cost, and the downstream processing required (annealing, deposition, etching, etc.). In order to achieve low cost nanopatterning, it is imperative to move towards high speed imprinting, less complex tools, near zero waste of consumables and low cost substrates. The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. In this paper we address the key challenges for roll based nanopatterning by introducing a novel concept: Ink Jet based Roll-to-Roll Nanopatterning. To address this challenge, we have introduced a J-FIL based demonstrator product, the LithoFlex 100. Topics that are discussed in the paper include tool design and process performance. In addition, we have used the LithoFlex 100 to fabricate high performance wire grid polarizers on flexible polycarbonate (PC) films. Transmission of better than 80% and extinction ratios on the order of

  5. Temperature-modulated annealing of c-plane sapphire for long-range-ordered atomic steps

    NASA Astrophysics Data System (ADS)

    Yatsui, Takashi; Kuribara, Kazunori; Sekitani, Tsuyoshi; Someya, Takao; Yoshimoto, Mamoru

    2016-03-01

    High-quality single-crystalline sapphire is used to prepare various semiconductors because of its thermal stability. Here, we applied the tempering technique, which is well known in the production of chocolate, to prepare a sapphire substrate. Surprisingly, we successfully realised millimetre-range ordering of the atomic step of the sapphire substrate. We also obtained a sapphire atomic step with nanometre-scale uniformity in the terrace width and atomic-step height. Such sapphire substrates will find applications in the preparation of various semiconductors and devices.

  6. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire. II. Electron energy loss spectroscopic study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Kim, Young-Min

    In Part I, we have shown that the addition of Si into sapphire by ion implantationmakes the sapphire substrate elastically softer than for the undoped sapphire. The more compliant layer of the Si-implanted sapphire substrate can absorb the misfit stress at the GaN/sapphire interface, which produces a lower threading-dislocation density in the GaN overlayer. Here in Part II, based on experimental results by electron energy loss spectroscopy and a first-principle molecular orbital calculation in the literature, we suggest that the softening effect of Si results from a reduction of ionic bonding strength in sapphire (α-Al{sub 2}O{sub 3}) with the substitutionmore » of Si for Al.« less

  7. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hao; Li, Yufeng; Wang, Shuai

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%.more » Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.« less

  8. High-quality AlN grown on a thermally decomposed sapphire surface

    NASA Astrophysics Data System (ADS)

    Hagedorn, S.; Knauer, A.; Brunner, F.; Mogilatenko, A.; Zeimer, U.; Weyers, M.

    2017-12-01

    In this study we show how to realize a self-assembled nano-patterned sapphire surface on 2 inch diameter epi-ready wafer and the subsequent AlN overgrowth both in the same metal-organic vapor phase epitaxial process. For this purpose in-situ annealing in H2 environment was applied prior to AlN growth to thermally decompose the c-plane oriented sapphire surface. By proper AlN overgrowth management misoriented grains that start to grow on non c-plane oriented facets of the roughened sapphire surface could be overcome. We achieved crack-free, atomically flat AlN layers of 3.5 μm thickness. The layers show excellent material quality homogeneously over the whole wafer as proved by the full width at half maximum of X-ray measured ω-rocking curves of 120 arcsec to 160 arcsec for the 002 reflection and 440 arcsec to 550 arcsec for the 302 reflection. The threading dislocation density is 2 ∗ 109 cm-2 which shows that the annealing and overgrowth process investigated in this work leads to cost-efficient AlN templates for UV LED devices.

  9. High Electron Mobility Transistor Structures on Sapphire Substrates Using CMOS Compatible Processing Techniques

    NASA Technical Reports Server (NTRS)

    Mueller, Carl; Alterovitz, Samuel; Croke, Edward; Ponchak, George

    2004-01-01

    System-on-a-chip (SOC) processes are under intense development for high-speed, high frequency transceiver circuitry. As frequencies, data rates, and circuit complexity increases, the need for substrates that enable high-speed analog operation, low-power digital circuitry, and excellent isolation between devices becomes increasingly critical. SiGe/Si modulation doped field effect transistors (MODFETs) with high carrier mobilities are currently under development to meet the active RF device needs. However, as the substrate normally used is Si, the low-to-modest substrate resistivity causes large losses in the passive elements required for a complete high frequency circuit. These losses are projected to become increasingly troublesome as device frequencies progress to the Ku-band (12 - 18 GHz) and beyond. Sapphire is an excellent substrate for high frequency SOC designs because it supports excellent both active and passive RF device performance, as well as low-power digital operations. We are developing high electron mobility SiGe/Si transistor structures on r-plane sapphire, using either in-situ grown n-MODFET structures or ion-implanted high electron mobility transistor (HEMT) structures. Advantages of the MODFET structures include high electron mobilities at all temperatures (relative to ion-implanted HEMT structures), with mobility continuously improving to cryogenic temperatures. We have measured electron mobilities over 1,200 and 13,000 sq cm/V-sec at room temperature and 0.25 K, respectively in MODFET structures. The electron carrier densities were 1.6 and 1.33 x 10(exp 12)/sq cm at room and liquid helium temperature, respectively, denoting excellent carrier confinement. Using this technique, we have observed electron mobilities as high as 900 sq cm/V-sec at room temperature at a carrier density of 1.3 x 10(exp 12)/sq cm. The temperature dependence of mobility for both the MODFET and HEMT structures provides insights into the mechanisms that allow for enhanced

  10. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  11. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  12. Controllable laser thermal cleavage of sapphire wafers

    NASA Astrophysics Data System (ADS)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  13. Surface-plasmon enhanced photoemission of a silver nano-patterned photocathode

    DOE PAGES

    Zhang, Z.; Li, R.; To, H.; ...

    2016-11-22

    Here, nano-patterned photocathodes (NPC) take advantage of plasmonic effects to resonantly increase absorption of light and localize electromagnetic field intensity on metal surfaces leading to surface-plasmon enhanced photoemission. In this paper, we report the status of NPC research at UCLA including in particular the optimization of the dimensions of a nanohole array on a silver wafer to enhance plasmonic response at 800 nm light, the development of a spectrally-resolved reflectivity measurement setup for quick nanopattern validation, and of a novel cathode plug to enable high power tests of NPCs on single crystal substrates in a high gradient radiofrequency gun.

  14. Surface-plasmon enhanced photoemission of a silver nano-patterned photocathode

    NASA Astrophysics Data System (ADS)

    Zhang, Z.; Li, R.; To, H.; Andonian, G.; Pirez, E.; Meade, D.; Maxson, J.; Musumeci, P.

    2017-09-01

    Nano-patterned photocathodes (NPC) take advantage of plasmonic effects to resonantly increase absorption of light and localize electromagnetic field intensity on metal surfaces leading to surface-plasmon enhanced photoemission. In this paper, we report the status of NPC research at UCLA including in particular the optimization of the dimensions of a nanohole array on a silver wafer to enhance plasmonic response at 800 nm light, the development of a spectrally-resolved reflectivity measurement setup for quick nanopattern validation, and of a novel cathode plug to enable high power tests of NPCs on single crystal substrates in a high gradient radiofrequency gun.

  15. Surface-plasmon enhanced photoemission of a silver nano-patterned photocathode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Z.; Li, R.; To, H.

    Here, nano-patterned photocathodes (NPC) take advantage of plasmonic effects to resonantly increase absorption of light and localize electromagnetic field intensity on metal surfaces leading to surface-plasmon enhanced photoemission. In this paper, we report the status of NPC research at UCLA including in particular the optimization of the dimensions of a nanohole array on a silver wafer to enhance plasmonic response at 800 nm light, the development of a spectrally-resolved reflectivity measurement setup for quick nanopattern validation, and of a novel cathode plug to enable high power tests of NPCs on single crystal substrates in a high gradient radiofrequency gun.

  16. Sapphire substrate-induced effects in VO2 thin films grown by oxygen plasma-assisted pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Skuza, J. R.; Scott, D. W.; Pradhan, A. K.

    2015-11-01

    We investigate the structural and electronic properties of VO2 thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO2 film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO2, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO2 film growth with SMTs that can be easily tailored for device applications.

  17. Nanofabrication and Nanopatterning of Carbon Nanomaterials for Flexible Electronics

    NASA Astrophysics Data System (ADS)

    Ding, Junjun

    Stretchable electrodes have increasingly drawn attention as a vital component for flexible electronic devices. Carbon nanomaterials such as graphene and carbon nanotubes (CNTs) exhibit properties such as high mechanical flexibility and strength, optical transparency, and electrical conductivity which are naturally required for stretchable electrodes. Graphene growth, nanopatterning, and transfer processes are important steps to use graphene as flexible electrodes. However, advances in the large-area nanofabrication and nanopatterning of carbon nanomaterials such as graphene are necessary to realize the full potential of this technology. In particular, laser interference lithography (LIL), a fast and low cost large-area nanoscale patterning technique, shows tremendous promise for the patterning of graphene and other nanostructures for numerous applications. First, it was demonstrated that large-area nanopatterning and the transfer of chemical vapor deposition (CVD) grown graphene via LIL and plasma etching provide a reliable method to provide large area nanoengineered graphene on various target substrates. Then, to improve the electrode performance under large strain (naturally CVD grown graphene sheet will crack at tensile strains larger than 1%), a corrugated graphene structure on PDMS was designed, fabricated, and tested, with experimental results indicating that this approach successfully allows the graphene sheets to withstand cyclic tensile strains up to 15%. Lastly, to further enhance the performance of carbon-based stretchable electrodes, an approach was developed which coupled graphene and vertically aligned CNT (VACNT) on a flexible PDMS substrate. Characterization of the graphene-VACNT hybrid shows high electrical conductivity and durability through 50 cycles of loading up to 100% tensile strain. While flexible electronics promise tremendous advances in important technological areas such as healthcare, sensing, energy, and wearable electronics, continued

  18. High Electron Mobility in SiGe/Si n-MODFET Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Mueller, Carl H.; Croke, Edward T.; Alterovitz, Samuel A.

    2003-01-01

    For the first time, SiGe/Si n-Modulation Doped Field Effect Transistors (n-MODFET) structures have been grown on sapphire substrates. Room temperature electron mobility value of 1271 square centimeters N-sec at an electron carrier density (n(sub e) = 1.33x10(exp 12) per square centimeter)) of 1.6 x 10(exp 12) per square centimeter was obtained. At 250 mK, the mobility increases to 13,313 square centimeters/V-sec (n(sub e)=1.33x10(exp 12) per square centimeter)) and Shubnikov-de Haas oscillations appear, showing excellent confinement of the two-dimensional electron gas.

  19. Impact of layer and substrate properties on the surface acoustic wave velocity in scandium doped aluminum nitride based SAW devices on sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gillinger, M., E-mail: manuel.gillinger@tuwien.ac.at; Knobloch, T.; Schneider, M.

    2016-06-06

    This paper investigates the performance of surface acoustic wave (SAW) devices consisting of reactively sputter deposited scandium doped aluminum nitride (Sc{sub x}Al{sub 1-x}N) thin films as piezoelectric layers on sapphire substrates for wireless sensor or for RF-MEMS applications. To investigate the influence of piezoelectric film thickness on the device properties, samples with thickness ranging from 500 nm up to 3000 nm are fabricated. S{sub 21} measurements and simulations demonstrate that the phase velocity is predominantly influenced by the mass density of the electrode material rather than by the thickness of the piezoelectric film. Additionally, the wave propagation direction is varied by rotatingmore » the interdigital transducer structures with respect to the crystal orientation of the substrate. The phase velocity is about 2.5% higher for a-direction compared to m-direction of the sapphire substrate, which is in excellent agreement with the difference in the anisotropic Young's modulus of the substrate corresponding to these directions.« less

  20. A Study on the Formation of 2-Dimensional Tungsten Disulfide Thin Films on Sapphire Substrate by Sputtering and High Temperature Rapid Thermal Processing.

    PubMed

    Nam, Hanyeob; Kim, Hong-Seok; Han, Jae-Hee; Kwon, Sang Jik; Cho, Eou Sik

    2018-09-01

    As direct formation of p-type two-dimensional transition metal dichalcogenides (TMDC) films on substrates, tungsten disulfide (WS2) thin films were deposited onto sapphire glass substrate through shadow mask patterns by radio-frequency (RF) sputtering at different sputtering powers ranging from 60 W to 150 W and annealed by rapid thermal processing (RTP) at various high temperatures ranging from 500 °C to 800 °C. Based on scanning electron microscope (SEM) images and Raman spectra, better surface roughness and mode dominant E12g and A1g peaks were found for WS2 thin films prepared at higher RF sputtering powers. It was also possible to obtain high mobilities and carrier densities for all WS2 thin films based on results of Hall measurements. Process conditions for these WS2 thin films on sapphire substrate were optimized to low RF sputtering power and high temperature annealing.

  1. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  2. Modern trends in crystal growth and new applications of sapphire

    NASA Astrophysics Data System (ADS)

    Akselrod, Mark S.; Bruni, Frank J.

    2012-12-01

    We provide an overview of the latest market trends and modern competing methods of sapphire crystal growth and the application of sapphire wafers as LED substrates. Almost all methods of high temperature growth from the melt are suitable for sapphire production, but each of these methods has its advantages and disadvantages depending on the application and required finished product form factor. Special attention is paid to the review of defects and imperfections that allow the engineering of new active devices based on sapphire.

  3. Development and Industrialization of InGaN/GaN LEDs on Patterned Sapphire Substrates for Low Cost Emitter Architecture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flemish, Joseph; Soer, Wouter

    2015-11-30

    Patterned sapphire substrate (PSS) technology has proven to be an effective approach to improve efficacy and reduce cost of light-emitting diodes (LEDs). The volume emission from the transparent substrate leads to high package efficiency, while the simple and robust architecture of PSS-based LEDs enables low cost. PSS substrates have gained wide use in mid-power LEDs over the past years. In this project, Lumileds has developed and industrialized PSS and epitaxy technology for high- power flip-chip LEDs to bring these benefits to a broader range of applications and accelerate the adoption of energy-efficient solid-state lighting (SSL). PSS geometries were designed formore » highly efficient light extraction in a flip-chip architecture and high-volume manufacturability, and corresponding sapphire patterning and epitaxy manufacturing processes were integrally developed. Concurrently, device and package architectures were developed to take advantage of the PSS flip-chip die in different types of products that meet application needs. The developed PSS and epitaxy technology has been fully implemented in manufacturing at Lumileds’ San Jose, CA location, and incorporated in illumination-grade LED products that have been successfully introduced to the market, including LUXEON Q and LUXEON FlipChip White.« less

  4. Growth and Crystal Orientation of ZnTe on m-Plane Sapphire with Nanofaceted Structure

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, Wei-Che; Kobayashi, Masakazu; Asahi, Toshiaki

    2017-04-01

    ZnTe thin films on sapphire substrate with nanofaceted structure have been studied. The nanofaceted structure of the m-plane (10-10) sapphire was obtained by heating the substrate at above 1100°C in air, and the r-plane (10-12) and S-plane (1-101) were confirmed. ZnTe layers were prepared on the nanofaceted m-plane sapphire substrates by molecular beam epitaxy (MBE). The effect of the nanofaceted structure on the orientation of the thin films was examined based on x-ray diffraction (XRD) pole figures. Transmission electron microscopy (TEM) was also employed to characterize the interface structures. The ZnTe layer on the nanofaceted m-plane sapphire substrate exhibited (331)-plane orientation, compared with (211)-plane without the nanofaceted structure. After thermal treatment, the m-plane surface vanished and (211) layer could not be formed because of the lack of surface lattice matching. On the other hand, (331)-plane thin film was formed on the nanofaceted m-plane sapphire substrate, since the (111) ZnTe domains were oriented on the S-facet. The orientation of the ZnTe epilayer depended on the atomic ordering on the surface and the influence of the S-plane.

  5. Sapphire substrate-induced effects in VO{sub 2} thin films grown by oxygen plasma-assisted pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skuza, J. R., E-mail: jrskuza@nsu.edu, E-mail: apradhan@nsu.edu; Scott, D. W.; Pradhan, A. K., E-mail: jrskuza@nsu.edu, E-mail: apradhan@nsu.edu

    2015-11-21

    We investigate the structural and electronic properties of VO{sub 2} thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO{sub 2} film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO{sub 2}, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO{sub 2} film growth with SMTs that can bemore » easily tailored for device applications.« less

  6. Measurement and thermal modeling of sapphire substrate temperature at III-Nitride MOVPE conditions

    DOE PAGES

    Creighton, J. Randall; Coltrin, Michael E.; Figiel, Jeffrey J.

    2017-04-01

    Here, growth rates and alloy composition of AlGaN grown by MOVPE is often very temperature dependent due to the presence of gas-phase parasitic chemical processes. These processes make wafer temperature measurement highly important, but in fact such measurements are very difficult because of substrate transparency in the near- IR (~900 nm) where conventional pyrometers detect radiation. The transparency problem can be solved by using a mid-IR pyrometer operating at a wavelength (~7500 nm) where sapphire is opaque. We employ a mid- IR pyrometer to measure the sapphire wafer temperature and simultaneously a near-IR pyrometer to measure wafer pocket temperature, whilemore » varying reactor pressure in both a N 2 and H 2 ambient. Near 1300 °C, as the reactor pressure is lowered from 300 Torr to 10 Torr the wafer temperature drops dramatically, and the ΔT between the pocket and wafer increases from ~20 °C to ~250 °C. Without the mid-IR pyrometer the large wafer temperature change with pressure would not have been noted. In order to explain this behavior we have developed a quasi-2D thermal model that includes a proper accounting of the pressure-dependent thermal contact resistance, and also accounts for sapphire optical transmission. The model and experimental results demonstrate that at most growth conditions the majority of the heat is transported from the wafer pocket to the wafer via gas conduction, in the free molecular flow limit. In this limit gas conductivity is independent of gap size but first order in pressure, and can quantitatively explain results from 20 to 300 Torr. Further analysis yields a measure of the thermal accommodation coefficients; α(H 2) =0.23, α(N 2) =0.50, which are in the range typically measured.« less

  7. Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD

    NASA Astrophysics Data System (ADS)

    O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.

    2018-04-01

    High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.

  8. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  9. Magnetoresistivity of thin YBa2Cu3O7-δ films on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Probst, Petra; Il'in, Konstantin; Engel, Andreas; Semenov, Alexei; Hübers, Heinz-Wilhelm; Hänisch, Jens; Holzapfel, Bernhardt; Siegel, Michael

    2012-09-01

    Magnetoresistivity of YBa2Cu3O7-δ films with thicknesses between 7 and 100 nm deposited on CeO2 and PrBa2Cu3O7-δ buffer layers on sapphire substrate has been measured to analyze the temperature dependence of the second critical magnetic field Bc2. To define Bc2, the mean-field transition temperature Tc was evaluated by fitting the resistive transition in zero magnetic field with the fluctuation conductivity theory of Aslamazov and Larkin. At T → Tc the Bc2(T) dependence shows a crossover from downturn to upturn curvature with the increase in film thickness.

  10. Synthesis of high quality graphene on capped (1 1 1) Cu thin films obtained by high temperature secondary grain growth on c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kim, Youngwoo; Moyen, Eric; Yi, Hemian; Avila, José; Chen, Chaoyu; Asensio, Maria C.; Lee, Young Hee; Pribat, Didier

    2018-07-01

    We propose a novel growth technique, in which graphene is synthesized on capped Cu thin films deposited on c-plane sapphire. The cap is another sapphire plate which is just laid upon the Cu thin film, in direct contact with it. Thanks to this ‘contact cap’, Cu evaporation can be suppressed at high temperature and the 400 nm-thick Cu films can be annealed above 1000 °C, resulting in (1 1 1)-oriented grains of millimeter size. Following this high temperature annealing, graphene is grown by chemical vapor deposition during the same pump-down operation, without removing the contact cap. The orientation and doping type of the as-grown graphene were first studied, using low energy electron diffraction, as well as high resolution angle-resolved photoemission spectroscopy. In particular, the orientation relationships between the graphene and copper thin film with respect to the sapphire substrate were precisely determined. We find that the graphene sheets exhibit a minimal rotational disorder, with ~90% of the grains aligned along the copper high symmetry direction. Detailed transport measurements were also performed using field-effect transistor structures. Carrier mobility values as high as 8460 cm2 V‑1 s‑1 have been measured on top gate transistors fabricated directly on the sapphire substrate, by etching the Cu film from underneath the graphene sheets. This is by far the best carrier mobility value obtained to date for graphene sheets synthesized on a thin film-type metal substrate.

  11. High T(sub c) Superconducting Bolometer on Chemically Etched 7 Micrometer Thick Sapphire

    NASA Technical Reports Server (NTRS)

    Lakew, B.; Brasunas, J. C.; Pique, A.; Fettig, R.; Mott, B.; Babu, S.; Cushman, G. M.

    1997-01-01

    A transition-edge IR detector, using a YBa2Cu3O(7-x) (YBCO) thin film deposited on a chemically etched, 7 micrometer thick sapphire substrate has been built. To our knowledge it is the first such high T(sub c) superconducting (HTS) bolometer on chemically thinned sapphire. The peak optical detectivity obtained is l.2 x 10(exp 10) cmHz(sup 1/2)/W near 4Hz. Result shows that it is possible to obtain high detectivity with thin films on etched sapphire with no processing after the deposition of the YBCO film. We discuss the etching process and its potential for micro-machining sapphire and fabricating 2-dimensional detector arrays with suspended sapphire membranes. A 30 micrometer thick layer of gold black provided IR absorption. Comparison is made with the current state of the art on silicon substrates.

  12. GaN-based LEDs with a high light extraction composite surface structure fabricated by a modified YAG laser lift-off technology and the patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Sun, Yongjian; Trieu, Simeon; Yu, Tongjun; Chen, Zhizhong; Qi, Shengli; Tian, Pengfei; Deng, Junjing; Jin, Xiaoming; Zhang, Guoyi

    2011-08-01

    Vertical structure LEDs have been fabricated with a novel light extraction composite surface structure composed of a micron grating and nano-structure. The composite surface structure was generated by using a modified YAG laser lift-off technique, separating the wafers from cone-shaped patterned sapphire substrates. LEDs thus fabricated showed the light output power increase about 1.7-2.5 times when compared with conventional vertical structure LEDs grown on plane sapphire substrates. A three-dimensional finite difference time domain method was used to simulate this new kind of LED device. It was determined that nano-structures in composite surface patterns play a key role in the improvement of light extraction efficiency of LEDs.

  13. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  14. Applications of large-area nanopatterning to energy generation and storage devices

    NASA Astrophysics Data System (ADS)

    Mills, Eric N.

    This dissertation encompasses the creation and testing of nanostructured, electrochemically-active energy generation and storage devices, and development of the associated fabrication techniques. The fabricated devices include nanopatterned, plasmonically-active, TiO2+Au thin films for Photocatalytic Water Splitting (PCW), TiO2-based Dye-Sensitized Solar Cells (DSSCs) incorporating nanopatterned, plasmonically-active metallic front electrodes, and Si nanopillar anodes for Li-ion batteries. Techniques were also developed for encapsulation and removal of wet-etched Si nanowires from their mother substrates. TiO2 was the first material to be widely used for PCW. Its use is hampered by its large bandgap (~3.2eV), and poor recombination lifetimes. Au nanoparticles (NPs) have been previously used to improve recombination lifetimes in TiO2 by separating photogenerated carriers near the NP edges, and to increase photocurrents by injecting plasmonically-excited hot electrons into the TiO2 conduction band. Using nanostructured TiO 2+Au electrodes, we aim to increase the PCW efficiency of TiO2 -based electrodes. Dye-sensitized solar cells (DSSCs) employ visible-absorbing dyes anchored to a high-surface-area semiconducting scaffold. The front transparent conducting electrode (TCE) is typically ITO, a scarce and expensive material. We aim to increase the efficiency of thin-film DSSCs and eliminate the use of ITO by using a metallic subwavelength array (MESH) of nanoholes as the front TCE. Silicon holds promise as a high-capacity anode material for Li-ion batteries, as it can store ~10x the Li of graphite, the current leading anode material (3569 vs. 372 mAh/g). However, Si undergoes dramatic (>300%) volume expansion upon "lithiation", pulverizing any structure with non-nanoscopic dimensions (>250nm). We created large-area arrays of "nanopillars" with sub-100nm diameters, using roll-to-roll-compatible flexible-mold NIL on commercially-available metal substrates. Ordered

  15. Improved light extraction efficiency of GaN-based flip-chip light-emitting diodes with an antireflective interface layer

    NASA Astrophysics Data System (ADS)

    Wu, Dongxue; Ma, Ping; Liu, Boting; Zhang, Shuo; Wang, Junxi; Li, Jinmin

    2016-05-01

    GaN-based flip-chip light-emitting diodes (FC-LEDs) grown on nanopatterned sapphire substrates (NPSS) are fabricated using self-assembled SiO2 nanospheres as masks during inductively coupled plasma etching. By controlling the pattern spacing, epitaxial GaN can be grown from the top or bottom of patterns to obtain two different GaN/substrate interfaces. The optoelectronic characteristics of FC-LED chips with different GaN/sapphire interfaces are studied. The FC-LED with an antireflective interface layer consisting of a NPSS with GaN in the pattern spacings demonstrates better optical properties than the FC-LED with an interface embedded with air voids. Our study indicates that the two types of FC-LEDs grown on NPSS show higher crystal quality and improved electrical and optical characteristics compared with those of FC-LEDs grown on conventional planar sapphire substrates.

  16. Fabrication of overlaid nanopattern arrays for plasmon memory

    NASA Astrophysics Data System (ADS)

    Okabe, Takao; Wadayama, Hisahiro; Taniguchi, Jun

    2018-01-01

    Stacking technique of nanopattern array is gathering attention to fabricate next generation data storage such as plasmon memory. This technique provides multi- overlaid nanopatterns which made by nanoimprint lithography. In the structure, several metal nanopatterned layer and resin layer as a spacer are overlaid alternately. The horizontal position of nanopatterns to under nanopatterns and thickness of resin layer as spacer should be controlled accurately, because these parameters affect reading performance and capacity of plasmon memory. In this study, we developed new alignment mark to fabricate multi- overlaid nanopatterns. The alignment accuracy with the order of 300 nm was demonstrated for Ag nanopatterns in 2 layers. The alignment mark can measure the thickness of spacer. The relationship of spacer thickness and position of scale bar on the alignment mark was measured. The usefulness of the alignment mark for highdensity plasmon memory is shown.

  17. Directed Self-Assembly on Photo-Crosslinked Polystyrene Sub-Layers: Nanopattern Uniformity and Orientation

    PubMed Central

    Koh, Haeng-Deog; Kim, Mi-Jeong

    2016-01-01

    A photo-crosslinked polystyrene (PS) thin film is investigated as a potential guiding sub-layer for polystyrene-block-poly (methyl methacrylate) block copolymer (BCP) cylindrical nanopattern formation via topographic directed self-assembly (DSA). When compared to a non-crosslinked PS brush sub-layer, the photo-crosslinked PS sub-layer provided longer correlation lengths of the BCP nanostructure, resulting in a highly uniform DSA nanopattern with a low number of BCP dislocation defects. Depending on the thickness of the sub-layer used, parallel or orthogonal orientations of DSA nanopattern arrays were obtained that covered the entire surface of patterned Si substrates, including both trench and mesa regions. The design of DSA sub-layers and guide patterns, such as hardening the sub-layer by photo-crosslinking, nano-structuring on mesas, the relation between trench/mesa width, and BCP equilibrium period, were explored with a view to developing defect-reduced DSA lithography technology. PMID:28773768

  18. Electron Beam "Writes" Silicon On Sapphire

    NASA Technical Reports Server (NTRS)

    Heinemann, Klaus

    1988-01-01

    Method of growing silicon on sapphire substrate uses beam of electrons to aid growth of semiconductor material. Silicon forms as epitaxial film in precisely localized areas in micron-wide lines. Promising fabrication method for fast, densely-packed integrated circuits. Silicon deposited preferentially in contaminated substrate zones and in clean zone irradiated by electron beam. Electron beam, like surface contamination, appears to stimulate decomposition of silane atmosphere.

  19. Nanopatterned polymer brushes: conformation, fabrication and applications.

    PubMed

    Yu, Qian; Ista, Linnea K; Gu, Renpeng; Zauscher, Stefan; López, Gabriel P

    2016-01-14

    Surfaces with end-grafted, nanopatterned polymer brushes that exhibit well-defined feature dimensions and controlled chemical and physical properties provide versatile platforms not only for investigation of nanoscale phenomena at biointerfaces, but also for the development of advanced devices relevant to biotechnology and electronics applications. In this review, we first give a brief introduction of scaling behavior of nanopatterned polymer brushes and then summarize recent progress in fabrication and application of nanopatterned polymer brushes. Specifically, we highlight applications of nanopatterned stimuli-responsive polymer brushes in the areas of biomedicine and biotechnology.

  20. Nanopatterned polymer brushes: conformation, fabrication and applications

    NASA Astrophysics Data System (ADS)

    Yu, Qian; Ista, Linnea K.; Gu, Renpeng; Zauscher, Stefan; López, Gabriel P.

    2015-12-01

    Surfaces with end-grafted, nanopatterned polymer brushes that exhibit well-defined feature dimensions and controlled chemical and physical properties provide versatile platforms not only for investigation of nanoscale phenomena at biointerfaces, but also for the development of advanced devices relevant to biotechnology and electronics applications. In this review, we first give a brief introduction of scaling behavior of nanopatterned polymer brushes and then summarize recent progress in fabrication and application of nanopatterned polymer brushes. Specifically, we highlight applications of nanopatterned stimuli-responsive polymer brushes in the areas of biomedicine and biotechnology.

  1. High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.

    2004-01-01

    SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony concentration was approximately 4 x 10(exp 19) per cubic centimeter. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per square centimeter, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V (sub DS)) range, with V (sub DS) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.

  2. High Mobility SiGe/Si n-Type Structures and Field Effect Transistors on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Ponchak, George E.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    SiGe/Si n-type modulation doped field effect transistors (MODFETs) fabricated on sapphire substrates have been characterized at microwave frequencies for the first time. The highest measured room temperature electron mobility is 1380 sq cm/V-sec at a carrier density of 1.8 x 10(exp 12)/sq cm for a MODFET structure, and 900 sq cm/V-sec at a carrier density of 1.3 x 10/sq cm for a phosphorus ion implanted sample. A two finger, 2 x 200 micron gate n-MODFET has a peak transconductance of 37 mS/mm at a drain to source voltage of 2.5 V and a transducer gain of 6.4 dB at 1 GHz.

  3. Reduced cost and improved figure of sapphire optical components

    NASA Astrophysics Data System (ADS)

    Walters, Mark; Bartlett, Kevin; Brophy, Matthew R.; DeGroote Nelson, Jessica; Medicus, Kate

    2015-10-01

    Sapphire presents many challenges to optical manufacturers due to its high hardness and anisotropic properties. Long lead times and high prices are the typical result of such challenges. The cost of even a simple 'grind and shine' process can be prohibitive. The high precision surfaces required by optical sensor applications further exacerbate the challenge of processing sapphire thereby increasing cost further. Optimax has demonstrated a production process for such windows that delivers over 50% time reduction as compared to traditional manufacturing processes for sapphire, while producing windows with less than 1/5 wave rms figure error. Optimax's sapphire production process achieves significant improvement in cost by implementation of a controlled grinding process to present the best possible surface to the polishing equipment. Following the grinding process is a polishing process taking advantage of chemical interactions between slurry and substrate to deliver excellent removal rates and surface finish. Through experiments, the mechanics of the polishing process were also optimized to produce excellent optical figure. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. Through specially developed polishing slurries, the peak-to-valley figure error of spherical sapphire parts is reduced by over 80%.

  4. Demonstration of transverse-magnetic deep-ultraviolet stimulated emission from AlGaN multiple-quantum-well lasers grown on a sapphire substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xiao-Hang, E-mail: xli@gatech.edu, E-mail: dupuis@gatech.edu; Kao, Tsung-Ting; Satter, Md. Mahbub

    2015-01-26

    We demonstrate transverse-magnetic (TM) dominant deep-ultraviolet (DUV) stimulated emission from photo-pumped AlGaN multiple-quantum-well lasers grown pseudomorphically on an AlN/sapphire template by means of photoluminescence at room temperature. The TM-dominant stimulated emission was observed at wavelengths of 239, 242, and 243 nm with low thresholds of 280, 250, and 290 kW/cm{sup 2}, respectively. In particular, the lasing wavelength of 239 nm is shorter compared to other reports for AlGaN lasers grown on foreign substrates including sapphire and SiC. The peak wavelength difference between the transverse-electric (TE)-polarized emission and TM-polarized emission was approximately zero for the lasers in this study, indicating the crossover of crystal-fieldmore » split-off hole and heavy-hole valence bands. The rapid variation of polarization between TE- and TM-dominance versus the change in lasing wavelength from 243 to 249 nm can be attributed to a dramatic change in the TE-to-TM gain coefficient ratio for the sapphire-based DUV lasers in the vicinity of TE-TM switch.« less

  5. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    NASA Astrophysics Data System (ADS)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  6. Block Copolymer-Templated Approach to Nanopatterned Metal-Organic Framework Films.

    PubMed

    Zhou, Meimei; Wu, Yi-Nan; Wu, Baozhen; Yin, Xianpeng; Gao, Ning; Li, Fengting; Li, Guangtao

    2017-08-17

    The fabrication of patterned metal-organic framework (MOF) films with precisely controlled nanoscale resolution has been a fundamental challenge in nanoscience and nanotechnology. In this study, nanopatterned MOF films were fabricated using a layer-by-layer (LBL) growth method on functional templates (such as a bicontinuous nanoporous membrane or a structure with highly long-range-ordered nanoscopic channels parallel to the underlying substrate) generated by the microphase separation of polystyrene-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymers. HKUST-1 can be directly deposited on the templates without any chemical modification because the pyridine groups in P2VP interact with metal ions via metal-BCP complexes. As a result, nanopatterned HKUST-1 films with feature sizes below 50 nm and controllable thicknesses can be fabricated by controlling the number of LBL growth cycles. The proposed fabrication method further extends the applications of MOFs in various fields. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Nanopatterned conductive polymer films as a Pt, TCO-free counter electrode for low-cost dye-sensitized solar cells.

    PubMed

    Kwon, Jeong; Ganapathy, Veerappan; Kim, Young Hun; Song, Kyung-Deok; Park, Hong-Gyu; Jun, Yongseok; Yoo, Pil J; Park, Jong Hyeok

    2013-09-07

    A low-cost nanopatterned highly conductive poly(3,4-ethylenedioxythiophene) (PEDOT) thin film was fabricated on a flexible plastic substrate via a chemical polymerization method combined with a nanoimprinting technique and used as a platinum (Pt), TCO-free counter electrode for dye-sensitized solar cells (DSSCs). The catalytic properties of the nanopatterned PEDOT as the counter electrode in DSSCs were studied using cyclic voltammetry, J-V measurements, impedance spectroscopy, and finite-difference time-domain (FDTD) simulations. The nanopatterned PEDOT counter electrodes exhibit better functionality as a counter electrode for tri-iodide reduction when compared to non-patterned PEDOT-based counter electrodes. The Pt and TCO-free DSSCs with a nanopatterned PEDOT-based counter electrode exhibited a power conversion efficiency of 7.1% under one sunlight illumination (100 mW cm(-2)), which is comparable to that of conventional DSSCs with standard platinum Pt/FTO paired counter electrodes. The ability to modulate catalytic functionality with changes in nanoscale morphology represents a promising route for developing new counter electrodes of Pt and TCO-free DSSCs.

  8. High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.

    2003-01-01

    SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony, concentration was approximately 4 x 10(exp19) per cubic cm. The electron mobility was over 1,200 and 13,000 sq cm/V-sec at room temperature and 0.25 K, respectively. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per sq cm, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V(sub DS)) range, with (V(sub DS)) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.

  9. Direct nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation.

    PubMed

    El Mel, Abdel-Aziz; Stephant, Nicolas; Gautier, Romain

    2016-10-06

    In this communication, we report on the growth, direct writing and nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation using a scanning electron microscope. The nanoblocks are produced by placing a droplet of an ethylene glycol solution containing silver nitrate and polyvinylpyrrolidone diluted in ethanol directly on a hot substrate heated up to 150 °C. Upon complete evaporation of the droplet, nanospheres, nano- and micro-triangles and nanoblocks made of silver-containing polymers, form over the substrate surface. Considering the nanoblocks as a model system, we demonstrate that such nanostructures are extremely sensitive to the e-beam extracted from the source of a scanning electron microscope operating at low acceleration voltages (between 5 and 7 kV). This sensitivity allows us to efficiently create various nanopatterns (e.g. arrays of holes, oblique slits and nanotrenches) in the material under e-beam irradiation. In addition to the possibility of writing, the nanoblocks revealed a self-healing ability allowing them to recover a relatively smooth surface after etching. Thanks to these properties, such nanomaterials can be used as a support for data writing and erasing on the nanoscale under low energy electron beam irradiation.

  10. The possibility of multi-layer nanofabrication via atomic force microscope-based pulse electrochemical nanopatterning

    NASA Astrophysics Data System (ADS)

    Kim, Uk Su; Morita, Noboru; Lee, Deug Woo; Jun, Martin; Park, Jeong Woo

    2017-05-01

    Pulse electrochemical nanopatterning, a non-contact scanning probe lithography process using ultrashort voltage pulses, is based primarily on an electrochemical machining process using localized electrochemical oxidation between a sharp tool tip and the sample surface. In this study, nanoscale oxide patterns were formed on silicon Si (100) wafer surfaces via electrochemical surface nanopatterning, by supplying external pulsed currents through non-contact atomic force microscopy. Nanoscale oxide width and height were controlled by modulating the applied pulse duration. Additionally, protruding nanoscale oxides were removed completely by simple chemical etching, showing a depressed pattern on the sample substrate surface. Nanoscale two-dimensional oxides, prepared by a localized electrochemical reaction, can be defined easily by controlling physical and electrical variables, before proceeding further to a layer-by-layer nanofabrication process.

  11. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  12. Directed Nanopatterning with Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Yavuz, Ozgun; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    In spite of the successes of maskless optical nanopatterning methods, it remains extremely challenging to create any isotropic, periodic nanopattern. Further, available optical techniques lack the long-range coverage and high periodicity demanded by photonics and photovoltaics applications. Here, we provide a novel solution with Nonlinear Laser Lithography (NLL) approach. Notably, we demonstrate that self-organized nanopatterns can be produced in all possible Bravais lattice types. Further, we show that carefully chosen defects or structued noise can direct NLL symmetries. Exploitation of directed self-organizatio to select or guide to predetermined symmetries is a new capability. Predictive capabilities for such far-from-equilibrium, dissipative systems is very limited due to a lack of experimental systems with predictive models. Here we also present a completely predictive model, and experimentally confirm that the emergence of motifs can be regulated by engineering defects, while the polarization of the ultrafast laser prescribes lattice symmetry, which in turn reinforces translational invariance. Thus, NLL enables a novel, maskless nanofabrication approach, where laser-induced nanopatterns can be rapidly created in any lattice symmetry

  13. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  14. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  15. Nanopatterned organic semiconductors for visible light communications

    NASA Astrophysics Data System (ADS)

    Yang, Xilu; Dong, Yurong; Zeng, Pan; Yu, Yan; Xie, Yujun; Gong, Junyi; Shi, Meng; Liang, Rongqing; Ou, Qiongrong; Chi, Nan; Zhang, Shuyu

    2018-03-01

    Visible light communication (VLC) is becoming an important and promising supplement to the existing Wi-Fi network for the coming 5G communications. Organic light-emitting semiconductors present much fast fluorescent decay rates compared to those of conventional colour-converting phosphors, therefore capable of achieving much higher bandwidths. Here we explore how nanopatterned organic semiconductors can further enhance the data rates of VLC links by improving bandwidths and signal-to-noise ratios (SNRs) and by supporting spatial multiplexing. We first demonstrate a colour-converting VLC system based on nanopatterned hyperbolic metamaterials (HMM), the bandwidth of which is enhanced by 50%. With regard to enhancing SNRs, we achieve a tripling of optical gain by integrating a nanopatterned luminescent concentrator to a signal receiver. In addition, we demonstrate highly directional fluorescent VLC antennas based on nanoimprinted polymer films, paving the way to achieving parallel VLC communications via spatialmultiplexing. These results indicate nanopatterned organic semiconductors provide a promising route to high speed VLC links.

  16. Effect of sputtering pressure on crystalline quality and residual stress of AlN films deposited at 823 K on nitrided sapphire substrates by pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Ohtsuka, Makoto; Takeuchi, Hiroto; Fukuyama, Hiroyuki

    2016-05-01

    Aluminum nitride (AlN) is a promising material for use in applications such as deep-ultraviolet light-emitting diodes (UV-LEDs) and surface acoustic wave (SAW) devices. In the present study, the effect of sputtering pressure on the surface morphology, crystalline quality, and residual stress of AlN films deposited at 823 K on nitrided a-plane sapphire substrates, which have high-crystalline-quality c-plane AlN thin layers, by pulsed DC reactive sputtering was investigated. The c-axis-oriented AlN films were homoepitaxially grown on nitrided sapphire substrates at sputtering pressures of 0.4-1.5 Pa. Surface damage of the AlN sputtered films increased with increasing sputtering pressure because of arcing (abnormal electrical discharge) during sputtering. The sputtering pressure affected the crystalline quality and residual stress of AlN sputtered films because of a change in the number and energy of Ar+ ions and Al sputtered atoms. The crystalline quality of AlN films was improved by deposition with lower sputtering pressure.

  17. Chip design for thin-film deep ultraviolet LEDs fabricated by laser lift-off of the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Krüger, O.; Külberg, A.; Rass, J.; Zeimer, U.; Kolbe, T.; Knauer, A.; Einfeldt, S.; Weyers, M.; Kneissl, M.

    2017-12-01

    We report on a chip design which allows the laser lift-off (LLO) of the sapphire substrate sustaining the epitaxial film of flip-chip mounted deep ultraviolet light emitting diodes. A nanosecond pulsed excimer laser with a wavelength of 248 nm was used for the LLO. A mechanically stable chip design was found to be the key to prevent crack formation in the epitaxial layers and material chipping during the LLO process. Stabilization was achieved by introducing a Ti/Au leveling layer that mechanically supports the fragile epitaxial film. The electrical and optical characterization of devices before and after the LLO process shows that the device performance did not degrade by the LLO.

  18. A metal-insulator transition study of VO 2 thin films grown on sapphire substrates

    DOE PAGES

    Yu, Shifeng; Wang, Shuyu; Lu, Ming; ...

    2017-12-15

    In this paper, vanadium thin films were deposited on sapphire substrates by DC magnetron sputtering and then oxidized in a tube furnace filled with oxygen under different temperatures and oxygen flow rates. The significant influence of the oxygen flow rate and oxidation temperature on the electrical and structural properties of the vanadium oxide thin films were investigated systematically. It shows the pure vanadium dioxide (VO 2) state can only be obtained in a very narrow temperature and oxygen flow rate range. The resistivity change during the metal-insulator transition varies from 0.2 to 4 orders of magnitude depending on the oxidationmore » condition. Large thermal hysteresis during the metal-insulator phase transition was observed during the transition compared to the results in literature. Proper oxidation conditions can significantly reduce the thermal hysteresis. Finally, the fabricated VO 2 thin films showed the potential to be applied in the development of electrical sensors and other smart devices.« less

  19. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  20. Characterization of barium strontium titanate thin films on sapphire substrate prepared via RF magnetron sputtering system

    NASA Astrophysics Data System (ADS)

    Jamaluddin, F. W.; Khalid, M. F. Abdul; Mamat, M. H.; Zoolfakar, A. S.; Zulkefle, M. A.; Rusop, M.; Awang, Z.

    2018-05-01

    Barium Strontium Titanate (Ba0.5Sr0.5TiO3) is known to have a high dielectric constant and low loss at microwave frequencies. These unique features are useful for many electronic applications. This paper focuses on material characterization of BST thin films deposited on sapphire substrate by RF magnetron sputtering system. The sample was then annealed at 900 °C for two hours. Several methods were used to characterize the structural properties of the material such as X-ray diffraction (XRD) and atomic force microscopy (AFM). Field emission scanning electron microscopy (FESEM) was used to analyze the surface morphology of the thin film. From the results obtained, it can be shown that the annealed sample had a rougher surface and better crystallinity as compared to as-deposited sample.

  1. Nanopatterned textile-based wearable triboelectric nanogenerator.

    PubMed

    Seung, Wanchul; Gupta, Manoj Kumar; Lee, Keun Young; Shin, Kyung-Sik; Lee, Ju-Hyuck; Kim, Tae Yun; Kim, Sanghyun; Lin, Jianjian; Kim, Jung Ho; Kim, Sang-Woo

    2015-01-01

    Here we report a fully flexible, foldable nanopatterned wearable triboelectric nanogenerator (WTNG) with high power-generating performance and mechanical robustness. Both a silver (Ag)-coated textile and polydimethylsiloxane (PDMS) nanopatterns based on ZnO nanorod arrays on a Ag-coated textile template were used as active triboelectric materials. A high output voltage and current of about 120 V and 65 μA, respectively, were observed from a nanopatterned PDMS-based WTNG, while an output voltage and current of 30 V and 20 μA were obtained by the non-nanopatterned flat PDMS-based WTNG under the same compressive force of 10 kgf. Furthermore, very high voltage and current outputs with an average value of 170 V and 120 μA, respectively, were obtained from a four-layer-stacked WTNG under the same compressive force. Notably it was found there are no significant differences in the output voltages measured from the multilayer-stacked WTNG over 12 000 cycles, confirming the excellent mechanical durability of WTNGs. Finally, we successfully demonstrated the self-powered operation of light-emitting diodes, a liquid crystal display, and a keyless vehicle entry system only with the output power of our WTNG without any help of external power sources.

  2. Hierarchically Ordered Nanopatterns for Spatial Control of Biomolecules

    PubMed Central

    2015-01-01

    The development and study of a benchtop, high-throughput, and inexpensive fabrication strategy to obtain hierarchical patterns of biomolecules with sub-50 nm resolution is presented. A diblock copolymer of polystyrene-b-poly(ethylene oxide), PS-b-PEO, is synthesized with biotin capping the PEO block and 4-bromostyrene copolymerized within the polystyrene block at 5 wt %. These two handles allow thin films of the block copolymer to be postfunctionalized with biotinylated biomolecules of interest and to obtain micropatterns of nanoscale-ordered films via photolithography. The design of this single polymer further allows access to two distinct superficial nanopatterns (lines and dots), where the PEO cylinders are oriented parallel or perpendicular to the substrate. Moreover, we present a strategy to obtain hierarchical mixed morphologies: a thin-film coating of cylinders both parallel and perpendicular to the substrate can be obtained by tuning the solvent annealing and irradiation conditions. PMID:25363506

  3. Chemical etching mechanism and properties of microstructures in sapphire modified by femtosecond laser

    NASA Astrophysics Data System (ADS)

    Liu, Manyu; Hu, Youwang; Sun, Xiaoyan; Wang, Cong; Zhou, Jianying; Dong, Xinran; Yin, Kai; Chu, Dongkai; Duan, Ji'an

    2017-01-01

    Sapphire, with extremely high hardness, high-temperature stability and wear resistance, often corroded in molten KOH at 300 °C after processing. The fabrication of microstructures on sapphire substrate performed by femtosecond laser irradiation combined with KOH solution chemical etching at room temperature is presented. It is found that this method reduces the harsh requirements of sapphire corrosion. After femtosecond irradiation, the sapphire has a high corrosion speed at room temperature. Through the analysis of Raman spectrum and XRD spectrum, a novel insight of femtosecond laser interaction with sapphire (α-Al2O3) is proposed. Results indicated that grooves on sapphire surface were formed by the lasers ablation removal, and the groove surface was modified in a certain depth. The modified area of the groove surface was changed from α-Al2O3 to γ-Al2O3. In addition, the impacts of three experimental parameters, laser power, scanning velocities and etching time, on the width and depth of microstructures are investigated, respectively. The modified area dimension is about 2 μm within limits power and speed. This work could fabricate high-quality arbitrary microstructures and enhance the performance of sapphire processing.

  4. Evolution of the sapphire industry: Rubicon Technology and Gavish

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2009-05-01

    A. Verneuil developed flame fusion to grow sapphire and ruby on a commercial scale around 1890. Flame fusion was further perfected by Popov in the Soviet Union in the 1930s and by Linde Air Products Co. in the U.S. during World War II. Union Carbide Corp., the successor to Linde, developed Czochralski crystal growth for sapphire laser materials in the 1960s. Stepanov in the Soviet Union published his sapphire growth method in 1959. Edge-Defined Film-Fed Growth (EFG), which is similar to the Stepanov method, was developed by H. Labelle in the U. S. in the 1960s and 1970s. The Heat Exchanger Method (HEM), invented by F. Schmid and D. Viechnicki in 1967 was commercialized in the 1970s. Gradient solidification was invented in Israel in the 1970s by J. Makovsky. The Horizontal Directional Solidification Method (HDSM) proposed by Kh. S. Bagdasorov in the Soviet Union in the 1960s was further developed at the Institute for Single Crystals in Ukraine. Kyropoulos growth of sapphire, known as GOI crystal growth in the Soviet Union, was developed by M. Musatov at the State Optical Institute in St. Petersburg in the 1970s and 1980s. At the Institute for Single Crystals in Ukraine, E. Dobrovinskaya characterized Verneuil, Czochralsky, Bagdasarov, and GOI sapphire. In 1995, she emigrated to the United States and joined S&R Rubicon, founded near Chicago by R. Mogilevsky initially to import sapphire and ruby. Mogilevsky began producing sapphire by the Kyropoulos method in 1999. In 2000 the company name was changed to Rubicon Technology. Today, Dobrovinskaya is Chief Scientist and Rubicon produces high quality Kyropoulos sapphire substrates for solid-state lighting. In 1995, H. Branover of Ben Gurion University and a sole investor founded Gavish, which is Hebrew for "crystal." They invited another veteran of the Ukrainian Institute for Single Crystals, V. Pishchik, to become Chief Scientist. Under Pishchik's technical leadership and J. Sragowicz's business leadership, Gavish now

  5. Study on effect of the surface variation of colloidal silica abrasive during chemical mechanical polishing of sapphire

    NASA Astrophysics Data System (ADS)

    Bun-Athuek, Natthaphon; Yoshimoto, Yutaka; Sakai, Koya; Khajornrungruang, Panart; Suzuki, Keisuke

    2017-07-01

    The surface and diameter size variations of colloidal silica particles during the chemical mechanical polishing (CMP) of sapphire substrates were investigated using different particle diameters of 20 and 55 nm. Dynamic light scattering (DLS) results show that the silica particles became larger after CMP under both conditions. The increase in particle size in the slurry was proportional to the material removal amount (MRA) as a function of the removed volume of sapphire substrates by CMP and affected the material removal rate (MRR). Transmission electron microscopy (TEM) images revealed an increase in the size of the fine particles and a change in their surface shape in the slurry. The colloidal silica was coated with the material removed from the substrate during CMP. In this case, the increase in the size of 55 nm diameter particles is larger than that of 20 nm diameter particles. X-ray fluorescence spectrometry (XRF) results indicate that the aluminum element from polished sapphire substrates adhered to the surfaces of silica particles. Therefore, MRR decreases with increasing of polishing time owing to the degradation of particles in the slurry.

  6. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    PubMed

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  7. Nanopatterning of metal-coated silicon surfaces via ion beam irradiation: Real time x-ray studies reveal the effect of silicide bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    El-Atwani, Osman; Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907; Gonderman, Sean

    We investigated the effect of silicide formation on ion-induced nanopatterning of silicon with various ultrathin metal coatings. Silicon substrates coated with 10 nm Ni, Fe, and Cu were irradiated with 200 eV argon ions at normal incidence. Real time grazing incidence small angle x-ray scattering (GISAXS) and x-ray fluorescence (XRF) were performed during the irradiation process and real time measurements revealed threshold conditions for nanopatterning of silicon at normal incidence irradiation. Three main stages of the nanopatterning process were identified. The real time GISAXS intensity of the correlated peaks in conjunction with XRF revealed that the nanostructures remain for amore » time period after the removal of the all the metal atoms from the sample depending on the binding energy of the metal silicides formed. Ex-situ XPS confirmed the removal of all metal impurities. In-situ XPS during the irradiation of Ni, Fe, and Cu coated silicon substrates at normal incidence demonstrated phase separation and the formation of different silicide phases that occur upon metal-silicon mixing. Silicide formation leads to nanostructure formation due the preferential erosion of the non-silicide regions and the weakening of the ion induced mass redistribution.« less

  8. Hierarchically Ordered Nanopatterns for Spatial Control of Biomolecules

    DOE PAGES

    Tran, Helen; Ronaldson, Kacey; Bailey, Nevette A.; ...

    2014-11-04

    We present the development and study of a benchtop, high-throughput, and inexpensive fabrication strategy to obtain hierarchical patterns of biomolecules with sub-50 nm resolution. A diblock copolymer of polystyrene-b-poly(ethylene oxide), PS-b-PEO, is synthesized with biotin capping the PEO block and 4-bromostyrene copolymerized within the polystyrene block at 5 wt %. These two handles allow thin films of the block copolymer to be postfunctionalized with biotinylated biomolecules of interest and to obtain micropatterns of nanoscale-ordered films via photolithography. The design of this single polymer further allows access to two distinct superficial nanopatterns (lines and dots), where the PEO cylinders are orientedmore » parallel or perpendicular to the substrate. Moreover, we present a strategy to obtain hierarchical mixed morphologies: a thin-film coating of cylinders both parallel and perpendicular to the substrate can be obtained by tuning the solvent annealing and irradiation conditions.« less

  9. In-situ laser nano-patterning for ordered InAs/GaAs(001) quantum dot growth

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Shi, Zhenwu; Huo, Dayun; Guo, Xiaoxiang; Zhang, Feng; Chen, Linsen; Wang, Qinhua; Zhang, Baoshun; Peng, Changsi

    2018-04-01

    A study of in-situ laser interference nano-patterning on InGaAs wetting layers was carried out during InAs/GaAs (001) quantum dot molecular beam epitaxy growth. Periodic nano-islands with heights of a few atomic layers were obtained via four-beam laser interference irradiation on the InGaAs wetting layer at an InAs coverage of 0.9 monolayer. The quantum dots nucleated preferentially at edges of nano-islands upon subsequent deposition of InAs on the patterned surface. When the nano-islands are sufficiently small, the patterned substrate could be spontaneously re-flattened and an ordered quantum dot array could be produced on the smooth surface. This letter discusses the mechanisms of nano-patterning and ordered quantum dot nucleation in detail. This study provides a potential technique leading to site-controlled, high-quality quantum dot fabrication.

  10. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  11. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  12. Pseudorotational epitaxy of self-assembled octadecyltrichlorosilane monolayers on sapphire (0001)

    DOE PAGES

    Steinrück, H. -G.; Magerl, A.; Deutsch, M.; ...

    2014-10-06

    The structure of octadecyltrichlorosilane self-assembled monolayers (SAMs) on sapphire (0001) was studied by Å-resolution surface-specific x-ray scattering methods. The monolayer was found to consist of three sublayers where the outermost layer corresponds to vertically oriented, closely packed alkyl tails. Laterally, the monolayer is hexagonally packed and exhibits pseudorotational epitaxy to the sapphire, manifested by a broad scattering peak at zero relative azimuthal rotation, with long powderlike tails. The lattice mismatch of ~1% – 3% to the sapphire’s and the different length scale introduced by the lateral Si-O-Si bonding prohibit positional epitaxy. However, the substrate induces an intriguing increase in themore » crystalline coherence length of the SAM’s powderlike crystallites when rotationally aligned with the sapphire’s lattice. As a result, the increase correlates well with the rotational dependence of the separation of corresponding substrate-monolayer lattice sites.« less

  13. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  14. Configuration-controlled Au nanocluster arrays on inverse micelle nano-patterns: versatile platforms for SERS and SPR sensors

    NASA Astrophysics Data System (ADS)

    Jang, Yoon Hee; Chung, Kyungwha; Quan, Li Na; Špačková, Barbora; Šípová, Hana; Moon, Seyoung; Cho, Won Joon; Shin, Hae-Young; Jang, Yu Jin; Lee, Ji-Eun; Kochuveedu, Saji Thomas; Yoon, Min Ji; Kim, Jihyeon; Yoon, Seokhyun; Kim, Jin Kon; Kim, Donghyun; Homola, Jiří; Kim, Dong Ha

    2013-11-01

    Nanopatterned 2-dimensional Au nanocluster arrays with controlled configuration are fabricated onto reconstructed nanoporous poly(styrene-block-vinylpyridine) inverse micelle monolayer films. Near-field coupling of localized surface plasmons is studied and compared for disordered and ordered core-centered Au NC arrays. Differences in evolution of the absorption band and field enhancement upon Au nanoparticle adsorption are shown. The experimental results are found to be in good agreement with theoretical studies based on the finite-difference time-domain method and rigorous coupled-wave analysis. The realized Au nanopatterns are exploited as substrates for surface-enhanced Raman scattering and integrated into Kretschmann-type SPR sensors, based on which unprecedented SPR-coupling-type sensors are demonstrated.Nanopatterned 2-dimensional Au nanocluster arrays with controlled configuration are fabricated onto reconstructed nanoporous poly(styrene-block-vinylpyridine) inverse micelle monolayer films. Near-field coupling of localized surface plasmons is studied and compared for disordered and ordered core-centered Au NC arrays. Differences in evolution of the absorption band and field enhancement upon Au nanoparticle adsorption are shown. The experimental results are found to be in good agreement with theoretical studies based on the finite-difference time-domain method and rigorous coupled-wave analysis. The realized Au nanopatterns are exploited as substrates for surface-enhanced Raman scattering and integrated into Kretschmann-type SPR sensors, based on which unprecedented SPR-coupling-type sensors are demonstrated. Electronic supplementary information (ESI) available: TEM image and UV-vis absorption spectrum of citrate-capped Au NPs, AFM images of Au NC arrays on the PS-b-P4VP (41k-24k) template, ImageJ-analyzed results of PS-b-P4VP (41k-24k)-templated Au NC arrays, calculated %-surface coverage values, SEM images of Au NC arrays on the PS-b-P2VP (172k-42k

  15. High-fidelity large area nano-patterning of silicon with femtosecond light sheet

    NASA Astrophysics Data System (ADS)

    Sidhu, Mehra S.; Munjal, Pooja; Singh, Kamal P.

    2018-01-01

    We employ a femtosecond light sheet generated by a cylindrical lens to rapidly produce high-fidelity nano-structures over large area on silicon surface. The Fourier analysis of electron microscopy images of the laser-induced surface structures reveals sharp peaks indicating good homogeneity. We observed an emergence of second-order spatial periodicity on increasing the scan speed. Our reliable approach may rapidly nano-pattern curved solid surfaces and tiny objects for diverse potential applications in optical devices, structural coloring, plasmonic substrates and in high-harmonic generation.

  16. Directed self-assembly of nanogold using a chemically modified nanopatterned surface

    NASA Astrophysics Data System (ADS)

    Nidetz, Robert; Kim, Jinsang

    2012-02-01

    Electron-beam lithography (EBL) was used to define an aminosilane nanopatterned surface in order to electrostatically self-assemble gold nanoparticles (Au NPs). The chemically modified nanopatterned surfaces were immersed into a Au NP solution to allow the Au NPs to self-assemble. Equilibrium self-assembly was achieved in only 20 min. The number of Au NPs that self-assembled on an aminosilane dot was controlled by manipulating the diameters of both the Au NPs and the dots. Adding salt to the Au NP solution enabled the Au NPs to self-assemble in greater numbers on the same sized dot. However, the preparation of the Au NP solution containing salt was sensitive to spikes in the salt concentration. These spikes led to aggregation of the Au NPs and non-specific deposition of Au NPs on the substrate. The Au NP patterned surfaces were immersed in a sodium hydroxide solution in order to lift-off the patterned Au NPs, but no lift-off was observed without adequate physical agitation. The van der Waals forces are too strong to allow for lift-off despite the absence of electrostatic forces.

  17. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  18. High performance sapphire windows

    NASA Technical Reports Server (NTRS)

    Bates, Stephen C.; Liou, Larry

    1993-01-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  19. High performance sapphire windows

    NASA Astrophysics Data System (ADS)

    Bates, Stephen C.; Liou, Larry

    1993-02-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  20. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  1. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  2. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    NASA Astrophysics Data System (ADS)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  3. Orange a-plane InGaN/GaN light-emitting diodes grown on r-plane sapphire substrates.

    PubMed

    Seo, Yong Gon; Baik, Kwang Hyeon; Song, Hooyoung; Son, Ji-Su; Oh, Kyunghwan; Hwang, Sung-Min

    2011-07-04

    We report on orange a-plane light-emitting diodes (LEDs) with InGaN single quantum well (SQW) grown on r-plane sapphire substrates by metal organic chemical vapor deposition (MOCVD). The peak wavelength and the full-width at half maximum (FWHM) at a drive current of 20mA were 612.2 nm and 72 nm, respectively. The device demonstrated a blue shift in emission wavelength from 614.6 nm at 10 mA to 607.5 nm at 100 mA, representing a net shift of 7.1 nm over a 90 mA range, which is the longest wavelength compared with reported values in nonpolar LEDs. The polarization ratio values obtained from the orange LED varied between 0.36 and 0.44 from 10 to 100mA and a weak dependence of the polarization ratio on the injection current was observed.

  4. Ultrasensitive label-free detection of DNA hybridization by sapphire-based graphene field-effect transistor biosensor

    NASA Astrophysics Data System (ADS)

    Xu, Shicai; Jiang, Shouzhen; Zhang, Chao; Yue, Weiwei; Zou, Yan; Wang, Guiying; Liu, Huilan; Zhang, Xiumei; Li, Mingzhen; Zhu, Zhanshou; Wang, Jihua

    2018-01-01

    Graphene has attracted much attention in biosensing applications for its unique properties. Because of one-atom layer structure, every atom of graphene is exposed to the environment, making the electronic properties of graphene are very sensitive to charged analytes. Therefore, graphene is an ideal material for transistors in high-performance sensors. Chemical vapor deposition (CVD) method has been demonstrated the most successful method for fabricating large area graphene. However, the conventional CVD methods can only grow graphene on metallic substrate and the graphene has to be transferred to the insulating substrate for further device fabrication. The transfer process creates wrinkles, cracks, or tears on the graphene, which severely degrade electrical properties of graphene. These factors severely degrade the sensing performance of graphene. Here, we directly fabricated graphene on sapphire substrate by high temperature CVD without the use of metal catalysts. The sapphire-based graphene was patterned and make into a DNA biosensor in the configuration of field-effect transistor. The sensors show high performance and achieve the DNA detection sensitivity as low as 100 fM (10-13 M), which is at least 10 times lower than prior transferred CVD G-FET DNA sensors. The use of the sapphire-based G-FETs suggests a promising future for biosensing applications.

  5. Strain Evolution of Annealed Hydrogen-Implanted (0001) Sapphire

    NASA Astrophysics Data System (ADS)

    Wong, Christine Megan

    Exfoliation is a technique used to remove a thin, uniform layer of material from the bulk that involves the annealing of hydrogen ion-implanted materials in order to initiate defect nucleation and growth leading to guided crack propagation. This study presents an investigation into the annealing process required to initiate blistering (an essential precursor to exfoliation) in (0001) sapphire implanted at room temperature with hydrogen ions. Triple axis x-ray diffraction was used to characterize the evolution of the implanted layer for single crystal (0001) sapphire substrates implanted at room temperature at 360 keV with either a 5x1016 cm -2 or 8x1016 cm-2 dose of hydrogen ions. A simulation of the ion distribution in TRIM estimated that the projected range and thickness of the implanted layer for both doses was approximately 2.2 mum. Following implantation, the implanted sapphire was annealed using a two-step annealing procedure. The first step was performed at a lower temperature, ideally to nucleate and coarsen defects. Temperatures investigated ranged from 550 - 650 °C. The second step was performed at a higher temperature (800 °C) to induce further defect coarsening and surface blistering. After all annealing steps, triple axis o/2theta and o scans were taken to observe any changes in the diffraction profile - namely, any reduction in the amplitude and shift in the location of the fringes associated with strain in the crystal - which would correlate with defect growth and nucleation. It was found that significant strain fringe reduction first occurred after annealing at 650 °C for 8 hours for both doses; however, it was not clear whether or not this strain reduction was due primarily to hydrogen diffusion or to recovery of other defects induced during the ion implantation. The o/2theta curves were then fit using Bede RADS in order to quantify the strain within the crystal and confirm the reduction of the strained layer within the crystal. Finally

  6. Liquid immersion thermal crosslinking of 3D polymer nanopatterns for direct carbonisation with high structural integrity

    NASA Astrophysics Data System (ADS)

    Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk

    2015-12-01

    The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies.

  7. Liquid immersion thermal crosslinking of 3D polymer nanopatterns for direct carbonisation with high structural integrity

    PubMed Central

    Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk

    2015-01-01

    The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies. PMID:26677949

  8. Liquid immersion thermal crosslinking of 3D polymer nanopatterns for direct carbonisation with high structural integrity.

    PubMed

    Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk

    2015-12-18

    The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies.

  9. Response of cells on surface-induced nanopatterns: fibroblasts and mesenchymal progenitor cells.

    PubMed

    Khor, Hwei Ling; Kuan, Yujun; Kukula, Hildegard; Tamada, Kaoru; Knoll, Wolfgang; Moeller, Martin; Hutmacher, Dietmar W

    2007-05-01

    Ultrathin films of a poly(styrene)-block-poly(2-vinylpyrindine) diblock copolymer (PS-b-P2VP) and poly(styrene)-block-poly(4-vinylpyrindine) diblock copolymer (PS-b-P4VP) were used to form surface-induced nanopattern (SINPAT) on mica. Surface interaction controlled microphase separation led to the formation of chemically heterogeneous surface nanopatterns on dry ultrathin films. Two distinct nanopatterned surfaces, namely, wormlike and dotlike patterns, were used to investigate the influence of topography in the nanometer range on cell adhesion, proliferation, and migration. Atomic force microscopy was used to confirm that SINPAT was stable under cell culture conditions. Fibroblasts and mesenchymal progenitor cells were cultured on the nanopatterned surfaces. Phase contrast and confocal laser microscopy showed that fibroblasts and mesenchymal progenitor cells preferred the densely spaced wormlike patterns. Atomic force microscopy showed that the cells remodelled the extracellular matrix differently as they migrate over the two distinctly different nanopatterns.

  10. Enhanced adhesion of bioinspired nanopatterned elastomers via colloidal surface assembly

    PubMed Central

    Akerboom, Sabine; Appel, Jeroen; Labonte, David; Federle, Walter; Sprakel, Joris; Kamperman, Marleen

    2015-01-01

    We describe a scalable method to fabricate nanopatterned bioinspired dry adhesives using colloidal lithography. Close-packed monolayers of polystyrene particles were formed at the air/water interface, on which polydimethylsiloxane (PDMS) was applied. The order of the colloidal monolayer and the immersion depth of the particles were tuned by altering the pH and ionic strength of the water. Initially, PDMS completely wetted the air/water interface outside the monolayer, thereby compressing the monolayer as in a Langmuir trough; further application of PDMS subsequently covered the colloidal monolayers. PDMS curing and particle extraction resulted in elastomers patterned with nanodimples. Adhesion and friction of these nanopatterned surfaces with varying dimple depth were studied using a spherical probe as a counter-surface. Compared with smooth surfaces, adhesion of nanopatterned surfaces was enhanced, which is attributed to an energy-dissipating mechanism during pull-off. All nanopatterned surfaces showed a significant decrease in friction compared with smooth surfaces. PMID:25392404

  11. Nanoscale definition of substrate materials to direct human adult stem cells towards tissue specific populations.

    PubMed

    Curran, Judith M; Chen, Rui; Stokes, Robert; Irvine, Eleanor; Graham, Duncan; Gubbins, Earl; Delaney, Deany; Amro, Nabil; Sanedrin, Raymond; Jamil, Haris; Hunt, John A

    2010-03-01

    The development of homogenously nano-patterned chemically modified surfaces that can be used to initiate a cellular response, particularly stem cell differentiation, in a highly controlled manner without the need for exogenous biological factors has never been reported, due to that fact that precisely defined and reproducible systems have not been available that can be used to study cell/material interactions and unlock the potential of a material driven cell response. Until now material driven stem cell (furthermore any cell) responses have been variable due to the limitations in definition and reproducibility of the underlying substrate and the lack of true homogeneity of modifications that can dictate a cellular response at a sub-micron level that can effectively control initial cell interactions of all cells that contact the surface. Here we report the successful design and use of homogenously molecularly nanopatterned surfaces to control initial stem cell adhesion and hence function. The highly specified nano-patterned arrays were compared directly to silane modified bulk coated substrates that have previously been proven to initiate mesenchymal stem cell (MSC) differentiation in a heterogenous manner, the aim of this study was to prove the efficiency of these previously observed cell responses could be enhanced by the incorporation of nano-patterns. Nano-patterned surfaces were prepared by Dip Pen Nanolithography (DPN) to produce arrays of 70 nm sized dots separated by defined spacings of 140, 280 and 1000 nm with terminal functionalities of carboxyl, amino, methyl and hydroxyl and used to control cell growth. These nanopatterned surfaces exhibited unprecedented control of initial cell interactions and will change the capabilities for stem cell definition in vitro and then cell based medical therapies. In addition to highlighting the ability of the materials to control stem cell functionality on an unprecedented scale this research also introduces the

  12. Shear Strength and Interfacial Toughness Characterization of Sapphire-Epoxy Interfaces for Nacre-Inspired Composites.

    PubMed

    Behr, Sebastian; Jungblut, Laura; Swain, Michael V; Schneider, Gerold A

    2016-10-12

    The common tensile lap-shear test for adhesive joints is inappropriate for brittle substrates such as glasses or ceramics where stress intensifications due to clamping and additional bending moments invalidate results. Nevertheless, bonding of glasses and ceramics is still important in display applications for electronics, in safety glass and ballistic armor, for dental braces and restoratives, or in recently developed bioinspired composites. To mechanically characterize adhesive bondings in these fields nonetheless, a novel approach based on the so-called Schwickerath test for dental sintered joints is used. This new method not only matches data from conventional analysis but also uniquely combines the accurate determination of interfacial shear strength and toughness in one simple test. The approach is verified for sapphire-epoxy joints that are of interest for bioinspired composites. For these, the procedure not only provides quantitative interfacial properties for the first time, it also exemplarily suggests annealing of sapphire at 1000 °C for 10 h for mechanically and economically effective improvements of the interfacial bond strength and toughness. With increases of strength and toughness from approximately 8 to 29 MPa and from 2.6 to 35 J/m 2 , respectively, this thermal modification drastically enhances the properties of unmodified sapphire-epoxy interfaces. At the same time, it is much more convenient than wet-chemical approaches such as silanization. Hence, besides the introduction of a new testing procedure for adhesive joints of brittle or expensive substrates, a new and facile annealing process for improvements of the adhesive properties of sapphire is suggested and quantitative data for the mechanical properties of sapphire-epoxy interfaces that are common in synthetic nacre-inspired composites are provided for the first time.

  13. Temperature dependence of the crystalline quality of AlN layer grown on sapphire substrates by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Xiao-Hang; Wei, Yong O.; Wang, Shuo; Xie, Hongen; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.

    2015-03-01

    We studied temperature dependence of crystalline quality of AlN layers at 1050-1250 °C with a fine increment step of around 18 °C. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) and characterized by X-ray diffraction (XRD) ω-scans and atomic force microscopy (AFM). At 1050-1068 °C, the templates exhibited poor quality with surface pits and higher XRD (002) and (102) full-width at half-maximum (FWHM) because of insufficient Al atom mobility. At 1086 °C, the surface became smooth suggesting sufficient Al atom mobility. Above 1086 °C, the (102) FWHM and thus edge dislocation density increased with temperatures which may be attributed to the shorter growth mode transition from three-dimension (3D) to two-dimension (2D). Above 1212 °C, surface macro-steps were formed due to the longer diffusion length of Al atoms than the expected step terrace width. The edge dislocation density increased rapidly above 1212 °C, indicating this temperature may be a threshold above which the impact of the transition from 3D to 2D is more significant. The (002) FWHM and thus screw dislocation density were insensitive to the temperature change. This study suggests that high-quality AlN/sapphire templates may be potentially achieved at temperatures as low as 1086 °C which is accessible by most of the III-nitride MOCVD systems.

  14. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    NASA Astrophysics Data System (ADS)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  15. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  16. Formation of graphitic carbon nitride and boron carbon nitride film on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kosaka, Maito; Urakami, Noriyuki; Hashimoto, Yoshio

    2018-02-01

    As a novel production method of boron carbon nitride (BCN) films, in this paper, we present the incorporation of B into graphitic carbon nitride (g-C3N4). First, we investigated the formation of g-C3N4 films via chemical vapor deposition (CVD) using melamine powder as the precursor. The formation of g-C3N4 films on a c-plane sapphire substrate was confirmed by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and Raman spectroscopy measurements. The deposition temperature of g-C3N4 films was found to be suitable between 550 and 600 °C since the degradation and desorption of hexagonal C-N bonds should be suppressed. As for BCN films, we prepared BCN films via two-zone extended CVD using ammonia borane as the B precursor. Several XPS signals from B, C, and N core levels were detected from B-incorporated g-C3N4 films. While the N composition was almost constant, the marked tendencies for increasing B composition and decreasing C composition were achieved with the increase in the B incorporation, indicating the incorporation of B atoms by the substitution for C atoms. Optical absorptions were shifted to the high-energy side by B incorporation, which indicates the successful formation of BCN films using melamine and ammonia borane powders as precursors.

  17. High extraction efficiency GaN-based light-emitting diodes on embedded SiO2 nanorod array and nanoscale patterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Huang, Hung-Wen; Huang, Jhi-Kai; Kuo, Shou-Yi; Lee, Kang-Yuan; Kuo, Hao-Chung

    2010-06-01

    In this paper, GaN-based LEDs with a nanoscale patterned sapphire substrate (NPSS) and a SiO2 photonic quasicrystal (PQC) structure on an n-GaN layer using nanoimprint lithography are fabricated and investigated. The light output power of LED with a NPSS and a SiO2 PQC structure on an n-GaN layer was 48% greater than that of conventional LED. Strong enhancement in output power is attributed to better epitaxial quality and higher reflectance resulted from NPSS and PQC structures. Transmission electron microscopy images reveal that threading dislocations are blocked or bended in the vicinities of NPSS layer. These results provide promising potential to increase output power for commercial light emitting devices.

  18. Structure and Properties of VO2 and Titanium Dioxide Based Epitaxial Heterostructures Integrated with Silicon and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bayati, Mohammad Reza

    The main focus of this study was placed on structure-property correlation in TiO2 and VO2 based epitaxial heterostructures where the photochemical and electrical properties were tuned through microstructural engineering. In the framework of domain matching epitaxy, epitaxial growth of TiO2 and VO2 heterostructures on different substrates were explained. The theta-2theta and ϕ scan X-ray diffraction measurements and detailed high resolution electron microscopy studies corroborated our understanding of the epitaxial growth and the crystallographic arrangement across the interfaces. The influence of the laser and substrate variables on structural characteristics of the films was investigated using X-ray photoelectron spectroscopy, room temperature photoluminescence spectroscopy, and UV-Vis spectrophotometry. In addition, morphological studies were performed by atomic force microscopy. Photochemical properties of the heterostructures were assessed through measuring surface wettability characteristics and photocatalytic reaction rate constant of degradation of 4-chlorophenol under ultraviolet and visible irradiations. We also studied electrical properties employing 4-probe measurement technique. The effect of post treatment processes, such as vacuum annealing and laser treatment, on structure and properties was investigated as well. The role of point defects and deviation from the stoichiometry on photochemical and electrical properties was addressed. In this research, TiO2 epilayers with controlled phase structure, defect content, and crystallographic alignments were grown on sapphire and silicon substrates. Integration with silicon was achieved using cubic and tetragonal yttria-stabilized zirconia buffer layers. I was able to tune the phase structure of the TiO2 based heterostructures from pure rutile to pure anatase and establish an epitaxial relationship across the interfaces in each case. These heterostructures were used for two different purposes. First, their

  19. Low Temperature Rhombohedral Single Crystal SiGe Epitaxy on c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Duzik, Adam J.; Choi, Sang H.

    2016-01-01

    Current best practice in epitaxial growth of rhombohedral SiGe onto (0001) sapphire (Al2O3) substrate surfaces requires extreme conditions to grow a single crystal SiGe film. Previous models described the sapphire surface reconstruction as the overriding factor in rhombohedral epitaxy, requiring a high temperature Al-terminated surface for high quality films. Temperatures in the 850-1100 C range were thought to be necessary to get SiGe to form coherent atomic matching between the (111) SiGe plane and the (0001) sapphire surface. Such fabrication conditions are difficult and uneconomical, hindering widespread application. This work proposes an alternative model that considers the bulk sapphire structure and determines how the SiGe film nucleates and grows. Accounting for thermal expansion effects, calculations using this new model show that both pure Ge and SiGe can form single crystal films in the 450-550 C temperature range. Experimental results confirm these predictions, where x-ray diffraction and atomic force microscopy show the films fabricated at low temperature rival the high temperature films in crystallographic and surface quality. Finally, an explanation is provided for why films of comparable high quality can be produced in either temperature range.

  20. Structural, transport and microwave properties of 123/sapphire films: Thickness effect

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Predtechensky, MR.; Smal, A.N.; Varlamov, Y.D.

    1994-12-31

    The effect of thickness and growth conditions on the structure and microwave properties has been investigated for the 123/sapphire films. It has been shown that in the conditions of epitaxial growth and Al atoms do not diffuse from substrate into the film and the films with thickness up to 100nm exhibit the excellent DC properties. The increase of thickness of GdBaCuO films causes the formation of extended line-mesh defects and the increase of the surface resistance (R{sub S}). The low value of surface resistance R{sub S}(75GHz,77K)=20 mOhm has been obtained for the two layer YBaCuO/CdBaCuO/sapphire films.

  1. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    NASA Astrophysics Data System (ADS)

    Zhu, Nai-Wei; Hu, Ming; Xia, Xiao-Xu; Wei, Xiao-Ying; Liang, Ji-Ran

    2014-04-01

    The VO2 thin film with high performance of metal-insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively.

  2. Dispersive growth and laser-induced rippling of large-area singlelayer MoS2 nanosheets by CVD on c-plane sapphire substrate

    PubMed Central

    Liu, Hongfei; Chi, Dongzhi

    2015-01-01

    Vapor-phase growth of large-area two-dimensional (2D) MoS2 nanosheets via reactions of sulfur with MoO3 precursors vaporized and transferred from powder sources onto a target substrate has been rapidly progressing. Recent studies revealed that the growth yield of high quality singlelayer (SL) MoS2 is essentially controlled by quite a few parameters including the temperature, the pressure, the amount/weight of loaded source precursors, and the cleanup of old precursors. Here, we report a dispersive growth method where a shadow mask is encapsulated on the substrate to ‘indirectly’ supply the source precursors onto the laterally advancing growth front at elevated temperatures. With this method, we have grown large-area (up to millimeters) SL-MoS2 nanosheets with a collective in-plane orientation on c-plane sapphire substrates. Regular ripples (~1 nm in height and ~50 nm in period) have been induced by laser scanning into the SL-MoS2 nanosheets. The MoS2 ripples easily initiate at the grain boundaries and extend along the atomic steps of the substrate. Such laser-induced ripple structures can be fundamental materials for studying their effects, which have been predicted to be significant but hitherto not evidenced, on the electronic, mechanical, and transport properties of SL-MoS2. PMID:26119325

  3. Nitridation of an unreconstructed and reconstructed (√31 ×√31)R ± 9° (0001) sapphire surface in an ammonia flow

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Milakhina, D. S., E-mail: denironman@mail.ru; Malin, T. V.; Mansurov, V. G.

    This paper is devoted to the study of the nitridation of unreconstructed and reconstructed (√31 ×√31)R ± 9° (0001) sapphire surfaces in an ammonia flow by reflection high-energy electron diffraction (RHEED). The experimental results show that sapphire nitridation occurs on the unreconstructed (1 × 1) surface, which results in AlN phase formation on the substrate surface. However, if sapphire nitridation is preceded by high-temperature annealing (1150°C) resulting in sapphire surface reconstruction with formation of the (√31 ×√31)R ± 9° surface, the crystalline AlN phase on the sapphire surface is not formed during surface exposure to an ammonia flow.

  4. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  5. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  6. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  7. Rhombohedral Super Hetero Epitaxy of Cubic SiGe on Trigonal c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Choi, Sang H.; Duzik, Adam J.

    2017-01-01

    New rhombohedral super-hetero-epitaxy technology was developed at NASA. This epitaxy technology enables the growth of unprecedented cubic-trigonal hybrid single crystal structures with lattice match on sapphire (Al2O3) substrates, hence with little strain and very few defects at the interface.

  8. Combined Effects of Substrate Topography and Stiffness on Endothelial Cytokine and Chemokine Secretion

    PubMed Central

    Lee, Justin H.; Park, Soojin; Mun, Kevin; Boo, Yong Chool; Kim, Deok-Ho

    2016-01-01

    Endothelial physiology is regulated not only by humoral factors but also by mechanical factors such as fluid shear stress and the underlying cellular matrix microenvironment. The purpose of the present study was to examine the effects of matrix topographical cues on the endothelial secretion of cytokines/chemokines in vitro. Human endothelial cells were cultured on nanopatterned polymeric substrates with different ratios of ridge to groove widths (1:1, 1:2, and 1:5) and with different stiffnesses (6.7 MPa and 2.5 GPa) in the presence and absence of 1.0 ng/mL TNF-α. The levels of cytokines/chemokines secreted into the conditioned media were analyzed with a multiplexed bead-based sandwich immunoassay. Of the nano-patterns tested, the 1:1 and 1:2 type-patterns were found to induce the greatest degree of endothelial cell elongation and directional alignment. The 1:2 type nanopatterns lowered the secretion of inflammatory cytokines such as IL-1β, IL-3 and MCP-1, compared to unpatterned substrates. Additionally, of the two polymers tested, it was found that the stiffer substrate resulted in significant decreases in the secretion of IL-3 and MCP-1. These results suggest that substrates with specific extracellular nanotopographical cues or stiffnesses may provide anti-atherogenic effects like those seen with laminar shear stresses by suppressing the endothelial secretion of cytokines and chemokines involved in vascular inflammation and remodeling. PMID:25658848

  9. Nanopatterned bulk metallic glass-based biomaterials modulate macrophage polarization.

    PubMed

    Shayan, Mahdis; Padmanabhan, Jagannath; Morris, Aaron H; Cheung, Bettina; Smith, Ryan; Schroers, Jan; Kyriakides, Themis R

    2018-06-01

    Polarization of macrophages by chemical, topographical and mechanical cues presents a robust strategy for designing immunomodulatory biomaterials. Here, we studied the ability of nanopatterned bulk metallic glasses (BMGs), a new class of metallic biomaterials, to modulate murine macrophage polarization. Cytokine/chemokine analysis of IL-4 or IFNγ/LPS-stimulated macrophages showed that the secretion of TNF-α, IL-1α, IL-12, CCL-2 and CXCL1 was significantly reduced after 24-hour culture on BMGs with 55 nm nanorod arrays (BMG-55). Additionally, under these conditions, macrophages increased phagocytic potential and exhibited decreased cell area with multiple actin protrusions. These in vitro findings suggest that nanopatterning can modulate biochemical cues such as IFNγ/LPS. In vivo evaluation of the subcutaneous host response at 2 weeks demonstrated that the ratio of Arg-1 to iNOS increased in macrophages adjacent to BMG-55 implants, suggesting modulation of polarization. In addition, macrophage fusion and fibrous capsule thickness decreased and the number and size of blood vessels increased, which is consistent with changes in macrophage responses. Our study demonstrates that nanopatterning of BMG implants is a promising technique to selectively polarize macrophages to modulate the immune response, and also presents an effective tool to study mechanisms of macrophage polarization and function. Implanted biomaterials elicit a complex series of tissue and cellular responses, termed the foreign body response (FBR), that can be influenced by the polarization state of macrophages. Surface topography can influence polarization, which is broadly characterized as either inflammatory or repair-like. The latter has been linked to improved outcomes of the FBR. However, the impact of topography on macrophage polarization is not fully understood, in part, due to a lack of high moduli biomaterials that can be reproducibly processed at the nanoscale. Here, we studied

  10. Sapphire tube pressure vessel

    DOEpatents

    Outwater, John O.

    2000-01-01

    A pressure vessel is provided for observing corrosive fluids at high temperatures and pressures. A transparent Teflon bag contains the corrosive fluid and provides an inert barrier. The Teflon bag is placed within a sapphire tube, which forms a pressure boundary. The tube is received within a pipe including a viewing window. The combination of the Teflon bag, sapphire tube and pipe provides a strong and inert pressure vessel. In an alternative embodiment, tie rods connect together compression fittings at opposite ends of the sapphire tube.

  11. Effect of nanopatterning on mechanical properties of Lithium anode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campbell, Colin; Lee, Yong Min; Cho, Kuk Young

    One of the challenges in developing Lithium anodes for Lithium ion batteries (LIB) is controlling the formation of Li dendrites during cycling of the battery. Nanostructuring and nanopatterning of electrodes shows a promising way to suppress the growth of Li dendrites. However, in order to control this behavior, a fundamental understanding of the effect of nanopatterning on the electromechanical properties of Li metal is necessary. In this paper, we have investigated the mechanical and wear properties of Li metal using Atomic Force Microscopy (AFM) in an airtight cell. By using different load regimes, we determined the mechanical properties of Limore » metal. Here, we show that as a result of nanopatterning, Li metal surface underwent work hardening due to residual compressive stress. The presence of such stresses can help to improve cycle lifetime of LIBs with Li anodes and obtain very high energy densities.« less

  12. Effect of nanopatterning on mechanical properties of Lithium anode

    DOE PAGES

    Campbell, Colin; Lee, Yong Min; Cho, Kuk Young; ...

    2018-02-06

    One of the challenges in developing Lithium anodes for Lithium ion batteries (LIB) is controlling the formation of Li dendrites during cycling of the battery. Nanostructuring and nanopatterning of electrodes shows a promising way to suppress the growth of Li dendrites. However, in order to control this behavior, a fundamental understanding of the effect of nanopatterning on the electromechanical properties of Li metal is necessary. In this paper, we have investigated the mechanical and wear properties of Li metal using Atomic Force Microscopy (AFM) in an airtight cell. By using different load regimes, we determined the mechanical properties of Limore » metal. Here, we show that as a result of nanopatterning, Li metal surface underwent work hardening due to residual compressive stress. The presence of such stresses can help to improve cycle lifetime of LIBs with Li anodes and obtain very high energy densities.« less

  13. Nanopattern-guided growth of single-crystal silicon on amorphous substrates and high-performance sub-100 nm thin-film transistors for three-dimensional integrated circuits

    NASA Astrophysics Data System (ADS)

    Gu, Jian

    This thesis explores how nanopatterns can be used to control the growth of single-crystal silicon on amorphous substrates at low temperature, with potential applications on flat panel liquid-crystal display and 3-dimensional (3D) integrated circuits. I first present excimer laser annealing of amorphous silicon (a-Si) nanostructures on thermally oxidized silicon wafer for controlled formation of single-crystal silicon islands. Preferential nucleation at pattern center is observed due to substrate enhanced edge heating. Single-grain silicon is obtained in a 50 nm x 100 nm rectangular pattern by super lateral growth (SLG). Narrow lines (such as 20-nm-wide) can serve as artificial heterogeneous nucleation sites during crystallization of large patterns, which could lead to the formation of single-crystal silicon islands in a controlled fashion. In addition to eximer laser annealing, NanoPAtterning and nickel-induced lateral C&barbelow;rystallization (NanoPAC) of a-Si lines is presented. Single-crystal silicon is achieved by NanoPAC. The line width of a-Si affects the grain structure of crystallized silicon lines significantly. Statistics show that single-crystal silicon is formed for all lines with width between 50 nm to 200 nm. Using in situ transmission electron microscopy (TEM), nickel-induced lateral crystallization (Ni-ILC) of a-Si inside a pattern is revealed; lithography-constrained single seeding (LISS) is proposed to explain the single-crystal formation. Intragrain line and two-dimensional defects are also studied. To test the electrical properties of NanoPAC silicon films, sub-100 nm thin-film transistors (TFTs) are fabricated using Patten-controlled crystallization of Ṯhin a-Si channel layer and H&barbelow;igh temperature (850°C) annealing, coined PaTH process. PaTH TFTs show excellent device performance over traditional solid phase crystallized (SPC) TFTs in terms of threshold voltage, threshold voltage roll-off, leakage current, subthreshold swing, on

  14. Laser processing of sapphire with picosecond and sub-picosecond pulses

    NASA Astrophysics Data System (ADS)

    Ashkenasi, D.; Rosenfeld, A.; Varel, H.; Wähmer, M.; Campbell, E. E. B.

    1997-11-01

    Laser processing of sapphire using a Ti:sapphire laser at 790 and 395 nm and pulse widths varying between 0.2 and 5 ps is reported. A clear improvement in quality is demonstrated for multi-shot processing with sub-ps laser pulses. For fluences between 3 and 12 J/cm 2 two ablation phases were observed, in agreement with previous work from Tam et al. using 30 ps, 266 nm laser pulses [A.C. Tam, J.L. Brand, D.C. Cheng, W. Zapka, Appl. Phys. Lett. 55 (20) (1994) 2045]. During the `gentle ablation' phase periodic wavelike structures, i.e. ripples, were observed on the Al 2O 3 surface, perpendicular to the laser polarisation and with a spacing almost equalling the laser wavelength, indicating metallic-like behaviour. The ripple modulation depth was in the order of a few tens of nm. For fluences between 1 and 2.5 J/cm 2, below the single-shot surface damage threshold and at a pulse width above 200 fs, microstructures could be produced at the rear side of a 1 mm thick sapphire substrate without affecting the front surface.

  15. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  16. Te Monolayer-Driven Spontaneous van der Waals Epitaxy of Two-dimensional Pnictogen Chalcogenide Film on Sapphire.

    PubMed

    Hwang, Jae-Yeol; Kim, Young-Min; Lee, Kyu Hyoung; Ohta, Hiromichi; Kim, Sung Wng

    2017-10-11

    Demands on high-quality layer structured two-dimensional (2D) thin films such as pnictogen chalcogenides and transition metal dichalcogenides are growing due to the findings of exotic physical properties and potentials for device applications. However, the difficulties in controlling epitaxial growth and the unclear understanding of van der Waals epitaxy (vdWE) for a 2D chalcogenide film on a three-dimensional (3D) substrate have been major obstacles for the further advances of 2D materials. Here, we exploit the spontaneous vdWE of a high-quality 2D chalcogenide (Bi 0.5 Sb 1.5 Te 3 ) film by the chalcogen-driven surface reconstruction of a conventional 3D sapphire substrate. It is verified that the in situ formation of a pseudomorphic Te atomic monolayer on the surface of sapphire, which results in a dangling bond-free surface, allows the spontaneous vdWE of 2D chalcogenide film. Since this route uses the natural surface reconstruction of sapphire with chalcogen under vacuum condition, it can be scalable and easily utilized for the developments of various 2D chalcogenide vdWE films through conventional thin-film fabrication technologies.

  17. Gate-controlled-diodes in silicon-on-sapphire: A computer simulation

    NASA Technical Reports Server (NTRS)

    Gassaway, J. D.

    1974-01-01

    The computer simulation of the electrical behavior of a Gate-Controlled Diode (GCD) fabricated in Silicon-On-Sapphire (SOS) was described. A procedure for determining lifetime profiles from capacitance and reverse current measurements on the GCD was established. Chapter 1 discusses the SOS structure and points out the need of lifetime profiles to assist in device design for GCD's and bipolar transistors. Chapter 2 presents the one-dimensional analytical formula for electrostatic analysis of the SOS-GCD which are useful for data interpretation and setting boundary conditions on a simplified two-dimensional analysis. Chapter 3 gives the results of a two-dimensional analysis which treats the field as one-dimensional until the silicon film is depleted and the field penetrates the sapphire substrate. Chapter 4 describes a more complete two-dimensional model and gives results of programs implementing the model.

  18. Direct patterning of negative nanostructures on self-assembled monolayers of 16-mercaptohexadecanoic acid on Au(111) substrate via dip-pen nanolithography

    NASA Astrophysics Data System (ADS)

    Zheng, Zhikun; Yang, Menglong; Liu, Yaqing; Zhang, Bailin

    2006-11-01

    Both bare and self-assembled monolayer (SAM) protected gold substrate could be etched by allyl bromide according to atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometric (ICPMS) analysis results. With this allyl bromide ink material, negative nanopatterns could be fabricated directly by dip-pen nanolithography (DPN) on SAMs of 16-mercaptohexadecanoic acid (MHA) on Au(111) substrate. A tip-promoted etching mechanism was proposed where the gold-reactive ink could penetrate the MHA resist film through tip-induced defects resulting in local corrosive removal of the gold substrate. The fabrication mechanism was also confirmed by electrochemical characterization, energy dispersive spectroscopy (EDS) analysis and fabrication of positive nanopatterns via a used DPN tip.

  19. Miniature Sapphire Acoustic Resonator - MSAR

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Tjoelker, Robert L.

    2011-01-01

    A room temperature sapphire acoustics resonator incorporated into an oscillator represents a possible opportunity to improve on quartz ultrastable oscillator (USO) performance, which has been a staple for NASA missions since the inception of spaceflight. Where quartz technology is very mature and shows a performance improvement of perhaps 1 dB/decade, these sapphire acoustic resonators when integrated with matured quartz electronics could achieve a frequency stability improvement of 10 dB or more. As quartz oscillators are an essential element of nearly all types of frequency standards and reference systems, the success of MSAR would advance the development of frequency standards and systems for both groundbased and flight-based projects. Current quartz oscillator technology is limited by quartz mechanical Q. With a possible improvement of more than x 10 Q with sapphire acoustic modes, the stability limit of current quartz oscillators may be improved tenfold, to 10(exp -14) at 1 second. The electromagnetic modes of sapphire that were previously developed at JPL require cryogenic temperatures to achieve the high Q levels needed to achieve this stability level. However sapphire fs acoustic modes, which have not been used before in a high-stability oscillator, indicate the required Q values (as high as Q = 10(exp 8)) may be achieved at room temperature in the kHz range. Even though sapphire is not piezoelectric, such a high Q should allow electrostatic excitation of the acoustic modes with a combination of DC and AC voltages across a small sapphire disk (approximately equal to l mm thick). The first evaluations under this task will test predictions of an estimated input impedance of 10 kilohms at Q = 10(exp 8), and explore the Q values that can be realized in a smaller resonator, which has not been previously tested for acoustic modes. This initial Q measurement and excitation demonstration can be viewed similar to a transducer converting electrical energy to

  20. Advances in sapphire optical fiber sensors

    NASA Technical Reports Server (NTRS)

    Wang, Anbo; Wang, George Z.; Gollapudi, Sridhar; May, Russell G.; Murphy, Kent A.; Claus, Richard O.

    1993-01-01

    We describe the development and testing of two sapphire fiber sensor designs intended for use in high temperature environments. The first is a birefringence-balanced polarimetric sapphire fiber sensor. In this sensor, two single crystal sapphire rods, acting as the birefringence sensing element, are connected to each other in such a way that the slow axis of the first rod is aligned along with the fast axis of the second rod, and the fast axis of the first rod is along the slow axis of the second rod. This sensor has been demonstrated for measurement of temperature up to 1500 C. The second is a sapphire-fiber-based intrinsic interferometric sensor. In this sensor, a length of uncoated, unclad, structural-graded multimode sapphire fiber is fusion spliced to a singlemode silica fiber to form a Fabry-Perot cavity. The reflections from the silica-to-sapphire fiber splice and the free endface of the sapphire fiber give rise to the interfering fringe output. This sensor has been demonstrated for the measurement of temperature above 1510 C, and a resolution of 0.1 C has been obtained.

  1. Photonics of 2D gold nanolayers on sapphire surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Nabatov, B. V.

    Gold layers with thicknesses of up to several nanometers, including ordered and disordered 2D nanostructures of gold particles, have been formed on sapphire substrates; their morphology is described; and optical investigations are carried out. The possibility of increasing the accuracy of predicting the optical properties of gold layers and 2D nanostructures using quantum-mechanical models based on functional density theory calculation techniques is considered. The application potential of the obtained materials in photonics is estimated.

  2. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  3. A conduction model for contacts to Si-doped AlGaN grown on sapphire and single-crystalline AlN

    NASA Astrophysics Data System (ADS)

    Haidet, Brian B.; Bryan, Isaac; Reddy, Pramod; Bryan, Zachary; Collazo, Ramón; Sitar, Zlatko

    2015-06-01

    Ohmic contacts to AlGaN grown on sapphire substrates have been previously demonstrated for various compositions of AlGaN, but contacts to AlGaN grown on native AlN substrates are more difficult to obtain. In this paper, a model is developed that describes current flow through contacts to Si-doped AlGaN. This model treats the current through reverse-biased Schottky barriers as a consequence of two different tunneling-dependent conduction mechanisms in parallel, i.e., Fowler-Nordheim emission and defect-assisted Frenkel-Poole emission. At low bias, the defect-assisted tunneling dominates, but as the potential across the depletion region increases, tunneling begins to occur without the assistance of defects, and the Fowler-Nordheim emission becomes the dominant conduction mechanism. Transfer length method measurements and temperature-dependent current-voltage (I-V) measurements of Ti/Al-based contacts to Si-doped AlGaN grown on sapphire and AlN substrates support this model. Defect-assisted tunneling plays a much larger role in the contacts to AlGaN on sapphire, resulting in nearly linear I-V characteristics. In contrast, contacts to AlGaN on AlN show limited defect-assisted tunneling appear to be only semi-Ohmic.

  4. Structural and optical properties of low temperature grown AlN films on sapphire using helicon sputtering system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Meei-Ru; Chen, Hou-Guang; Kao, Hui-Ling, E-mail: hlkao@cycu.edu.tw

    2015-05-15

    AlN thin films have been deposited directly on c-plane sapphire substrates at low temperatures by a helicon sputtering system. The structural quality of AlN epitaxial films was characterized by x-ray diffractometry and transmission electron microscopy. The films exhibit smooth surface with root-mean-square roughness as small as 0.7 nm evaluated by atomic force microscope. The optical transmittance spectra show a steep absorption edge at the wavelength of 200 nm and a high transmittance of over 80% in the visible range. The band-edge transition (6.30 eV) of AlN film was observed in the cathodoluminescence spectrum recorded at 11 K. The spectral response of metal–semiconductor–metal photodetectors constructedmore » with AlN/sapphire reveals the peak responsivity at 200 nm and a UV/visible rejection ratio of about two orders of magnitude. The results of this low temperature deposition suggest the feasibility of the epitaxial growth of AlN on sapphire substrates and the incorporation of the AlN films in the surface acoustic wave devices and the optical devices at deep ultraviolet region.« less

  5. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  6. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Noorprajuda, Marsetio; Ohtsuka, Makoto; Fukuyama, Hiroyuki

    2018-04-01

    The effect of oxygen partial pressure (PO2) on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC) reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (-c)-polarity to aluminum (+c)-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002) and (10-12) X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  7. Bonding of sapphire to sapphire by eutectic mixture of aluminum oxide and zirconium oxide

    NASA Technical Reports Server (NTRS)

    Deluca, J. J. (Inventor)

    1975-01-01

    Bonding of an element comprising sapphire, ruby or blue sapphire to another element of such material with a eutectic mixture of aluminum oxide and zirconium oxide is discussed. The bonding mixture may be applied in the form of a distilled water slurry or by electron beam vapor deposition. In one embodiment the eutectic is formed in situ by applying a layer of zirconium oxide and then heating the assembly to a temperature above the eutectic temperature and below the melting point of the material from which the elements are formed. The formation of a sapphire rubidium maser cell utilizing eutectic bonding is shown.

  8. Formation of surface nanobubbles on nanostructured substrates.

    PubMed

    Wang, Lei; Wang, Xingya; Wang, Liansheng; Hu, Jun; Wang, Chun Lei; Zhao, Binyu; Zhang, Xuehua; Tai, Renzhong; He, Mengdong; Chen, Liqun; Zhang, Lijuan

    2017-01-19

    The nucleation and stability of nanoscale gas bubbles located at a solid/liquid interface are attracting significant research interest. It is known that the physical and chemical properties of the solid surface are crucial for the formation and properties of the surface nanobubbles. Herein, we experimentally and numerically investigated the formation of nanobubbles on nanostructured substrates. Two kinds of nanopatterned surfaces, namely, nanotrenches and nanopores, were fabricated using an electron beam lithography technique and used as substrates for the formation of nanobubbles. Atomic force microscopy images showed that all nanobubbles were selectively located on the hydrophobic domains but not on the hydrophilic domains. The sizes and contact angles of the nanobubbles became smaller with a decrease in the size of the hydrophobic domains. The results indicated that the formation and stability of the nanobubbles could be controlled by regulating the sizes and periods of confinement of the hydrophobic nanopatterns. The experimental results were also supported by molecular dynamics simulations. The present study will be very helpful for understanding the effects of surface features on the nucleation and stability of nanobubbles/nanodroplets at a solid/liquid interface.

  9. Temperature dependence of Ti:Sapphire fluorescence spectra for the design of cryogenic cooled Ti:Sapphire CPA laser.

    PubMed

    Burton, Harry; Debardelaben, Christopher; Amir, Wafa; Planchon, Thomas A

    2017-03-20

    The fluorescence spectra of titanium doped sapphire (Ti:Sapphire) crystals were measured for temperature ranging from 300K to 77K. The resulting gain cross-section line shapes were calculated and used in a three-dimensional amplification model to illustrate the importance of the precise knowledge of these fluorescence spectra for the design of cryogenic cooled Ti:Sapphire based chirped-pulse laser amplifiers.

  10. Morphological stability of sapphire crystallization front

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baranov, V. V., E-mail: baranov.isc@gmail.com; Nizhankovskyi, S. V.

    2016-03-15

    The main factors and specificity of growth conditions for sapphire and Ti:sapphire crystals, which affect the morphological stability of the crystal–melt interface, have been investigated with allowance for the concentration and radiative melt supercooling. It is shown that the critical sapphire growth rate is determined to a great extent by the optical transparency of the melt and the mixing conditions near the crystallization front.

  11. A Highly Efficient Sensor Platform Using Simply Manufactured Nanodot Patterned Substrates

    PubMed Central

    Rasappa, Sozaraj; Ghoshal, Tandra; Borah, Dipu; Senthamaraikannan, Ramsankar; Holmes, Justin D.; Morris, Michael A.

    2015-01-01

    Block copolymer (BCP) self-assembly is a low-cost means to nanopattern surfaces. Here, we use these nanopatterns to directly print arrays of nanodots onto a conducting substrate (Indium Tin Oxide (ITO) coated glass) for application as an electrochemical sensor for ethanol (EtOH) and hydrogen peroxide (H2O2) detection. The work demonstrates that BCP systems can be used as a highly efficient, flexible methodology for creating functional surfaces of materials. Highly dense iron oxide nanodots arrays that mimicked the original BCP pattern were prepared by an ‘insitu’ BCP inclusion methodology using poly(styrene)-block-poly(ethylene oxide) (PS-b-PEO). The electrochemical behaviour of these densely packed arrays of iron oxide nanodots fabricated by two different molecular weight PS-b-PEO systems was studied. The dual detection of EtOH and H2O2 was clearly observed. The as-prepared nanodots have good long term thermal and chemical stability at the substrate and demonstrate promising electrocatalytic performance. PMID:26290188

  12. Melt inclusions in alluvial sapphires from Montana, USA: Formation of sapphires as a restitic component of lower crustal melting?

    NASA Astrophysics Data System (ADS)

    Palke, Aaron C.; Renfro, Nathan D.; Berg, Richard B.

    2017-05-01

    We report here compositions of glassy melt inclusions hosted in sapphires (gem quality corundum) from three alluvial deposits in Montana, USA including the Rock Creek, Dry Cottonwood Creek, and Missouri River deposits. While it is likely that sapphires in these deposits were transported to the surface by Eocene age volcanic events, their ultimate origin is still controversial with many models suggesting the sapphires are xenocrysts with a metamorphic or metasomatic genesis. Melt inclusions are trachytic, dacitic, and rhyolitic in composition. Microscopic observations allow separation between primary and secondary melt inclusions. The primary melt inclusions represent the silicate liquid that was present at the time of sapphire formation and are enriched in volatile components (8-14 wt.%). Secondary melt inclusions analyzed here for Dry Cottonwood Creek and Rock Creek sapphires are relatively volatile depleted and represent the magma that carried the sapphires to the surface. We propose that alluvial Montana sapphires from these deposits formed through a peritectic melting reaction during partial melting of a hydrated plagioclase-rich protolith (e.g. an anorthosite). The heat needed to drive this reaction was likely derived from the intrusion of mantle-derived mafic magmas near the base of the continental lithosphere during rollback of the Farallon slab around 50 Ma. These mafic magmas may have ended up as the ultimate carrier of the sapphires to the surface as evidenced by the French Bar trachybasalt near the Missouri River deposit. Alternatively, the trachytic, rhyolitic, and dacitic secondary melt inclusions at Rock Creek and Dry Cottonwood Creek suggests that the same magmas produced during the partial melting event that generated the sapphires may have also transported them to the surface. Determining the genesis of these deposits will further our understanding of sapphire deposits around the world and may help guide future sapphire prospecting techniques. This

  13. Ultra-high-frequency microwave response from flexible transparent Au electromagnetic metamaterial nanopatterned antenna.

    PubMed

    Liu, Dingxin; Niu, Jiebin; Zhu, Haolin; Zhang, Jianyong

    2018-02-09

    Flexible transparent materials are a hot spot in current research but also a key technical difficulty in industry. They are playing an increasingly important role in flexible transparent display applications such as organic light-emitting diodes, transparent electrodes, and so on. On the other hand, the present research on nanopatterned antennas is mainly concentrated on the optical frequency but rarely on the microwave (such as 3G, 4G, and 5G) and terahertz frequency band communications, where nanopatterned antennas can have many novel applications. To the authors' knowledge, this is the first paper that presents a method for preparing a flexible transparent Au electromagnetic metamaterial nanopatterned antenna. We study its free-space performance at ultra-high frequency and its application in electronic products such as smartphones, tablets, personal computers, and wearable devices (such as smart watches) which have the function of mobile communication. The experimental results showed that the transparency of the antenna designed and fabricated in this work can be as high as 94%, and its efficiency can reach 74.5%-91.9% of antennas commonly seen at present in academia and industry. By adjusting the capacitive and inductive reactance of the nanopatterned antenna's matching circuit, combined with its measured efficiency and 3D electromagnetic simulation results, we speculate on the mechanism of the Au electromagnetic metamaterial nanopatterned antenna with good performance.

  14. Ultra-high-frequency microwave response from flexible transparent Au electromagnetic metamaterial nanopatterned antenna

    NASA Astrophysics Data System (ADS)

    Liu, Dingxin; Niu, Jiebin; Zhu, Haolin; Zhang, Jianyong

    2018-02-01

    Flexible transparent materials are a hot spot in current research but also a key technical difficulty in industry. They are playing an increasingly important role in flexible transparent display applications such as organic light-emitting diodes, transparent electrodes, and so on. On the other hand, the present research on nanopatterned antennas is mainly concentrated on the optical frequency but rarely on the microwave (such as 3G, 4G, and 5G) and terahertz frequency band communications, where nanopatterned antennas can have many novel applications. To the authors’ knowledge, this is the first paper that presents a method for preparing a flexible transparent Au electromagnetic metamaterial nanopatterned antenna. We study its free-space performance at ultra-high frequency and its application in electronic products such as smartphones, tablets, personal computers, and wearable devices (such as smart watches) which have the function of mobile communication. The experimental results showed that the transparency of the antenna designed and fabricated in this work can be as high as 94%, and its efficiency can reach 74.5%-91.9% of antennas commonly seen at present in academia and industry. By adjusting the capacitive and inductive reactance of the nanopatterned antenna’s matching circuit, combined with its measured efficiency and 3D electromagnetic simulation results, we speculate on the mechanism of the Au electromagnetic metamaterial nanopatterned antenna with good performance.

  15. Nanopatterning of swinging substrates by ion-beam sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoon, Sun Mi; Kim, J.-S., E-mail: jskim@sm.ac.kr

    Graphite substrates are azimuthally swung during ion-beam sputtering (IBS) at a polar angle θ = 78° from the surface normal. The swinging of the substrate not only causes quasi-two-dimensional mass transport but also makes various sputter effects from the different incident angles to work together. Through variation of the swing angle, both the transport and sputtering effects synergistically produce a series of salient patterns, such as asymmetric wall-like structures, which can grow to several tens of nanometers and exhibit a re-entrant orientational change with the increased swing angle. Thus, the present work demonstrates that dynamic variables such as the swing angle, whichmore » have been little utilized, offer an additional parameter space that can be exploited to diversify the sputtered patterns, thereby expanding the applicability of an IBS as well as the comprehension of the IBS nano patterning mechanism.« less

  16. Preparation of a Non-Polar ZnO Film on a Single-Crystal NdGaO3 Substrate by the RF Sputtering Method

    NASA Astrophysics Data System (ADS)

    Kashiwaba, Y.; Tanaka, Y.; Sakuma, M.; Abe, T.; Imai, Y.; Kawasaki, K.; Nakagawa, A.; Niikura, I.; Kashiwaba, Y.; Osada, H.

    2018-04-01

    Preparation of non-polar ZnO ( 11\\overline{2} 0 ) films on single-crystal NdGaO3 (NGO) (001) substrates was successfully achieved by the radio frequency (RF) sputtering method. Orientation, deposition rate, and surface roughness of ZnO films strongly depend on the working pressure. Characteristics of ZnO films deposited on single-crystal NGO (001) substrates were compared with those of ZnO films deposited on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. An x-ray diffraction peak of the ZnO ( 11\\overline{2} 0 ) plane was observed on ZnO films deposited on single-crystal NGO (001) substrates under working pressure of less than 0.5 Pa. On the other hand, uniaxially oriented ZnO ( 11\\overline{2} 0 ) films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates were observed under working pressure of 0.1 Pa. The mechanism by which the diffraction angle of the ZnO ( 11\\overline{2} 0 ) plane on single-crystal NGO (001) substrates was shifted is discussed on the basis of anisotropic stress of lattice mismatch. The deposition rate of ZnO films decreased with an increase in working pressure, and the deposition rate on single-crystal NGO (001) substrates was larger than that on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. Root mean square (RMS) roughness of ZnO films increased with an increase in working pressure, and RMS roughness of ZnO films on single-crystal NGO (001) substrates was smaller than that of ZnO films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates even though the film thickness on single-crystal NGO (001) substrates was greater than that on sapphire substrates. It is thought that a single-crystal NGO (001) substrate is useful for deposition of non-polar ZnO ( 11\\overline{2} 0 ) films.

  17. Direct nano-patterning of graphene with helium ion beams

    NASA Astrophysics Data System (ADS)

    Naitou, Y.; Iijima, T.; Ogawa, S.

    2015-01-01

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO2/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He+). Doses of 2.0 × 1016 He+ cm-2 from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He+ in a non-monotonic fashion. Increasing the dose from 2.0 × 1016 to 5.0 × 1016 He+ cm-2 improved the spatial resolution to several tens of nanometers. However, doses greater than 1.0 × 1017 He+ cm-2 degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.

  18. Enhanced Light Extraction from OLEDs Fabricated on Patterned Plastic Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hippola, Chamika; Kaudal, Rajiv; Manna, Eeshita

    A key scientific and technological challenge in organic light-emitting diodes (OLEDs) is enhancing the light outcoupling factor η out, which is typically <20%. This paper reports experimental and modeling results of a promising approach to strongly increase η out by fabricating OLEDs on novel flexible nanopatterned substrates that result in a >2× enhancement in green phosphorescent OLEDs (PhOLEDs) fabricated on corrugated polycarbonate (PC). The external quantum efficiency (EQE) reaches 50% (meaning ηout ≥50%); it increases 2.6x relative to a glass/ITO device and 2× relative to devices on glass/poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) or flat PC/PEDOT:PSS. A significant enhancement is also observed formore » blue PhOLEDs with EQE 1.7× relative to flat PC. The corrugated PC substrates are fabricated efficiently and cost-effectively by direct room-temperature molding. These substrates successfully reduce photon losses due to trapping/waveguiding in the organic+anode layers and possibly substrate, and losses to plasmons at the metal cathode. Focused ion beam gauged the conformality of the OLEDs. Dome-shaped convex nanopatterns with height of ~280–400 nm and pitch ~750–800 nm were found to be optimal. Lastly, substrate design and layer thickness simulations, reported first for patterned devices, agree with the experimental results that present a promising method to mitigate photon loss paths in OLEDs.« less

  19. Enhanced Light Extraction from OLEDs Fabricated on Patterned Plastic Substrates

    DOE PAGES

    Hippola, Chamika; Kaudal, Rajiv; Manna, Eeshita; ...

    2018-02-19

    A key scientific and technological challenge in organic light-emitting diodes (OLEDs) is enhancing the light outcoupling factor η out, which is typically <20%. This paper reports experimental and modeling results of a promising approach to strongly increase η out by fabricating OLEDs on novel flexible nanopatterned substrates that result in a >2× enhancement in green phosphorescent OLEDs (PhOLEDs) fabricated on corrugated polycarbonate (PC). The external quantum efficiency (EQE) reaches 50% (meaning ηout ≥50%); it increases 2.6x relative to a glass/ITO device and 2× relative to devices on glass/poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) or flat PC/PEDOT:PSS. A significant enhancement is also observed formore » blue PhOLEDs with EQE 1.7× relative to flat PC. The corrugated PC substrates are fabricated efficiently and cost-effectively by direct room-temperature molding. These substrates successfully reduce photon losses due to trapping/waveguiding in the organic+anode layers and possibly substrate, and losses to plasmons at the metal cathode. Focused ion beam gauged the conformality of the OLEDs. Dome-shaped convex nanopatterns with height of ~280–400 nm and pitch ~750–800 nm were found to be optimal. Lastly, substrate design and layer thickness simulations, reported first for patterned devices, agree with the experimental results that present a promising method to mitigate photon loss paths in OLEDs.« less

  20. Synchronized femtosecond laser pulse switching system based nano-patterning technology

    NASA Astrophysics Data System (ADS)

    Sohn, Ik-Bu; Choi, Hun-Kook; Yoo, Dongyoon; Noh, Young-Chul; Sung, Jae-Hee; Lee, Seong-Ku; Ahsan, Md. Shamim; Lee, Ho

    2017-07-01

    This paper demonstrates the design and development of a synchronized femtosecond laser pulse switching system and its applications in nano-patterning of transparent materials. Due to synchronization, we are able to control the location of each irradiated laser pulse in any kind of substrate. The control over the scanning speed and scanning step of the laser beam enables us to pattern periodic micro/nano-metric holes, voids, and/or lines in various materials. Using the synchronized laser system, we pattern synchronized nano-holes on the surface of and inside various transparent materials including fused silica glass and polymethyl methacrylate to replicate any image or pattern on the surface of or inside (transparent) materials. We also investigate the application areas of the proposed synchronized femtosecond laser pulse switching system in a diverse field of science and technology, especially in optical memory, color marking, and synchronized micro/nano-scale patterning of materials.

  1. Nanopatterning of steel by one-step anodization for anti-adhesion of bacteria.

    PubMed

    Chen, Shiqiang; Li, Yuan; Cheng, Y Frank

    2017-07-13

    Surface nanopatterning of metals has been an effective technique for improved performance and functionalization. However, it is of great challenge to fabricate nanostructure on carbon steels despite their extensive use and urgent needs to maintain the performance reliability and durability. Here, we report a one-step anodization technique to nanopattern a carbon steel in 50 wt.% NaOH solution for highly effective anti-adhesion by sulphate reducing bacteria (SRB), i.e., Desulfovibrio desulfuricans subsp. desulfuricans (Beijerinck) Kluyver and van Niel. We characterize the morphology, structure, composition, and surface roughness of the nanostructured film formed on the steel as a function of anodizing potential. We quantify the surface hydrophobicity by contact angle measurements, and the SRB adhesion by fluorescent analysis. The optimal anodization potential of 2.0 V is determined for the best performance of anti-adhesion of SRB to the steel, resulting in a 23.5 times of reduction of SRB adhesion compared to bare steel. We discuss the mechanisms for the film formation on the steel during anodization, and the high-performance anti-adhesion of bacteria to nanopatterned steels. Our technique is simple, cost-effective and environment-friendly, providing a promising alternative for industry-scale surface nanopatterning of carbon steels for effective controlling of bacterial adhesion.

  2. Petrogenesis of alkaline basalt-hosted sapphire megacrysts. Petrological and geochemical investigations of in situ sapphire occurrences from the Siebengebirge Volcanic Field, Germany

    NASA Astrophysics Data System (ADS)

    Baldwin, L. C.; Tomaschek, F.; Ballhaus, C.; Gerdes, A.; Fonseca, R. O. C.; Wirth, R.; Geisler, T.; Nagel, T.

    2017-06-01

    Megacrystic sapphires are frequently associated with alkaline basalts, most notably in Asia and Australia, although basalt is not generally normative in corundum. Most of these sapphire occurrences are located in alluvial or eluvial deposits, making it difficult to study the enigmatic relationship between the sapphires and their host rocks. Here, we present detailed petrological and geochemical investigations of in situ megacrystic sapphires within alkaline basalts from the Cenozoic Siebengebirge Volcanic Field (SVF) in Germany. Markedly, the sapphires show several micrometer thick spinel coronas at the contact with the host basalt, indicating chemical disequilibrium between the sapphire and the basaltic melt, supporting a xenogenetic relationship. However, in situ U-Pb dating of a Columbite Group inclusion within one Siebengebirge sapphire using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) indicates a close genetic relationship between sapphire crystallization and alkaline mafic volcanism in the SVF. The syngenetic mineral inclusion suite including carbonates, members of the Pyrochlore, Betafite and Columbite Groupe minerals, as well as a high abundance of HFSE and of gaseous low-density CO2 inclusions support a parentage of a highly evolved, MgO and FeO deficient carbonatitic melt. We identified CO2 to be the link between alkaline basaltic volcanism and the xenocrystic sapphires. Only alkaline volcanic suites can build up enough CO2 in this magma chamber upon fractionation so that at high degrees of fractionation a carbonatitic melt exsolves which in turn can crystallize sapphires.

  3. Ti : sapphire laser synchronised with femtosecond Yb pump laser via nonlinear pulse coupling in Ti : sapphire active medium

    NASA Astrophysics Data System (ADS)

    Didenko, N. V.; Konyashchenko, A. V.; Konyashchenko, D. A.; Kostryukov, P. V.; Kuritsyn, I. I.; Lutsenko, A. P.; Mavritskiy, A. O.

    2017-02-01

    A laser system utilising the method of synchronous pumping of a Ti : sapphire laser by a high-power femtosecond Yb3+-doped laser is described. The pulse repetition rate of the Ti : sapphire laser is successfully locked to the repetition rate of the Yb laser for more than 6 hours without the use of any additional electronics. The measured timing jitter is shown to be less than 1 fs. A simple qualitative model addressing the synchronisation mechanism utilising the cross-phase modulation of oscillation and pump pulses within a Ti : sapphire active medium is proposed. Output parameters of the Ti : sapphire laser as functions of its cavity length are discussed in terms of this model.

  4. Natural substrate lift-off technique for vertical light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Lee, Chia-Yu; Lan, Yu-Pin; Tu, Po-Min; Hsu, Shih-Chieh; Lin, Chien-Chung; Kuo, Hao-Chung; Chi, Gou-Chung; Chang, Chun-Yen

    2014-04-01

    Hexagonal inverted pyramid (HIP) structures and the natural substrate lift-off (NSLO) technique were demonstrated on a GaN-based vertical light-emitting diode (VLED). The HIP structures were formed at the interface between GaN and the sapphire substrate by molten KOH wet etching. The threading dislocation density (TDD) estimated by transmission electron microscopy (TEM) was reduced to 1 × 108 cm-2. Raman spectroscopy indicated that the compressive strain from the bottom GaN/sapphire was effectively released through the HIP structure. With the adoption of the HIP structure and NSLO, the light output power and yield performance of leakage current could be further improved.

  5. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  6. Three dimensional characterization of GaN-based light emitting diode grown on patterned sapphire substrate by confocal Raman and photoluminescence spectromicroscopy.

    PubMed

    Li, Heng; Cheng, Hui-Yu; Chen, Wei-Liang; Huang, Yi-Hsin; Li, Chi-Kang; Chang, Chiao-Yun; Wu, Yuh-Renn; Lu, Tien-Chang; Chang, Yu-Ming

    2017-03-30

    We performed depth-resolved PL and Raman spectral mappings of a GaN-based LED structure grown on a patterned sapphire substrate (PSS). Our results showed that the Raman mapping in the PSS-GaN heterointerface and the PL mapping in the In x Ga 1-x N/GaN MQWs active layer are spatially correlated. Based on the 3D construction of E 2 (high) Raman peak intensity and frequency shift, V-shaped pits in the MQWs can be traced down to the dislocations originated in the cone tip area of PSS. Detail analysis of the PL peak distribution further revealed that the indium composition in the MQWs is related to the residual strain propagating from the PSS-GaN heterointerface toward the LED surface. Numerical simulation based on the indium composition distribution also led to a radiative recombination rate distribution that shows agreement with the experimental PL intensity distribution in the In x Ga 1-x N/GaN MQWs active layer.

  7. Three dimensional characterization of GaN-based light emitting diode grown on patterned sapphire substrate by confocal Raman and photoluminescence spectromicroscopy

    PubMed Central

    Li, Heng; Cheng, Hui-Yu; Chen, Wei-Liang; Huang, Yi-Hsin; Li, Chi-Kang; Chang, Chiao-Yun; Wu, Yuh-Renn; Lu, Tien-Chang; Chang, Yu-Ming

    2017-01-01

    We performed depth-resolved PL and Raman spectral mappings of a GaN-based LED structure grown on a patterned sapphire substrate (PSS). Our results showed that the Raman mapping in the PSS-GaN heterointerface and the PL mapping in the InxGa1−xN/GaN MQWs active layer are spatially correlated. Based on the 3D construction of E2(high) Raman peak intensity and frequency shift, V-shaped pits in the MQWs can be traced down to the dislocations originated in the cone tip area of PSS. Detail analysis of the PL peak distribution further revealed that the indium composition in the MQWs is related to the residual strain propagating from the PSS-GaN heterointerface toward the LED surface. Numerical simulation based on the indium composition distribution also led to a radiative recombination rate distribution that shows agreement with the experimental PL intensity distribution in the InxGa1−xN/GaN MQWs active layer. PMID:28358119

  8. GaN-based light-emitting diodes on various substrates: a critical review.

    PubMed

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  9. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  10. Neutron Transmission of Single-crystal Sapphire Filters

    NASA Astrophysics Data System (ADS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-05-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum cystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons.

  11. Leveraging Python Interoperability Tools to Improve Sapphire's Usability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gezahegne, A; Love, N S

    2007-12-10

    The Sapphire project at the Center for Applied Scientific Computing (CASC) develops and applies an extensive set of data mining algorithms for the analysis of large data sets. Sapphire's algorithms are currently available as a set of C++ libraries. However many users prefer higher level scripting languages such as Python for their ease of use and flexibility. In this report, we evaluate four interoperability tools for the purpose of wrapping Sapphire's core functionality with Python. Exposing Sapphire's functionality through a Python interface would increase its usability and connect its algorithms to existing Python tools.

  12. Surface-mount sapphire interferometric temperature sensor.

    PubMed

    Zhu, Yizheng; Wang, Anbo

    2006-08-20

    A fiber-optic high-temperature sensor is demonstrated by bonding a 45 degrees -polished single-crystal sapphire fiber on the surface of a sapphire wafer, whose optical thickness is temperature dependent and measured by white-light interferometry. A novel adhesive-free coupling between the silica and sapphire fibers is achieved by fusion splicing, and its performance is characterized. The sensor's interference signal is investigated for its dependence on angular alignment between the fiber and the wafer. A prototype sensor is tested to 1,170 degrees C with a resolution of 0.4 degrees C, demonstrating excellent potential for high-temperature measurement.

  13. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  14. Mode-converting coupler for silicon-on-sapphire devices

    NASA Astrophysics Data System (ADS)

    Zlatanovic, S.; Offord, B. W.; Owen, M.; Shimabukuro, R.; Jacobs, E. W.

    2015-02-01

    Silicon-on-sapphire devices are attractive for the mid-infrared optical applications up to 5 microns due to the low loss of both silicon and sapphire in this wavelength band. Designing efficient couplers for silicon-on-sapphire devices presents a challenge due to a highly confined mode in silicon and large values of refractive index of both silicon and sapphire. Here, we present design, fabrication, and measurements of a mode-converting coupler for silicon-on-sapphire waveguides. We utilize a mode converter layout that consists of a large waveguide that is overlays a silicon inverse tapered waveguide. While this geometry was previously utilized for silicon-on-oxide devices, the novelty is in using materials that are compatible with the silicon-on-sapphire platform. In the current coupler the overlaying waveguide is made of silicon nitride. Silicon nitride is the material of choice because of the large index of refraction and low absorption from near-infrared to mid-infrared. The couplers were fabricated using a 0.25 micron silicon-on-sapphire process. The measured coupling loss from tapered lensed silica fibers to the silicon was 4.8dB/coupler. We will describe some challenges in fabrication process and discuss ways to overcome them.

  15. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  16. Resonance-Based Detection of Magnetic Nanoparticles and Microbeads Using Nanopatterned Ferromagnets

    NASA Astrophysics Data System (ADS)

    Sushruth, Manu; Ding, Junjia; Duczynski, Jeremy; Woodward, Robert C.; Begley, Ryan A.; Fangohr, Hans; Fuller, Rebecca O.; Adeyeye, Adekunle O.; Kostylev, Mikhail; Metaxas, Peter J.

    2016-10-01

    Biosensing with ferromagnet-based magnetoresistive devices has been dominated by electrical detection of particle-induced changes to a device's (quasi-)static magnetic configuration. There are however potential advantages to be gained from using field dependent, high frequency resonant magnetization dynamics for magnetic particle detection. Here, we demonstrate the use of nanoconfined ferromagnetic resonances in periodically nanopatterned magnetic films for the detection of adsorbed magnetic particles having diameters ranging from 6 nm to 4 μ m . The nanopatterned films contain arrays of holes which appear to act as preferential adsorption sites for small particles. Hole-localized particles act in unison to shift the frequencies of the patterned layer's ferromagnetic-resonance modes, with shift polarities determined by the localization of each mode within the nanopattern's repeating unit cell. The same polarity shifts are observed for a large range of coverages, even when quasicontinuous particle sheets form above the hole-localized particles. For large particles, preferential adsorption no longer occurs, leading to resonance shifts with polarities that are independent of the mode localization, and amplitudes that are comparable to those seen in continuous layers. Indeed, for nanoparticles adsorbed onto a continuous layer, the particle-induced shift of the layer's fundamental mode is up to 10 times less than that observed for nanoconfined modes in the nanopatterned systems, the low shift being induced by relatively weak fields emanating beyond the particle in the direction of the static applied field. This result highlights the importance of having particles consistently positioned in the close vicinity of confined modes.

  17. Fabrication of Ordered Nanopattern by using ABC Triblock Copolymer with Salt in Toluene.

    PubMed

    Huang, Hailiang; Zhong, Benbin; Zu, Xihong; Luo, Hongsheng; Lin, Wenjing; Zhang, Minghai; Zhong, Yazhou; Yi, Guobin

    2017-08-15

    Ordered nanopatterns of triblock copolymer polystyrene-block-poly(2-vinylpyridine)-block- poly (ethylene oxide)(PS-b-P2VP-b-PEO) have been achieved by the addition of lithium chloride (LiCl). The morphological and structural evolution of PS-b-P2VP-b-PEO/LiCl thin films were systematically investigated by varying different experimental parameters, including the treatment for polymer solution after the addition of LiCl, the time scale of ultrasonic treatment and the molar ratio of Li + ions to the total number of oxygen atoms (O) in PEO block and the nitrogen atoms (N) in P2VP block. When toluene was used as the solvent for LiCl, ordered nanopattern with cylinders or nanostripes could be obtained after spin-coating. The mechanism of nanopattern transformation was related to the loading of LiCl in different microdomains.

  18. Optical properties of InGaN grown by MOCVD on sapphire and on bulk GaN

    NASA Astrophysics Data System (ADS)

    Osinski, Marek; Eliseev, Petr G.; Lee, Jinhyun; Smagley, Vladimir A.; Sugahara, Tamoya; Sakai, Shiro

    1999-11-01

    Experimental data on photoluminescence of various bulk and quantum-well epitaxial InGaN/GaN structures grown by MOCVD are interpreted in terms of a band-tail model of inhomogeneously broadened radiative recombination. The anomalous temperature-induced blue spectral is shown to result from band-tail recombination under non-degenerate conditions. Significant differences are observed between epilayers grown on sapphire substrates and on GaN substrates prepared by the sublimination method, with no apparent evidence of band tails in homoepitaxial structures, indicating their higher crystalline quality.

  19. Fabrication of Semiordered Nanopatterned Diamond-like Carbon and Titania Films for Blood Contacting Applications.

    PubMed

    Nandakumar, Deepika; Bendavid, Avi; Martin, Philip J; Harris, Kenneth D; Ruys, Andrew J; Lord, Megan S

    2016-03-23

    Biomaterials with the ability to interface with, but not activate, blood components are essential for a multitude of medical devices. Diamond-like carbon (DLC) and titania (TiO2) have shown promise for these applications; however, both support platelet adhesion and activation. This study explored the fabrication of nanostructured DLC and TiO2 thin film coatings using a block copolymer deposition technique that produced semiordered nanopatterns with low surface roughness (5-8 nm Rrms). These surfaces supported fibrinogen and plasma protein adsorption that predominantly adsorbed between the nanofeatures and reduced the overall surface roughness. The conformation of the adsorbed fibrinogen was altered on the nanopatterned surfaces as compared with the planar surfaces to reveal higher levels of the platelet binding region. Planar DLC and TiO2 coatings supported less platelet adhesion than nanopatterned DLC and TiO2. However, platelets on the nanopatterned DLC coatings were less spread indicating a lower level of platelet activation on the nanostructured DLC coatings compared with the planar DLC coatings. These data indicated that nanostructured DLC coatings may find application in blood contacting medical devices in the future.

  20. Effect of the substrate on the insulator-metal transition of vanadium dioxide films

    NASA Astrophysics Data System (ADS)

    Kovács, György J.; Bürger, Danilo; Skorupa, Ilona; Reuther, Helfried; Heller, René; Schmidt, Heidemarie

    2011-03-01

    Single-phase vanadium dioxide films grown on (0001) sapphire and (001) silicon substrates show a very different insulator-metal electronic transition. A detailed description of the growth mechanisms and the substrate-film interaction is given, and the characteristics of the electronic transition are described by the morphology and grain boundary structure. (Tri-)epitaxy-stabilized columnar growth of VO2 takes place on the sapphire substrate, whereas on silicon the expected Zone II growth is identified. We have found that in the case of the Si substrate the reasons for the broader hysteresis and the lower switching amplitude are the formation of an amorphous insulating VOx (x > 2.6) phase coexisting with VO2 and the high vanadium vacancy concentration of the VO2. These phenomena are the result of the excess oxygen during the growth and the interaction between the silicon substrate and the growing film.

  1. Phase formation and strain relaxation of Ga2O3 on c-plane and a-plane sapphire substrates as studied by synchrotron-based x-ray diffraction

    NASA Astrophysics Data System (ADS)

    Cheng, Zongzhe; Hanke, Michael; Vogt, Patrick; Bierwagen, Oliver; Trampert, Achim

    2017-10-01

    Heteroepitaxial Ga2O3 was deposited on c-plane and a-plane oriented sapphire by plasma-assisted molecular beam epitaxy and probed by ex-situ and in-situ synchrotron-based x-ray diffraction. The investigation on c-plane sapphire determined a critical thickness of around 33 Å, at which the monoclinic β-phase forms on top of the hexagonal α-phase. A 143 Å thick single phase α-Ga2O3 was observed on a-plane sapphire, much thicker than the α-Ga2O3 on c-plane sapphire. The α-Ga2O3 relaxed very fast in the first 30 Å in both out-of-plane and in-plane directions as measured by the in-situ study.

  2. Effects of post exposure bake temperature and exposure time on SU-8 nanopattern obtained by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Yasui, Manabu; Kazawa, Elito; Kaneko, Satoru; Takahashi, Ryo; Kurouchi, Masahito; Ozawa, Takeshi; Arai, Masahiro

    2014-11-01

    SU-8 is a photoresist imaged using UV rays. However, we investigated the characteristics of an SU-8 nanopattern obtained by electron beam lithography (EBL). In particular, we studied the relationship between post-exposure bake (PEB) temperature and exposure time on an SU-8 nanopattern with a focus on phase transition temperature. SU-8 residue was formed by increasing both PEB temperature and exposure time. To prevent the formation of this, Monte Carlo simulation was performed; the results of such simulation showed that decreasing the thickness of SU-8 can reduce the amount of residue from the SU-8 nanopattern. We confirmed that decreasing the thickness of SU-8 can also prevent the formation of residue from the SU-8 nanopattern with EBL.

  3. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    NASA Astrophysics Data System (ADS)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  4. Nanostructured superhydrophobic substrates trigger the development of 3D neuronal networks.

    PubMed

    Limongi, Tania; Cesca, Fabrizia; Gentile, Francesco; Marotta, Roberto; Ruffilli, Roberta; Barberis, Andrea; Dal Maschio, Marco; Petrini, Enrica Maria; Santoriello, Stefania; Benfenati, Fabio; Di Fabrizio, Enzo

    2013-02-11

    The generation of 3D networks of primary neurons is a big challenge in neuroscience. Here, a novel method is presented for a 3D neuronal culture on superhydrophobic (SH) substrates. How nano-patterned SH devices stimulate neurons to build 3D networks is investigated. Scanning electron microscopy and confocal imaging show that soon after plating neurites adhere to the nanopatterned pillar sidewalls and they are subsequently pulled between pillars in a suspended position. These neurons display an enhanced survival rate compared to standard cultures and develop mature networks with physiological excitability. These findings underline the importance of using nanostructured SH surfaces for directing 3D neuronal growth, as well as for the design of biomaterials for neuronal regeneration. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Spontaneous wrinkling in azlactone-based functional polymer thin films in 2D and 3D geometries for guided nanopatterning

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramanathan, Muruganathan; Lokitz, Bradley S.; Messman, Jamie M.

    We report a simple, one step process for developing wrinkling patterns in azlactone-based polymer thin films and brushes in 2D and 3D surfaces. The polymer used in this work wrinkles spontaneously upon deposition and solidification on a substrate without applying any external strain to the substrate, with the mode of deposition defining the direction of the wrinkles. Wrinkle formation is shown to occur on a variety of substrates over large areas. We also find that a very thin brush-like layer of an azlactone-containing block copolymer also exhibits wrinkled topology. Given the spontaneity and versatility of wrinkle formation, we further demonstratemore » two proofs-of-concept, i) that these periodic wrinkled structures are not limited to planar surfaces, but are also developed in complex geometries including tubes, cones and other 3D structures; and ii) that this one-step wrinkling process can be used to guide the deposition of metal nanoparticles and quantum dots, creating a periodic, nanopatterned film.« less

  6. Crystal front shape control by use of an additional heater in a Czochralski sapphire single crystal growth system

    NASA Astrophysics Data System (ADS)

    Hur, Min-Jae; Han, Xue-Feng; Choi, Ho-Gil; Yi, Kyung-Woo

    2017-09-01

    The quality of sapphire single crystals used as substrates for LED production is largely influenced by two defects: dislocation density and bubbles trapped in the crystal. In particular, the dislocation density has a higher value in sapphire grown by the Czochralski (CZ) method than by other methods. In the present study, we predict a decreased value for the convexity and thermal gradient at the crystal front (CF) through the use of an additional heater in an induction-heated CZ system. In addition, we develop a solute concentration model by which the location of bubble formation in CZ growth is calculated, and the results are compared with experimental results. We further calculate the location of bubble entrapment corresponding with the use of an additional heater. We find that sapphire crystal growth with an additional heater yields a decreased thermal gradient at the CF, together with decreased CF convexity, improved energy efficiency, and improvements in terms of bubble formation location.

  7. Sapphire Fabry-Perot high-temperature sensor study

    NASA Astrophysics Data System (ADS)

    Yao, Yi-qiang; Liang, Wei-long; Gui, Xinwang; Fan, Dian

    2017-04-01

    A new structure sapphire fiber Fabry-Perot (F-P) high-temperature sensor based on sapphire wafer was proposed and fabricated. The sensor uses the sapphire fiber as a transmission waveguide, the sapphire wafer as an Fabry-Perot (F-P) interferometer and the new structure of "Zirconia ferrule-Zirconia tube" as the sensor fixing structure of the sensor. The reflection spectrum of the interferometer was demodulated by a serial of data processing including FIR bandpass filter, FFT (Fast Fourier Transformation) estimation and LSE (least squares estimation) compensation to obtain more precise OPD. Temperature measurement range is from 20 to 1000°C in experiment. The experimental results show that the sensor has the advantages of small size, low cost, simple fabrication and high repeatability. It can be applied for longterm, stable and high-precision high temperature measurement in harsh environments.

  8. Sapphire capillary interstitial irradiators for laser medicine

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Dolganova, I. N.; Dubyanskaya, E. N.; Mukhina, E. E.; Zaytsev, K. I.; Kurlov, V. N.

    2018-04-01

    In this paper, we demonstrate instruments for laser radiation delivery based on sapphire capillary needles. Such sapphire irradiators (introducers) can be used for various medical applications, such as photodynamic therapy, laser hyperthermia, laser interstitial thermal therapy, and ablation of tumors of various organs. Unique properties of sapphire allow for effective redistribution of the heat, generated in biological tissues during their exposure to laser radiation. This leads to homogeneous distribution of the laser irradiation around the needle, and lower possibility of formation of the overheating focuses, as well as the following non-transparent thrombi.

  9. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  10. Temperature dependence of sapphire fiber Raman scattering

    DOE PAGES

    Liu, Bo; Yu, Zhihao; Tian, Zhipeng; ...

    2015-04-27

    Anti-Stokes Raman scattering in sapphire fiber has been observed for the first time. Temperature dependence of Raman peaks’ intensity, frequency shift, and linewidth were also measured. Three anti-Stokes Raman peaks were observed at temperatures higher than 300°C in a 0.72-m-long sapphire fiber excited by a second-harmonic Nd YAG laser. The intensity of anti-Stokes peaks are comparable to that of Stokes peaks when the temperature increases to 1033°C. We foresee the combination of sapphire fiber Stokes and anti-Stokes measurement in use as a mechanism for ultrahigh temperature sensing.

  11. Size and shape dependence of CO adsorption sites on sapphire supported Fe microcrystals

    NASA Technical Reports Server (NTRS)

    Papageorgopoulos, C.; Heinemann, K.

    1985-01-01

    The surface structure and stoichiometry of alumina substrates, as well as the size, growth characteristics, and shape of Fe deposits on sapphire substrates have been investigated by low energy electron diffraction (LEED), Auger electron spectroscopy, electron energy loss spectroscopy, and X-ray photoemission spectroscopy (XPS), as well as work function measurements, in conjunction with transition electron microscopy observations. The substrates used in this work were the following: (1) new, clean Al2O3; (2) same surface amorphized by Ar ion bombardment; (3) same surface regenerated by 650 C annealing; (4) amorphous alumina films on Ta slab; and (5) polycrystal alumina films, obtained by heating amorphous films to 600 C. Substrate cleaning was found to be most effective in producing a reproducible surface upon oxygen RF plasma treatment. The Fe nucleation and growth process was found to depend strongly on the type of substrate surface and deposition conditions. Ar ion bombardment under beam flooding, and subsequent annealing at 650 C was found an effective means to restore the original Al2O3 (1102) surface for renewed Fe deposition.

  12. Double Sided Si(Ge)/Sapphire/III-Nitride Hybrid Structure

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor)

    2016-01-01

    One aspect of the present invention is a double sided hybrid crystal structure including a trigonal Sapphire wafer containing a (0001) C-plane and having front and rear sides. The Sapphire wafer is substantially transparent to light in the visible and infrared spectra, and also provides insulation with respect to electromagnetic radio frequency noise. A layer of crystalline Si material having a cubic diamond structure aligned with the cubic <111> direction on the (0001) C-plane and strained as rhombohedron to thereby enable continuous integration of a selected (SiGe) device onto the rear side of the Sapphire wafer. The double sided hybrid crystal structure further includes an integrated III-Nitride crystalline layer on the front side of the Sapphire wafer that enables continuous integration of a selected III-Nitride device on the front side of the Sapphire wafer.

  13. Electron Beam Welder Used to Braze Sapphire to Platinum

    NASA Technical Reports Server (NTRS)

    Forsgren, Roger C.; Vannuyen, Thomas

    1998-01-01

    A new use for electron beam brazing was recently developed by NASA Lewis Research Center's Manufacturing Engineering Division. This work was done to fabricate a fiberoptic probe (developed by Sentec Corporation) that could measure high temperatures less than 600 deg C of vibrating machinery, such as in jet engine combustion research. Under normal circumstances, a sapphire fiber would be attached to platinum by a ceramic epoxy. However, no epoxies can adhere ceramic fibers to platinum under such high temperatures and vibration. Also, since sapphire and platinum have different thermal properties, the epoxy bond is subjected to creep over time. Therefore, a new method had to be developed that would permanently and reliably attach a sapphire fiber to platinum. Brazing a sapphire fiber to a platinum shell. The fiber-optic probe assembly consists of a 0.015-in.-diameter sapphire fiber attached to a 0.25-in.-long, 0.059-in.-diameter platinum shell. Because of the small size of this assembly, electron beam brazing was chosen instead of conventional vacuum brazing. The advantage of the electron beam is that it can generate a localized heat source in a vacuum. Gold reactive braze was used to join the sapphire fiber and the platinum. Consequently, the sapphire fiber was not affected by the total heat needed to braze the components together.

  14. The fabrication of PLGA microvessel scaffolds with nano-patterned inner walls.

    PubMed

    Wang, Gou-Jen; Lin, Yan-Cheng; Hsu, Shan-Hui

    2010-10-01

    Poly (lactic-co-glycolic acid) (PLGA) is one of the most commonly used biodegradable, biocompatible materials. Nanostructured PLGA has immense potential for application in tissue engineering. In this article we discuss a novel approach for the fabrication of PLGA microvessel scaffolds with nanostructured inner walls. In this novel nano-patterning approach, the thermal reflow technique is first adapted to fabricate a semi-cylindrical photoresist master mold. A thin film of titanium and a thin film of aluminum are sputtered in sequence on the semi-cylindrical microvessel network. Aluminum foil anodization is then executed to transform the aluminum thin film into a porous anodic aluminum oxide (AAO) film. During the casting process a PLGA solution is cast on the AAO film to build up semi-cylindrical PLGA microstructures with nanostructured inner walls after which inductive coupled plasma (ICP) is implemented to assist bonding of the two PLGA structures. The result is the building of a network of microchannels with nano-patterned inner walls. Bovine endothelial cells (BECs) are carefully cultured in the scaffold via semi-dynamic seeding for 7 days. Observations show that the BECs grew more separately in a nano-patterned microvessel scaffold than they did in a smooth surface scaffold.

  15. Direct nano-patterning of graphene with helium ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naitou, Y., E-mail: yu-naitou@aist.go.jp; Iijima, T.; Ogawa, S.

    2015-01-19

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO{sub 2}/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He{sup +}). Doses of 2.0 × 10{sup 16 }He{sup + }cm{sup −2} from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He{sup +} in a non-monotonic fashion. Increasing the dose from 2.0 × 10{sup 16} to 5.0 × 10{sup 16 }He{sup + }cm{sup −2} improved the spatialmore » resolution to several tens of nanometers. However, doses greater than 1.0 × 10{sup 17 }He{sup + }cm{sup −2} degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.« less

  16. Enhanced efficiency of light emitting diodes with a nano-patterned gallium nitride surface realized by soft UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Zhou, Weimin; Min, Guoquan; Song, Zhitang; Zhang, Jing; Liu, Yanbo; Zhang, Jianping

    2010-05-01

    This paper reports a significant enhancement in the extraction efficiency of nano-patterned GaN light emitting diodes (LED) realized by soft UV nanoimprint lithography. The 2 inch soft stamp was fabricated using a replication stamp of anodic alumina oxide (AAO) membrane. The light output power was enhanced by 10.9% compared to that of the LED sample without a nano-patterned surface. Up to 41% enhancement in photoluminescence intensity was obtained from the nano-patterned GaN LED sample. The method is simple, cheap and suitable for mass production.

  17. Fabrication of metal nanopatterns for organic field effect transistor electrodes by cracking and transfer printing

    NASA Astrophysics Data System (ADS)

    Wang, Xiaonan; Fu, Tingting; Wang, Zhe

    2018-04-01

    In this paper, we demonstrate a novel method for fabricating metal nanopatterns using cracking to address the limitations of traditional techniques. Parallel crack arrays were created in a polydimethylsiloxane (PDMS) mold using a combination of surface modification and control of strain fields. The elastic PDMS containing the crack arrays was subsequently used as a stamp to prepare nanoscale metal patterns on a substrate by transfer printing. To illustrate the functionality of this technique, we employed the metal patterns as the source and drain contacts of an organic field effect transistor. Using this approach, we fabricated transistors with channel lengths ranging from 70-600 nm. The performance of these devices when the channel length was reduced was studied. The drive current density increases as expected, indicating the creation of operational transistors with recognizable properties.

  18. Quantitative investigation into the source of current slump in AlGaN/GaN HEMT on both Si (111) and sapphire: Self-heating and trapping

    NASA Astrophysics Data System (ADS)

    Bag, Ankush; Mukhopadhyay, Partha; Ghosh, Saptarsi; Das, Palash; Chakraborty, Apurba; Dinara, Syed M.; Kabi, Sanjib; Biswas, Dhurbes

    2015-05-01

    We have experimentally studied trapping and self-heating effect in terms of current slump in AlGaN/GaN HEMT grown and identically processed on Silicon (111) and Sapphire (0001) substrates. Different responses have been observed through DC characterization of different duty cycle (100%, 50%, 5% and 0.5%) of pulses at drain end. Effect of self-heating is more in case of HEMT on Sapphire due to its comparative poor thermal conductivity whereas trapped charges have strong contribution in current drop of HEMT on Si (111) due to larger lattice as well as thermal expansion coefficient mismatched epitaxy between GaN and Si (111). These results have been compared among substrates that lead us to find out optimal source of current slump quantitatively between traps and self-heating.

  19. Effect of precursor on epitaxially grown of ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate by hydrothermal technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Trilochan; Ju, Jin-Woo; Kannan, V.

    2008-03-04

    Single crystalline ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate, using two different precursors by hydrothermal route at a temperature of 90 deg. C were successfully grown. The effect of starting precursor on crystalline nature, surface morphology and optical emission of the films were studied. ZnO thin films were grown in aqueous solution of zinc acetate and zinc nitrate. X-ray diffraction analysis revealed that all the thin films were single crystalline in nature and exhibited wurtzite symmetry and c-axis orientation. The thin films obtained with zinc nitrate had a more pitted rough surface morphology compared to the filmmore » grown in zinc acetate. However the thickness of the films remained unaffected by the nature of the starting precursor. Sharp luminescence peaks were observed from the thin films almost at identical energies but deep level emission was slightly prominent for the thin film grown in zinc nitrate.« less

  20. Oleophobic properties of the step-and-terrace sapphire surface

    NASA Astrophysics Data System (ADS)

    Muslimov, A. E.; Butashin, A. V.; Kanevsky, V. M.

    2017-03-01

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical-mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of the surface. The results are analyzed using the Ventsel-Deryagin homogeneous wetting model.

  1. Oleophobic properties of the step-and-terrace sapphire surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Kanevsky, V. M.

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical–mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of themore » surface. The results are analyzed using the Ventsel–Deryagin homogeneous wetting model.« less

  2. Transport properties and c/a ratio of V{sub 2}O{sub 3} thin films grown on C- and R-plane sapphire substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Joe; Limelette, Patrice; Funakubo, Hiroshi

    2015-12-14

    We prepared V{sub 2}O{sub 3} thin films on C- or R-plane sapphire (Al{sub 2}O{sub 3}) substrates by a pulsed laser deposition method. X-ray diffraction analyses confirmed that single-phase V{sub 2}O{sub 3} films were epitaxially grown on both C- and R-planes under an Ar gas ambient of 2 × 10{sup −2} mbar at a substrate temperature of 873 K. Depending on the deposition conditions, c/a ratios at room temperature of (0001)-oriented V{sub 2}O{sub 3} films widely ranged from 2.79 to 2.88. Among them, the films of 2.81 ≤ c/a ≤ 2.84 showed complex metal (M)–insulator (I)–M transition during cooling from 300 to 10 K, while those of larger c/a ratiosmore » were accompanied by metallic properties throughout this temperature range. All the films on R-plane substrates underwent simple M-I transition at ∼150 K, which was more abrupt than the films on C-plane, whereas their c/a ratios were narrowly distributed. The distinct difference of M-I transition properties between C- and R-plane films is explained by the intrinsic a- and c-axes evolution through the transition from M to I phases.« less

  3. Methods to introduce sub-micrometer, symmetry-breaking surface corrugation to silicon substrates to increase light trapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Sang Eon; Hoard, Brittany R.; Han, Sang M.

    Provided is a method for fabricating a nanopatterned surface. The method includes forming a mask on a substrate, patterning the substrate to include a plurality of symmetry-breaking surface corrugations, and removing the mask. The mask includes a pattern defined by mask material portions that cover first surface portions of the substrate and a plurality of mask space portions that expose second surface portions of the substrate, wherein the plurality of mask space portions are arranged in a lattice arrangement having a row and column, and the row is not oriented parallel to a [110] direction of the substrate. The patterningmore » the substrate includes anisotropically removing portions of the substrate exposed by the plurality of spaces.« less

  4. Nanostructuring of sapphire using time-modulated nanosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Lorenz, P.; Zagoranskiy, I.; Ehrhardt, M.; Bayer, L.; Zimmer, K.

    2017-02-01

    The nanostructuring of dielectric surfaces using laser radiation is still a challenge. The IPSM-LIFE (laser-induced front side etching using in-situ pre-structured metal layer) method allows the easy, large area and fast laser nanostructuring of dielectrics. At IPSM-LIFE a metal covered dielectric is irradiated where the structuring is assisted by a self-organized molten metal layer deformation process. The IPSM-LIFE can be divided into two steps: STEP 1: The irradiation of thin metal layers on dielectric surfaces results in a melting and nanostructuring process of the metal layer and partially of the dielectric surface. STEP 2: A subsequent high laser fluence treatment of the metal nanostructures result in a structuring of the dielectric surface. At this study a sapphire substrate Al2O3(1-102) was covered with a 10 nm thin molybdenum layer and irradiated by an infrared laser with an adjustable time-dependent pulse form with a time resolution of 1 ns (wavelength λ = 1064 nm, pulse duration Δtp = 1 - 600 ns, Gaussian beam profile). The laser treatment allows the fabrication of different surface structures into the sapphire surface due to a pattern transfer process. The resultant structures were investigated by scanning electron microscopy (SEM). The process was simulated and the simulation results were compared with experimental results.

  5. Surface study of irradiated sapphires from Phrae Province, Thailand using AFM

    NASA Astrophysics Data System (ADS)

    Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.

    2017-09-01

    The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.

  6. Stress generated modifications of epitaxial ferroelectric SrTiO3 films on sapphire

    NASA Astrophysics Data System (ADS)

    Hollmann, E.; Schubert, J.; Kutzner, R.; Wördenweber, R.

    2009-06-01

    The effect of lattice-mismatch induced stress upon the crystallographic structure, strain, strain relaxation, and the generation of different types of defects in heteroepitaxially grown SrTiO3 films on CeO2 buffered sapphire is examined. Depending on the thickness of the SrTiO3 layer, characteristic changes in the structural perfection of the layers, their crystallographic orientation with respect to the substrate system, and their strain is observed. For thin films misfit dislocations partially compensate the stress in the SrTiO3 layer, whereas cracks develop in thicker SrTiO3 films. The cracks are orientated along two predominant crystallographic orientations of the sapphire. The structural modifications and the formation of misfit defects and cracks are explained in a model based on lattice misfit induced stress, on the one hand, and energy considerations taking into account the stress release due to crack formation and the energy necessary for the formation of new surfaces at the crack, on the other hand. The impact of lattice misfit is discussed in two steps, i.e., intrinsic and thermal induced misfits during heteroepitaxial film growth at a given temperature and the subsequent cooling of the sample, respectively. The comparison of the theoretical predictions and the experimental observations demonstrate that intrinsic mismatch and thermal mismatch have to be considered in order to explain strain dependent effects in complex heteroepitaxial layer systems such as induced ferroelectricity of SrTiO3 on sapphire.

  7. The study on the nanomachining property and cutting model of single-crystal sapphire by atomic force microscopy.

    PubMed

    Huang, Jen-Ching; Weng, Yung-Jin

    2014-01-01

    This study focused on the nanomachining property and cutting model of single-crystal sapphire during nanomachining. The coated diamond probe is used to as a tool, and the atomic force microscopy (AFM) is as an experimental platform for nanomachining. To understand the effect of normal force on single-crystal sapphire machining, this study tested nano-line machining and nano-rectangular pattern machining at different normal force. In nano-line machining test, the experimental results showed that the normal force increased, the groove depth from nano-line machining also increased. And the trend is logarithmic type. In nano-rectangular pattern machining test, it is found when the normal force increases, the groove depth also increased, but rather the accumulation of small chips. This paper combined the blew by air blower, the cleaning by ultrasonic cleaning machine and using contact mode probe to scan the surface topology after nanomaching, and proposed the "criterion of nanomachining cutting model," in order to determine the cutting model of single-crystal sapphire in the nanomachining is ductile regime cutting model or brittle regime cutting model. After analysis, the single-crystal sapphire substrate is processed in small normal force during nano-linear machining; its cutting modes are ductile regime cutting model. In the nano-rectangular pattern machining, due to the impact of machined zones overlap, the cutting mode is converted into a brittle regime cutting model. © 2014 Wiley Periodicals, Inc.

  8. Electrical and Optical Enhancement in Internally Nanopatterned Organic Light-Emitting Diodes

    NASA Astrophysics Data System (ADS)

    Fina, Michael Dane

    Organic light-emitting diodes (OLEDs) have made tremendous technological progress in the past two decades and have emerged as a top competitor for next generation light-emitting displays and lighting. State-of-the-art OLEDs have been reported in literature to approach, and even surpass, white fluorescent tube efficiency. However, despite rapid technological progress, efficiency metrics must be improved to compete with traditional inorganic light-emitting diode (LED) technology. Organic materials possess specialized traits that permit manipulations to the light-emitting cavity. Overall, as demonstrated within, these modifications can be used to improve electrical and optical device efficiencies. This work is focused at analyzing the effects that nanopatterned geometric modifications to the organic active layers play on device efficiency. In general, OLED efficiency is complicated by the complex, coupled processes which contribute to spontaneous dipole emission. A composite of three sub-systems (electrical, exciton and optical) ultimately dictate the OLED device efficiency. OLED electrical operation is believed to take place via a low-mobility-modified Schottky injection process. In the injection-limited regime, geometric effects are expected to modify the local electric field leading to device current enhancement. It is shown that the patterning effect can be used to enhance charge carrier parity, thereby enhancing overall recombination. Current density and luminance characteristics are shown to be improved by OLED nanopatterning from both the model developed within and experimental techniques. Next, the optical enhancement effects produced by the nanopatterned array are considered. Finite-difference time-domain (FDTD) simulations are used to determine positional, spectral optical enhancement for the nanopatterned device. The results show beneficial effects to the device performance. The optical enhancements are related to the reduction in internal radiative

  9. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  10. Sapphire: Canada's Answer to Space-Based Surveillance of Orbital Objects

    NASA Astrophysics Data System (ADS)

    Maskell, P.; Oram, L.

    The Canadian Department of National Defence is in the process of developing the Canadian Space Surveillance System (CSSS) as the main focus of the Surveillance of Space (SofS) Project. The CSSS consists of two major elements: the Sapphire System and the Sensor System Operations Centre (SSOC). The space segment of the Sapphire System is comprised of the Sapphire Satellite - an autonomous spacecraft with an electro-optical payload which will act as a contributing sensor to the United States (US) Space Surveillance Network (SSN). It will operate in a circular, sunsynchronous orbit at an altitude of approximately 750 kilometers and image a minimum of 360 space objects daily in orbits ranging from 6,000 to 40,000 kilometers in altitude. The ground segment of the Sapphire System is composed of a Spacecraft Control Center (SCC), a Satellite Processing and Scheduling Facility (SPSF), and the Sapphire Simulator. The SPSF will be responsible for data transmission, reception, and processing while the SCC will serve to control and monitor the Sapphire Satellite. Surveillance data will be received from Sapphire through two ground stations. Following processing by the SPSF, the surveillance data will then be forwarded to the SSOC. The SSOC will function as the interface between the Sapphire System and the US Joint Space Operations Center (JSpOC). The JSpOC coordinates input from various sensors around the world, all of which are a part of the SSN. The SSOC will task the Sapphire System daily and provide surveillance data to the JSpOC for correlation with data from other SSN sensors. This will include orbital parameters required to predict future positions of objects to be tracked. The SSOC receives daily tasking instructions from the JSpOC to determine which objects the Sapphire spacecraft is required to observe. The advantage of this space-based sensor over ground-based telescopes is that weather and time of day are not factors affecting observation. Thus, space-based optical

  11. Regulation of Mesenchymal Stem Cell Differentiation by Nanopatterning of Bulk Metallic Glass.

    PubMed

    Loye, Ayomiposi M; Kinser, Emily R; Bensouda, Sabrine; Shayan, Mahdis; Davis, Rose; Wang, Rui; Chen, Zheng; Schwarz, Udo D; Schroers, Jan; Kyriakides, Themis R

    2018-06-08

    Mesenchymal stem cell (MSC) differentiation is regulated by surface modification including texturing, which is applied to materials to enhance tissue integration. Here, we used Pt 57.5 Cu 14.7 Ni 5.3 P 22.5 bulk metallic glass (Pt-BMG) with nanopatterned surfaces achieved by thermoplastic forming to influence differentiation of human MSCs. Pt-BMGs are a unique class of amorphous metals with high strength, elasticity, corrosion resistance, and an unusual plastic-like processability. It was found that flat and nanopattened Pt-BMGs induced osteogenic and adipogenic differentiation, respectively. In addition, osteogenic differentiation on flat BMG exceeded that observed on medical grade titanium and was associated with increased formation of focal adhesions and YAP nuclear localization. In contrast, cells on nanopatterned BMGs exhibited rounded morphology, formed less focal adhesions and had mostly cytoplasmic YAP. These changes were preserved on nanopatterns made of nanorods with increased stiffness due to shorter aspect ratios, suggesting that MSC differentiation was primarily influenced by topography. These observations indicate that both elemental composition and nanotopography can modulate biochemical cues and influence MSCs. Moreover, the processability and highly tunable nature of Pt-BMGs enables the creation of a wide range of surface topographies that can be reproducibly and systematically studied, leading to the development of implants capable of engineering MSC functions.

  12. Organic solvent-free sugar-based transparency nanopatterning material derived from biomass for eco-friendly optical biochips using green lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ito, Kenta; Sugahara, Kigenn; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2014-05-01

    An organic solvent-free sugar-based transparency nanopatterning material which had specific desired properties such as nanostructures of subwavelength grating and moth-eye antireflection, acceptable thermal stability of 160 °C, and low imaginary refractive index of less than 0.005 at 350-800 nm was proposed using electron beam lithography. The organic solvent-free sugar-based transparency nanopatterning material is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of tetramethylammonium hydroxide. 120 nm moth-eye antireflection nanopatterns images with exposure dose of 10 μC/cm2 were provided by specific process conditions of electron beam lithography. The developed sugar derivatives with hydroxyl groups and EB sensitive groups in the organic solvent-free sugar-based transparency nanopatterning material were applicable to future development of optical interface films of biology and electronics as a novel chemical design.

  13. High-quality AlN film grown on a nanosized concave-convex surface sapphire substrate by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Nagatomi, Takaharu; Morishita, Tomohiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2017-10-01

    We developed a method for fabricating high-crystal-quality AlN films by combining a randomly distributed nanosized concavo-convex sapphire substrate (NCC-SS) and a three-step growth method optimized for NCC-SS, i.e., a 3-nm-thick nucleation layer (870 °C), a 150-nm-thick high-temperature layer (1250 °C), and a 3.2-μm-thick medium-temperature layer (1110 °C). The NCC-SS is easily fabricated using a conventional metalorganic vapor phase epitaxy reactor equipped with a showerhead plate. The resultant AlN film has a crack-free and single-step surface with a root-mean-square roughness of 0.5 nm. The full-widths at half-maxima of the X-ray rocking curve were 50/250 arcsec for the (0002)/(10-12) planes, revealing that the NCC surface is critical for achieving such a high-quality film. Hexagonal-pyramid-shaped voids at the AlN/NCC-SS interface and confinement of dislocations within the 150-nm-thick high-temperature layer were confirmed. The NCC surface feature and resultant faceted voids play an important role in the growth of high-crystal-quality AlN films, likely via localized and/or disordered growth of AlN at the initial stage, contributing to the alignment of high-crystal-quality nuclei and dislocations.

  14. High power continuous-wave titanium:sapphire laser

    DOEpatents

    Erbert, G.V.; Bass, I.L.; Hackel, R.P.; Jenkins, S.L.; Kanz, V.K.; Paisner, J.A.

    1993-09-21

    A high-power continuous-wave laser resonator is provided, wherein first, second, third, fourth, fifth and sixth mirrors form a double-Z optical cavity. A first Ti:sapphire rod is disposed between the second and third mirrors and at the mid-point of the length of the optical cavity, and a second Ti:sapphire rod is disposed between the fourth and fifth mirrors at a quarter-length point in the optical cavity. Each Ti:sapphire rod is pumped by two counter-propagating pump beams from a pair of argon-ion lasers. For narrow band operation, a 3-plate birefringent filter and an etalon are disposed in the optical cavity so that the spectral output of the laser consists of 5 adjacent cavity modes. For increased power, seventy and eighth mirrors are disposed between the first and second mirrors to form a triple-Z optical cavity. A third Ti:sapphire rod is disposed between the seventh and eighth mirrors at the other quarter-length point in the optical cavity, and is pumped by two counter-propagating pump beams from a third pair of argon-ion lasers. 5 figures.

  15. Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.

    PubMed

    Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto

    2016-11-21

    The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.

  16. Sapphire shaped crystals for laser-assisted cryodestruction of biological tissues

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Dubyanskaya, E. N.; Kuznetsov, A. A.; Katyba, G. M.; Dolganova, I. N.; Mukhina, E. E.; Chernomyrdin, N. V.; Zaytsev, K. I.; Tuchin, V. V.; Kurlov, V. N.

    2018-04-01

    We have developed cryo-applicators based on the sapphire shaped crystals fabricated using the edge-defined film-fed growth (EFG) and noncapillary shaping (NCS) techniques. Due to the unique physical properties of sapphire: i.e. high thermal, mechanical, and chemical strength, impressive thermal conductivity and optical transparency, these cryo-applicators yield combination of the tissue cryo-destruction with its exposure to laser radiation for controlling the thermal regimes of cryosurgery, and with the optical diagnosis of tissue freezing. We have applied the proposed sapphire cryo-applicators for the destruction of tissues in vitro. The observed results highlight the prospectives of the sapphire cryo-applicators in cryosurgery.

  17. Wafer-Scale and Wrinkle-Free Epitaxial Growth of Single-Orientated Multilayer Hexagonal Boron Nitride on Sapphire.

    PubMed

    Jang, A-Rang; Hong, Seokmo; Hyun, Chohee; Yoon, Seong In; Kim, Gwangwoo; Jeong, Hu Young; Shin, Tae Joo; Park, Sung O; Wong, Kester; Kwak, Sang Kyu; Park, Noejung; Yu, Kwangnam; Choi, Eunjip; Mishchenko, Artem; Withers, Freddie; Novoselov, Kostya S; Lim, Hyunseob; Shin, Hyeon Suk

    2016-05-11

    Large-scale growth of high-quality hexagonal boron nitride has been a challenge in two-dimensional-material-based electronics. Herein, we present wafer-scale and wrinkle-free epitaxial growth of multilayer hexagonal boron nitride on a sapphire substrate by using high-temperature and low-pressure chemical vapor deposition. Microscopic and spectroscopic investigations and theoretical calculations reveal that synthesized hexagonal boron nitride has a single rotational orientation with AA' stacking order. A facile method for transferring hexagonal boron nitride onto other target substrates was developed, which provides the opportunity for using hexagonal boron nitride as a substrate in practical electronic circuits. A graphene field effect transistor fabricated on our hexagonal boron nitride sheets shows clear quantum oscillation and highly improved carrier mobility because the ultraflatness of the hexagonal boron nitride surface can reduce the substrate-induced degradation of the carrier mobility of two-dimensional materials.

  18. Effect of Zn and Te beam intensity upon the film quality of ZnTe layers on severely lattice mismatched sapphire substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, W.; Kobayashi, M.; Asahi, T.

    2017-06-01

    Zinc telluride layers were grown on highly-lattice-mismatched sapphire substrates by molecular beam epitaxy, and their crystallographic properties were studied by means of X-ray diffraction pole figures. The crystal quality of the ZnTe thin film was further studied by scanning electron microscopy, X-ray rocking curves and low-temperature photoluminescence measurements. These methods show that high-crystallinity (111)-oriented single domain ZnTe layers with the flat surface and good optical properties are realized when the beam intensity ratio of Zn and Te beams is adjusted. The migration of Zn and Te was inhibited by excess surface material and cracks were appeared. In particular, excess Te inhibited the formation of a high-crystallinity ZnTe film. The optical properties of the ZnTe layer revealed that the exciton-related features were dominant, and therefore the film quality was reasonably high even though the lattice constants and the crystal structures were severely mismatched.

  19. Submicron diameter single crystal sapphire optical fiber

    DOE PAGES

    Hill, Cary; Homa, Daniel; Liu, Bo; ...

    2014-10-02

    In this work, a submicron-diameter single crystal sapphire optical fiber was demonstrated via wet acid etching at elevated temperatures. Etch rates on the order 2.3 µm/hr were achievable with a 3:1 molar ratio sulfuric-phosphoric acid solution maintained at a temperature of 343°C. A sapphire fiber with an approximate diameter of 800 nm was successfully fabricated from a commercially available fiber with an original diameter of 50 µm. The simple and controllable etching technique provides a feasible approach to the fabrication of unique waveguide structures via traditional silica masking techniques. The ability to tailor the geometry of sapphire optical fibers ismore » the first step in achieving optical and sensing performance on par with its fused silica counterpart.« less

  20. A peek into the history of sapphire crystal growth

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2003-09-01

    After the chemical compositions of sapphire and ruby were unraveled in the middle of the 19th century, chemists set out to grow artificial crystals of these valuable gemstones. In 1885 a dealer in Geneva began to sell ruby that is now believed to have been created by flame fusion. Gemnologists rapidly concluded that the stones were artificial, but the Geneva ruby stimulated A. V. L. Verneuil in Paris to develop a flame fusion process to produce higher quality ruby and sapphire. By 1900 there was brisk demand for ruby manufactured by Verneuil's method, even though Verneuil did not publicly announce his work until 1902 and did not publish details until 1904. The Verneuil process was used with little alteration for the next 50 years. From 1932-1953, S. K. Popov in the Soviet Union established a capability for manufacturing high quality sapphire by the Verneuil process. In the U.S., under government contract, Linde Air Products Co. implemented the Verneuil process for ruby and sapphire when European sources were cut off during World War II. These materials were essential to the war effort for jewel bearings in precision instruments. In the 1960s and 1970s, the Czochralski process was implemented by Linde and its successor, Union Carbide, to make higher crystal quality material for ruby lasers. Stimulated by a government contract for structural fibers in 1966, H. LaBelle invented edge-defined film-fed growth (EFG). The Saphikon company, which is currently owned by Saint-Gobain, evolved from this effort. Independently and simultaneously, Stepanov developed edge-defined film-fed growth in the Soviet Union. In 1967 F. Schmid and D. Viechnicki at the Army Materials Research Lab grew sapphire by the heat exchanger method (HEM). Schmid went on to establish Crystal Systems, Inc. around this technology. Rotem Industries, founded in Israel in 1969, perfected the growth of sapphire hemispheres and near-net-shape domes by gradient solidification. In the U.S., growth of near

  1. Laser welding of fused silica glass with sapphire using a non- stoichiometric, fresnoitic Ba2TiSi2O8·3 SiO2 thin film as an absorber

    NASA Astrophysics Data System (ADS)

    de Pablos-Martín, A.; Lorenz, M.; Grundmann, M.; Höche, Th.

    2017-07-01

    Laser welding of dissimilar materials is challenging, due to their difference in coefficients of thermal expansion (CTE). In this work, fused silica-to-sapphire joints were achieved by employment of a ns laser focused in the intermediate Si-enriched fresnoitic glass thin film sealant. The microstructure of the bonded interphase was analyzed down to the nanometer scale and related to the laser parameters used. The crystallization of fresnoite in the glass sealant upon laser process leads to an intense blue emission intensity under UV excitation. This crystallization is favored in the interphase with the silica glass substrate, rather than in the border with the sapphire. The formation of SiO2 particles was confirmed, as well. The bond quality was evaluated by scanning acoustic microscopy (SAM). The substrates remain bonded even after heat treatment at 100 °C for 30 min, despite the large CTE difference between both substrates.

  2. Nanostructured sapphire optical fiber for sensing in harsh environments

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Liu, Kai; Ma, Yiwei; Tian, Fei; Du, Henry

    2017-05-01

    We describe an innovative and scalable strategy of transforming a commercial unclad sapphire optical fiber to an allalumina nanostructured sapphire optical fiber (NSOF) that overcomes decades-long challenges faced in the field of sapphire fiber optics. The strategy entails fiber coating with metal Al followed by subsequent anodization to form anodized alumina oxide (AAO) cladding of highly organized pore channel structure. We show that Ag nanoparticles entrapped in AAO show excellent structural and morphological stability and less susceptibility to oxidation for potential high-temperature surface-enhanced Raman Scattering (SERS). We reveal, with aid of numerical simulations, that the AAO cladding greatly increases the evanescent-field overlap both in power and extent and that lower porosity of AAO results in higher evanescent-field overlap. This work has opened the door to new sapphire fiber-based sensor design and sensor architecture.

  3. High power continuous-wave titanium:sapphire laser

    DOEpatents

    Erbert, Gaylen V.; Bass, Isaac L.; Hackel, Richard P.; Jenkins, Sherman L.; Kanz, Vernon K.; Paisner, Jeffrey A.

    1993-01-01

    A high-power continuous-wave laser resonator (10) is provided, wherein first, second, third, fourth, fifth and sixth mirrors (11-16) form a double-Z optical cavity. A first Ti:Sapphire rod (17) is disposed between the second and third mirrors (12,13) and at the mid-point of the length of the optical cavity, and a second Ti:Sapphire rod (18) is disposed between the fourth and fifth mirrors (14,15) at a quarter-length point in the optical cavity. Each Ti:Sapphire rod (17,18) is pumped by two counter-propagating pump beams from a pair of argon-ion lasers (21-22, 23-24). For narrow band operation, a 3-plate birefringent filter (36) and an etalon (37) are disposed in the optical cavity so that the spectral output of the laser consists of 5 adjacent cavity modes. For increased power, seventy and eighth mirrors (101, 192) are disposed between the first and second mirrors (11, 12) to form a triple-Z optical cavity. A third Ti:Sapphire rod (103) is disposed between the seventh and eighth mirrors (101, 102) at the other quarter-length point in the optical cavity, and is pumped by two counter-propagating pump beams from a third pair of argon-ion lasers (104, 105).

  4. Single-Crystal Sapphire Optical Fiber Sensor Instrumentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pickrell, Gary; Scott, Brian; Wang, Anbo

    2013-12-31

    This report summarizes technical progress on the program “Single-Crystal Sapphire Optical Fiber Sensor Instrumentation,” funded by the National Energy Technology Laboratory of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. This project was completed in three phases, each with a separate focus. Phase I of the program, from October 1999 to April 2002, was devoted to development of sensing schema for use in high temperature, harsh environments. Different sensing designs were proposed and tested in the laboratory. Phase II of the program, frommore » April 2002 to April 2009, focused on bringing the sensor technologies, which had already been successfully demonstrated in the laboratory, to a level where the sensors could be deployed in harsh industrial environments and eventually become commercially viable through a series of field tests. Also, a new sensing scheme was developed and tested with numerous advantages over all previous ones in Phase II. Phase III of the program, September 2009 to December 2013, focused on development of the new sensing scheme for field testing in conjunction with materials engineering of the improved sensor packaging lifetimes. In Phase I, three different sensing principles were studied: sapphire air-gap extrinsic Fabry-Perot sensors; intensity-based polarimetric sensors; and broadband polarimetric sensors. Black body radiation tests and corrosion tests were also performed in this phase. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. At the beginning of Phase II, in June 2004, the BPDI sensor was tested at the Wabash River coal

  5. Shear strength of metal-sapphire contacts

    NASA Technical Reports Server (NTRS)

    Pepper, S. V.

    1976-01-01

    The shear strength of polycrystalline Ag, Cu, Ni, and Fe contacts on clean (0001) sapphire has been studied in ultrahigh vacuum. Both clean metal surfaces and surfaces exposed to O2, Cl2, and C2H4 were used. The results indicate that there are two sources of strength of Al2O3-metal contacts: an intrinsic one that depends on the particular clean metal in contact with Al2O3 and an additional one due to intermediate films. The shear strength of the clean metal contacts correlated directly with the free energy of oxide formation for the lowest metal oxide, in accord with the hypothesis that a chemical bond is formed between metal cations and oxygen anions in the sapphire surface. Contacts formed by metals exposed to chlorine exhibited uniformly low shear strength indicative of van der Waals bonding between chlorinated metal surfaces and sapphire. Contacts formed by metals exposed to oxygen exhibited enhanced shear strength, in accord with the hypothesis that an intermediate oxide layer increases interfacial strength.

  6. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  7. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  8. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  9. Progress on 10 Kelvin cryo-cooled sapphire oscillator

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Dick, G. John; Diener, William A.

    2004-01-01

    We present recent progress on the 10 Kelvin Cryocooled Sapphire Oscillator (10K CSO). Included are incorporation of a new pulse tube cryocooler, cryocooler vibration comparisons between G-M and pulse-tube types, phase noise, and frequency stability tests. For the advantage of a single stage pulse tube cryocooler, we also present results for a 40K Compensated Sapphire Oscillator (40K CSO).

  10. Epitaxy of boron phosphide on AlN, 4H-SiC, 3C-SiC and ZrB2 substrates

    NASA Astrophysics Data System (ADS)

    Padavala, Balabalaji

    The semiconductor boron phosphide (BP) has many outstanding features making it attractive for developing various electronic devices, including neutron detectors. In order to improve the efficiency of these devices, BP must have high crystal quality along with the best possible electrical properties. This research is focused on growing high quality crystalline BP films on a variety of superior substrates like AlN, 4H-SiC, 3C-SiC and ZrB2 by chemical vapor deposition. In particular, the influence of various parameters such as temperature, reactant flow rates, and substrate type and its crystalline orientation on the properties of BP films were studied in detail. Twin-free BP films were produced by depositing on off-axis 4H-SiC(0001) substrate tilted 4° toward [11¯00] and crystal symmetry matched zincblende 3C-SiC. BP crystalline quality improved at higher deposition temperature (1200°C) when deposited on AlN, 4H-SiC, whereas increased strain in 3C-SiC and increased boron segregation in ZrB2 at higher temperatures limited the best deposition temperature to below 1200°C. In addition, higher flow ratios of PH 3 to B2H6 resulted in smoother films and improved quality of BP on all substrates. The FWHM of the Raman peak (6.1 cm -1), XRD BP(111) peak FWHM (0.18°) and peak ratios of BP(111)/(200) = 5157 and BP(111)/(220) = 7226 measured on AlN/sapphire were the best values reported in the literature for BP epitaxial films. The undoped films on AlN/sapphire were n-type with a highest electron mobility of 37.8 cm2/V˙s and a lowest carrier concentration of 3.15x1018 cm -3. Raman imaging had lower values of FWHM (4.8 cm-1 ) and a standard deviation (0.56 cm-1) for BP films on AlN/sapphire compared to 4H-SiC, 3C-SiC substrates. X-ray diffraction and Raman spectroscopy revealed residual tensile strain in BP on 4H-SiC, 3C-SiC, ZrB2/4H-SiC, bulk AlN substrates while compressive strain was evident on AlN/sapphire and bulk ZrB2 substrates. Among the substrates studied, AlN/sapphire

  11. Characteristics of Coplanar Waveguide on Sapphire for High Temperature Applications (25 to 400 degrees C)

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.; Jordan, Jennifer L.; Scardelletti, Maximilian; Stalker, Amy R.

    2007-01-01

    This paper presents the characteristics of coplanar waveguide transmission lines fabricated on R-plane sapphire substrates as a function of temperature across the temperature range of 25 to 400 C. Effective permittivity and attenuation are measured on a high temperature probe station. Two techniques are used to obtain the transmission line characteristics, a Thru-Reflect-Line calibration technique that yields the propagation coefficient and resonant stubs. To a first order fit of the data, the effective permittivity and the attenuation increase linearly with temperature.

  12. Holographic fabrication of gratings in metal substrates

    NASA Technical Reports Server (NTRS)

    Fletcher, R. M.; Wagner, D. K.; Ballantyne, J. M.

    1982-01-01

    A program for investigating the grain enlargement resulting from the laser recrystallization of a thin gallium arsenide film on a patterned substrate, a technique known as graphoepitaxy was evaluated. More specifically, the effects of recrystallizing an uncapped gallium arsenide film using a continuous wave neodymium YAG laser operating at 1.06 microns were studied. In an effort to minimize arsenic loss from the film, the specimens were held in an arsine atmosphere during recrystallization. Two methods for fabricating patterned substrates were developed, one using reactive ion etching of a molybdenum film on both sapphire and silicon substates and another by preferential wet etching of a silicon substrate onto which a film of molybdenum was subsequently deposited.

  13. Modal reduction in single crystal sapphire optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Yujie; Hill, Cary; Liu, Bo

    2015-10-12

    A new type of single crystal sapphire optical fiber (SCSF) design is proposed to reduce the number of guided modes via a highly dispersive cladding with a periodic array of high and low index regions in the azimuthal direction. The structure retains a “core” region of pure single crystal (SC) sapphire in the center of the fiber and a “cladding” region of alternating layers of air and SC sapphire in the azimuthal direction that is uniform in the radial direction. The modal characteristics and confinement losses of the fundamental mode were analyzed via the finite element method by varying themore » effective core diameter and the dimensions of the “windmill” shaped cladding. The simulation results showed that the number of guided modes were significantly reduced in the “windmill” fiber design, as the radial dimension of the air and SC sapphire cladding regions increase with corresponding decrease in the azimuthal dimension. It is anticipated that the “windmill” SCSF will readily improve the performance of current fiber optic sensors in the harsh environment and potentially enable those that were limited by the extremely large modal volume of unclad SCSF.« less

  14. Multilayer metal-oxide-metal nanopatterns via nanoimprint and strip-off for multispectral resonance

    NASA Astrophysics Data System (ADS)

    Jeon, Sohee; Sung, Sang-Keun; Jang, Eun-Hwan; Jeong, Junho; Surabhi, Srivathsava; Choi, Jun-Hyuk; Jeong, Jong-Ryul

    2018-01-01

    A fabrication technology for multispectral plasmonic resonators is presented on a basis of metal-insulator-metal (MIM) nanopattern arrays. Resonators comprised of MIM nanopatterns were fabricated using nanoimprint-based transfer and strip-off following MIM depositions. Two different kinds of configuration (web and hole) were developed for three and five layers of MIMs. The corresponding measured transmittance and reflectance spectroscopies were compared to their counterpart finite difference time domain (FDTD) simulation results. The results implied various plasmonic resonance couplings occurred at different locations around the metal structures, dependent on the layer and array configuration. By tuning the model geometry and simulation conditions, agreement between the experimental results and simulation was achieved. This work is believed to provide a viable fabrication method for multispectral resonance filters or sensors.

  15. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    NASA Astrophysics Data System (ADS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  16. Solution-Phase Photochemical Nanopatterning Enabled by High-Refractive-Index Beam Pen Arrays.

    PubMed

    Xie, Zhuang; Gordiichuk, Pavlo; Lin, Qing-Yuan; Meckes, Brian; Chen, Peng-Cheng; Sun, Lin; Du, Jingshan S; Zhu, Jinghan; Liu, Yuan; Dravid, Vinayak P; Mirkin, Chad A

    2017-08-22

    A high-throughput, solution-based, scanning-probe photochemical nanopatterning approach, which does not require the use of probes with subwavelength apertures, is reported. Specifically, pyramid arrays made from high-refractive-index polymeric materials were constructed and studied as patterning tools in a conventional liquid-phase beam pen lithography experiment. Two versions of the arrays were explored with either metal-coated or metal-free tips. Importantly, light can be channeled through both types of tips and the appropriate solution phase (e.g., H 2 O or CH 3 OH) and focused on subwavelength regions of a substrate to effect a photoreaction in solution that results in localized patterning of a self-assembled monolayer (SAM)-coated Au thin film substrate. Arrays with as many as 4500 pyramid-shaped probes were used to simultaneously initiate thousands of localized free-radical photoreactions (decomposition of a lithium acylphosphinate photoinitiator in an aqueous solution) that result in oxidative removal of the SAM. The technique is attractive since it allows one to rapidly generate features less than 200 nm in diameter, and the metal-free tips afford more than 10-fold higher intensity than the tips with nanoapertures over a micrometer propagation length. In principle, this mask-free method can be utilized as a versatile tool for performing a wide variety of photochemistries across multiple scales that may be important in high-throughput combinatorial screening applications related to chemistry, biology, and materials science.

  17. Spectroscopic properties for identifying sapphire samples from Ban Bo Kaew, Phrae Province, Thailand

    NASA Astrophysics Data System (ADS)

    Mogmued, J.; Monarumit, N.; Won-in, K.; Satitkune, S.

    2017-09-01

    Gemstone commercial is a high revenue for Thailand especially ruby and sapphire. Moreover, Phrae is a potential gem field located in the northern part of Thailand. The studies of spectroscopic properties are mainly to identify gemstone using advanced techniques (e.g. UV-Vis-NIR spectrophotometry, FTIR spectrometry and Raman spectroscopy). Typically, UV-Vis-NIR spectrophotometry is a technique to study the cause of color in gemstones. FTIR spectrometry is a technique to study the functional groups in gem-materials. Raman pattern can be applied to identify the mineral inclusions in gemstones. In this study, the natural sapphires from Ban Bo Kaew were divided into two groups based on colors including blue and green. The samples were analyzed by UV-Vis-NIR spectrophotometer, FTIR spectrometer and Raman spectroscope for studying spectroscopic properties. According to UV-Vis-NIR spectra, the blue sapphires show higher Fe3+/Ti4+ and Fe2+/Fe3+ absorption peaks than those of green sapphires. Otherwise, green sapphires display higher Fe3+/Fe3+ absorption peaks than blue sapphires. The FTIR spectra of both blue and green sapphire samples show the absorption peaks of -OH,-CH and CO2. The mineral inclusions such as ferrocolumbite and rutile in sapphires from this area were observed by Raman spectroscope. The spectroscopic properties of sapphire samples from Ban Bo Kaew, Phrae Province, Thailand are applied to be the specific evidence for gemstone identification.

  18. Nanopatterning of optical surfaces during low-energy ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Liao, Wenlin; Dai, Yifan; Xie, Xuhui

    2014-06-01

    Ion beam figuring (IBF) provides a highly deterministic method for high-precision optical surface fabrication, whereas ion-induced microscopic morphology evolution would occur on surfaces. Consequently, the fabrication specification for surface smoothness must be seriously considered during the IBF process. In this work, low-energy ion nanopatterning of our frequently used optical material surfaces is investigated to discuss the manufacturability of an ultrasmooth surface. The research results indicate that ion beam sputtering (IBS) can directly smooth some amorphous or amorphizable material surfaces, such as fused silica, Si, and ULE under appropriate processing conditions. However, for IBS of a Zerodur surface, preferential sputtering together with curvature-dependent sputtering overcome ion-induced smoothing mechanisms, leading to the granular nanopatterns' formation and the coarsening of the surface. Furthermore, the material property difference at microscopic scales and the continuous impurity incorporation would affect the ion beam smoothing of optical surfaces. Overall, IBS can be used as a promising technique for ultrasmooth surface fabrication, which strongly depends on processing conditions and material characters.

  19. Development of a sapphire fiber thermometer using two wavelength bands

    NASA Astrophysics Data System (ADS)

    Ye, Linhua; Shen, Yonghang

    1996-09-01

    This paper reports the development of a sapphire ((alpha) - Al2O3) single crystal optical fiber thermometer using two wavelength bands. A thin film of precious metal or ceramic deposited onto one end of the sapphire fiber forms a mini-radiation cavity. The other end of the sapphire fiber is coupled to a low-loss silica fiber. Radiation from the small cavity is transmitted along the silica fiber into a photodetection system which consists of a lens, beam splitter, two interference filters (820 nm and 940 nm center wavelength, 30 nm bandwidth) and two silicon photocells. The temperature measurement is based on the detection of radiation from the small cavity. The sapphire fiber (0.25 - 1.0 mm diameter, 100 - 450 mm length) was grown by the laser heated pedestal growth (LHPG) methods. Transmission loss in the sapphire fiber was experimentally measured. Theoretical analysis shows the apparent emittance of the small cavity with a length to diameter (L/D) ratio greater than eight is a constant value near to one, so the small cavity can be considered as a small black-body cavity. Using the developed sapphire fiber temperature sensor, we have built a sapphire fiber thermometer based on a 8098 single-chip microcomputer system. It was calibrated at some known stable temperature point and uses the fundamental radiation law to extrapolate to other temperatures. By taking the ratio of the optical power at two wavelengths, errors due to changes in the system, such as emissivity and transmission losses, can be canceled out. The thermometer has an operating temperature range of 800 to 1900 degrees Celsius, and an accuracy of 0.2% at 1000 degrees Celsius. There are a number of applications of the thermometer both in science and industry.

  20. Biophysical model of bacterial cell interactions with nanopatterned cicada wing surfaces.

    PubMed

    Pogodin, Sergey; Hasan, Jafar; Baulin, Vladimir A; Webb, Hayden K; Truong, Vi Khanh; Phong Nguyen, The Hong; Boshkovikj, Veselin; Fluke, Christopher J; Watson, Gregory S; Watson, Jolanta A; Crawford, Russell J; Ivanova, Elena P

    2013-02-19

    The nanopattern on the surface of Clanger cicada (Psaltoda claripennis) wings represents the first example of a new class of biomaterials that can kill bacteria on contact based solely on their physical surface structure. The wings provide a model for the development of novel functional surfaces that possess an increased resistance to bacterial contamination and infection. We propose a biophysical model of the interactions between bacterial cells and cicada wing surface structures, and show that mechanical properties, in particular cell rigidity, are key factors in determining bacterial resistance/sensitivity to the bactericidal nature of the wing surface. We confirmed this experimentally by decreasing the rigidity of surface-resistant strains through microwave irradiation of the cells, which renders them susceptible to the wing effects. Our findings demonstrate the potential benefits of incorporating cicada wing nanopatterns into the design of antibacterial nanomaterials. Copyright © 2013 Biophysical Society. Published by Elsevier Inc. All rights reserved.

  1. Large area nano-patterning /writing on gold substrate using dip - pen nanolithography (DPN)

    NASA Astrophysics Data System (ADS)

    Saini, Sudhir Kumar; Vishwakarma, Amit; Agarwal, Pankaj B.; Pesala, Bala; Agarwal, Ajay

    2014-10-01

    Dip Pen Nanolithography (DPN) is utilized to pattern large area (50μmX50μm) gold substrate for application in fabricating Nano-gratings. For Nano-writing 16-MHA ink coated AFM tip was prepared using double dipping procedure. Gold substrate is fabricated on thermally grown SiO2 substrate by depositing ˜5 nm titanium layer followed by ˜30nm gold using DC pulse sputtering. The gratings were designed using period of 800nm and 25% duty cycle. Acquired AFM images indicate that as the AFM tip proceeds for nano-writing, line width decreases from 190nm to 100nm. This occurs probably due to depreciation of 16-MHA molecules in AFM tip as writing proceeds.

  2. Gold-catalyzed oxide nanopatterns for the directed assembly of Ge island arrays on Si.

    PubMed

    Robinson, Jeremy T; Ratto, Fulvio; Moutanabbir, Oussama; Heun, Stefan; Locatelli, Andrea; Mentes, T Onur; Aballe, Lucia; Dubon, Oscar D

    2007-09-01

    The heteroepitaxial growth of Ge on Au-patterned Si(001) is investigated using in situ spectromicroscopy. Patterning of a hydrogen-terminated Si surface with a square array of Au dots followed by brief exposure to air leads to the spontaneous, local oxidation of Si. The resulting oxide nanopattern limits the surface migration of Au during annealing up to 600 degrees C, resulting in complete preservation of the Au pattern. Subsequent deposition of Ge induces a redistribution of Au across the surface even as the oxide nanopattern persists. As a result, the oxide pattern drives the growth of Ge islands into an ordered assembly, while Au decorates the surfaces of the Ge islands and modifies their shape.

  3. Reliability improvement methods for sapphire fiber temperature sensors

    NASA Astrophysics Data System (ADS)

    Schietinger, C.; Adams, B.

    1991-08-01

    Mechanical, optical, electrical, and software design improvements can be brought to bear in the enhancement of fiber-optic sapphire-fiber temperature measurement tool reliability in harsh environments. The optical fiber thermometry (OFT) equipment discussed is used in numerous process industries and generally involves a sapphire sensor, an optical transmission cable, and a microprocessor-based signal analyzer. OFT technology incorporating sensors for corrosive environments, hybrid sensors, and two-wavelength measurements, are discussed.

  4. Picosecond temporal contrast of Ti:Sapphire lasers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kalashnikov, Mikhail P.; Khodakovskiy, Nikita

    2017-05-01

    The temporal shape of recompressed Ti:sapphire CPA pulses typically contains relatively long pre- and post- pedestals appearing on a picosecond time scale. Despite playing a key role in laser-matter interactions, these artifacts - especially the shape of the leading front of the recompressed pulses - are poorly investigated and understood. The related publications consider picosecond pedestals appearing at both fronts of the main pulse to be related to scattering of the stretched pulse off diffraction gratings inside the stretcher or due to clipping of the pulse spectrum at dielectric coatings. In our experiments we analyzed different types of stretcher-compressor combinations used in Ti:Sapphire laser systems. These include a prism-based stretcher and a bulk compressor, transmission and reflection diffraction gratings - based combinations. We identified pedestals that are typical for the particular stretcher-compressor combination. Especially investigated are those which are coherent with the major recompressed pulse, since with self-phase modulation in power amplifiers they will grow nonlinearly and finally appear symmetric around the major pulse, generating the pre-pedestal from the post-pedestal. Thus, a previously unreported influence of the trailing pedestal has been identified. It is commonly known that recompressed pulses from Ti:sapphire chirped-pulse amplifier systems are accompanied by a slowly decaying ragged post-pedestal. The detailed investigation shows that it consists of numerous pulses with temporal separation in the picosecond range. These are coherent with the main pulse. Moreover, the temporal structure of the trailing pedestal is independent of the particular realization of the Ti:sapphire system and it is present in radiation of any Ti:Sapphire CPA system including Kerr- mode locked master oscillators. Our investigations show that the coherent ragged post-pedestal is the post-radiation of inverted Ti:sapphire medium resulting from phonon

  5. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  6. Fabrication Of SNS Weak Links On SOS Substrates

    NASA Technical Reports Server (NTRS)

    Hunt, Brian D.

    1995-01-01

    High-quality superconductor/normal-conductor/superconductor (SNS) devices ("weak links") containing epitaxial films of YBa(2)Cu(3)O(7-x) and SrTiO(3) fabricated on silicon-on-sapphire (SOS) substrates with help of improved multilayer buffer system. Process for fabrication of edge-defined SNS weak links described in "Edge-Geometry SNS Devices Made of Y/Ba/Cu" (NPO-18552).

  7. Method of Fabricating Double Sided Si(Ge)/Sapphire/III-Nitride Hybrid Structure

    NASA Technical Reports Server (NTRS)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor)

    2017-01-01

    One aspect of the present invention is a double sided hybrid crystal structure including a trigonal Sapphire wafer containing a (0001) C-plane and having front and rear sides. The Sapphire wafer is substantially transparent to light in the visible and infrared spectra, and also provides insulation with respect to electromagnetic radio frequency noise. A layer of crystalline Si material having a cubic diamond structure aligned with the cubic <111> direction on the (0001) C-plane and strained as rhombohedron to thereby enable continuous integration of a selected (SiGe) device onto the rear side of the Sapphire wafer. The double sided hybrid crystal structure further includes an integrated III-Nitride crystalline layer on the front side of the Sapphire wafer that enables continuous integration of a selected III-Nitride device on the front side of the Sapphire wafer.

  8. Anisotropic MoS2 Nanosheets Grown on Self-Organized Nanopatterned Substrates.

    PubMed

    Martella, Christian; Mennucci, Carlo; Cinquanta, Eugenio; Lamperti, Alessio; Cappelluti, Emmanuele; Buatier de Mongeot, Francesco; Molle, Alessandro

    2017-05-01

    Manipulating the anisotropy in 2D nanosheets is a promising way to tune or trigger functional properties at the nanoscale. Here, a novel approach is presented to introduce a one-directional anisotropy in MoS 2 nanosheets via chemical vapor deposition (CVD) onto rippled patterns prepared on ion-sputtered SiO 2 /Si substrates. The optoelectronic properties of MoS 2 are dramatically affected by the rippled MoS 2 morphology both at the macro- and the nanoscale. In particular, strongly anisotropic phonon modes are observed depending on the polarization orientation with respect to the ripple axis. Moreover, the rippled morphology induces localization of strain and charge doping at the nanoscale, thus causing substantial redshifts of the phonon mode frequencies and a topography-dependent modulation of the MoS 2 workfunction, respectively. This study paves the way to a controllable tuning of the anisotropy via substrate pattern engineering in CVD-grown 2D nanosheets. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Strong geometrical effects in submillimeter selective area growth and light extraction of GaN light emitting diodes on sapphire

    DOE PAGES

    Tanaka, Atsunori; Chen, Renjie; Jungjohann, Katherine L.; ...

    2015-11-27

    Advanced semiconductor devices often utilize structural and geometrical effects to tailor their characteristics and improve their performance. Our detailed understanding of such geometrical effects in the epitaxial selective area growth of GaN on sapphire substrates is reported here, and we utilize them to enhance light extraction from GaN light emitting diodes. Systematic size and spacing effects were performed side-by-side on a single 2” sapphire substrate to minimize experimental sampling errors for a set of 144 pattern arrays with circular mask opening windows in SiO 2. We show that the mask opening diameter leads to as much as 4 times increasemore » in the thickness of the grown layers for 20 μm spacings and that spacing effects can lead to as much as 3 times increase in thickness for a 350 μm dot diameter. We also observed that the facet evolution in comparison with extracted Ga adatom diffusion lengths directly influences the vertical and lateral overgrowth rates and can be controlled with pattern geometry. Lastly, such control over the facet development led to 2.5 times stronger electroluminescence characteristics from well-faceted GaN/InGaN multiple quantum well LEDs compared to non-faceted structures.« less

  10. Method of making photovoltaic cell

    DOEpatents

    Cruz-Campa, Jose Luis; Zhou, Xiaowang; Zubia, David

    2017-06-20

    A photovoltaic solar cell comprises a nano-patterned substrate layer. A plurality of nano-windows are etched into an intermediate substrate layer to form the nano-patterned substrate layer. The nano-patterned substrate layer is positioned between an n-type semiconductor layer composed of an n-type semiconductor material and a p-type semiconductor layer composed of a p-type semiconductor material. Semiconductor material accumulates in the plurality of nano-windows, causing a plurality of heterojunctions to form between the n-type semiconductor layer and the p-type semiconductor layer.

  11. High-temperature effects on the light transmission through sapphire optical fiber

    DOE PAGES

    Wilson, Brandon A.; Petrie, Christian M.; Blue, Thomas E.

    2018-03-13

    Single crystal sapphire optical fiber was tested at high temperatures (1500°C) to determine its suitability for optical instrumentation in high-temperature environments. Broadband light transmission (450-2300 nm) through sapphire fiber was measured as a function of temperature as a test of the fiber's ability to survive and operate in high-temperature environments. Upon heating sapphire fiber to 1400°C, large amounts of light attenuation were measured across the entire range of light wavelengths that were tested. SEM and TEM images of the heated sapphire fiber indicated that a layer had formed at the surface of the fiber, most likely due to a chemicalmore » change at high temperatures. The microscopy results suggest that the surface layer may be in the form of aluminum hydroxide. Subsequent tests of sapphire fiber in an inert atmosphere showed minimal light attenuation at high temperatures along with the elimination of any surface layers on the fiber, indicating that the air atmosphere is indeed responsible for the increased attenuation and surface layer formation at high temperatures.« less

  12. High-temperature effects on the light transmission through sapphire optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilson, Brandon A.; Petrie, Christian M.; Blue, Thomas E.

    Single crystal sapphire optical fiber was tested at high temperatures (1500°C) to determine its suitability for optical instrumentation in high-temperature environments. Broadband light transmission (450-2300 nm) through sapphire fiber was measured as a function of temperature as a test of the fiber's ability to survive and operate in high-temperature environments. Upon heating sapphire fiber to 1400°C, large amounts of light attenuation were measured across the entire range of light wavelengths that were tested. SEM and TEM images of the heated sapphire fiber indicated that a layer had formed at the surface of the fiber, most likely due to a chemicalmore » change at high temperatures. The microscopy results suggest that the surface layer may be in the form of aluminum hydroxide. Subsequent tests of sapphire fiber in an inert atmosphere showed minimal light attenuation at high temperatures along with the elimination of any surface layers on the fiber, indicating that the air atmosphere is indeed responsible for the increased attenuation and surface layer formation at high temperatures.« less

  13. Engineered liquid crystal anchoring energies with nanopatterned surfaces.

    PubMed

    Gear, Christopher; Diest, Kenneth; Liberman, Vladimir; Rothschild, Mordechai

    2015-01-26

    The anchoring energy of liquid crystals was shown to be tunable by surface nanopatterning of periodic lines and spaces. Both the pitch and height were varied using hydrogen silsesquioxane negative tone electron beam resist, providing for flexibility in magnitude and spatial distribution of the anchoring energy. Using twisted nematic liquid crystal cells, it was shown that this energy is tunable over an order of magnitude. These results agree with a literature model which predicts the anchoring energy of sinusoidal grooves.

  14. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  15. Failure Analysis of Sapphire Refractive Secondary Concentrators

    NASA Technical Reports Server (NTRS)

    Salem, Jonathan A.; Quinn, George D.

    2009-01-01

    Failure analysis was performed on two sapphire, refractive secondary concentrators (RSC) that failed during elevated temperature testing. Both concentrators failed from machining/handling damage on the lens face. The first concentrator, which failed during testing to 1300 C, exhibited a large r-plane twin extending from the lens through much of the cone. The second concentrator, which was an attempt to reduce temperature gradients and failed during testing to 649 C, exhibited a few small twins on the lens face. The twins were not located at the origin, but represent another mode of failure that needs to be considered in the design of sapphire components. In order to estimate the fracture stress from fractographic evidence, branching constants were measured on sapphire strength specimens. The fractographic analysis indicated radial tensile stresses of 44 to 65 MPa on the lens faces near the origins. Finite element analysis indicated similar stresses for the first RSC, but lower stresses for the second RSC. Better machining and handling might have prevented the fractures, however, temperature gradients and resultant thermal stresses need to be reduced to prevent twinning.

  16. Direct diode pumped Ti:sapphire ultrafast regenerative amplifier system

    DOE PAGES

    Backus, Sterling; Durfee, Charles; Lemons, Randy; ...

    2017-02-10

    Here, we report on a direct diode-pumped Ti:sapphire ultrafast regenerative amplifier laser system producing multi-uJ energies with repetition rate from 50 to 250 kHz. By combining cryogenic cooling of Ti:sapphire with high brightness fiber-coupled 450nm laser diodes, we for the first time demonstrate a power-scalable CW-pumped architecture that can be directly applied to demanding ultrafast applications such as coherent high-harmonic EUV generation without any complex post-amplification pulse compression. Initial results promise a new era for Ti:sapphire amplifiers not only for ultrafast laser applications, but also for tunable CW sources. We discuss the unique challenges to implementation, as well as themore » solutions to these challenges.« less

  17. Direct diode pumped Ti:sapphire ultrafast regenerative amplifier system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Backus, Sterling; Durfee, Charles; Lemons, Randy

    Here, we report on a direct diode-pumped Ti:sapphire ultrafast regenerative amplifier laser system producing multi-uJ energies with repetition rate from 50 to 250 kHz. By combining cryogenic cooling of Ti:sapphire with high brightness fiber-coupled 450nm laser diodes, we for the first time demonstrate a power-scalable CW-pumped architecture that can be directly applied to demanding ultrafast applications such as coherent high-harmonic EUV generation without any complex post-amplification pulse compression. Initial results promise a new era for Ti:sapphire amplifiers not only for ultrafast laser applications, but also for tunable CW sources. We discuss the unique challenges to implementation, as well as themore » solutions to these challenges.« less

  18. Ti:sapphire - A theoretical assessment for its spectroscopy

    NASA Astrophysics Data System (ADS)

    Da Silva, A.; Boschetto, D.; Rax, J. M.; Chériaux, G.

    2017-03-01

    This article tries to theoretically compute the stimulated emission cross-sections when we know the oscillator strength of a broad material class (dielectric crystals hosting metal-transition impurity atoms). We apply the present approach to Ti:sapphire and check it by computing some emission cross-section curves for both π and σ polarizations. We also set a relationship between oscillator strength and radiative lifetime. Such an approach will allow future parametric studies for Ti:sapphire spectroscopic properties.

  19. Optimizing Ti:Sapphire laser for quantitative biomedical imaging

    NASA Astrophysics Data System (ADS)

    James, Jeemol; Thomsen, Hanna; Hanstorp, Dag; Alemán Hérnandez, Felipe Ademir; Rothe, Sebastian; Enger, Jonas; Ericson, Marica B.

    2018-02-01

    Ti:Sapphire lasers are powerful tools in the field of scientific research and industry for a wide range of applications such as spectroscopic studies and microscopic imaging where tunable near-infrared light is required. To push the limits of the applicability of Ti:Sapphire lasers, fundamental understanding of the construction and operation is required. This paper presents two projects, (i) dealing with the building and characterization of custom built tunable narrow linewidth Ti:Sapphire laser for fundamental spectroscopy studies; and the second project (ii) the implementation of a fs-pulsed commercial Ti:Sapphire laser in an experimental multiphoton microscopy platform. For the narrow linewidth laser, a gold-plated diffraction grating with a Littrow geometry was implemented for highresolution wavelength selection. We demonstrate that the laser is tunable between 700 to 950 nm, operating in a pulsed mode with a repetition rate of 1 kHz and maximum average output power around 350 mW. The output linewidth was reduced from 6 GHz to 1.5 GHz by inserting an additional 6 mm thick etalon. The bandwidth was measured by means of a scanning Fabry Perot interferometer. Future work will focus on using a fs-pulsed commercial Ti:Sapphire laser (Tsunami, Spectra physics), operating at 80 MHz and maximum average output power around 1 W, for implementation in an experimental multiphoton microscopy set up dedicated for biomedical applications. Special focus will be on controlling pulse duration and dispersion in the optical components and biological tissue using pulse compression. Furthermore, time correlated analysis of the biological samples will be performed with the help of time correlated single photon counting module (SPCM, Becker&Hickl) which will give a novel dimension in quantitative biomedical imaging.

  20. Bulk vertical micromachining of single-crystal sapphire using inductively coupled plasma etching for x-ray resonant cavities

    NASA Astrophysics Data System (ADS)

    Chen, P.-C.; Lin, P.-T.; Mikolas, D. G.; Tsai, Y.-W.; Wang, Y.-L.; Fu, C.-C.; Chang, S.-L.

    2015-01-01

    To provide coherent x-ray sources for probing the dynamic structures of solid or liquid biological substances on the picosecond timescale, a high-aspect-ratio x-ray resonator cavity etched from a single crystal substrate with a nearly vertical sidewall structure is required. Although high-aspect-ratio resonator cavities have been produced in silicon, they suffer from unwanted multiple beam effects. However, this problem can be avoided by using the reduced symmetry of single-crystal sapphire in which x-ray cavities may produce a highly monochromatic transmitted x-ray beam. In this study, we performed nominal 100 µm deep etching and vertical sidewall profiles in single crystal sapphire using inductively coupled plasma (ICP) etching. The large depth is required to intercept a useful fraction of a stopped-down x-ray beam, as well as for beam clearance. An electroplated Ni hard mask was patterned using KMPR 1050 photoresist and contact lithography. The quality and performance of the x-ray cavity depended upon the uniformity of the cavity gap and therefore verticality of the fabricated vertical sidewall. To our knowledge, this is the first report of such deep, vertical etching of single-crystal sapphire. A gas mixture of Cl2/BCl3/Ar was used to etch the sapphire with process variables including BCl3 flow ratio and bias power. By etching for 540 min under optimal conditions, we obtained an x-ray resonant cavity with a depth of 95 µm, width of ~30 µm, gap of ~115 µm and sidewall profile internal angle of 89.5°. The results show that the etching parameters affected the quality of the vertical sidewall, which is essential for good x-ray resonant cavities.

  1. Single Mode Air-Clad Single Crystal Sapphire Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hill, Cary; Homa, Dan; Yu, Zhihao

    The observation of single mode propagation in an air-clad single crystal sapphire optical fiber at wavelengths at and above 783 nm is presented for the first time. A high-temperature wet acid etching method was used to reduce the diameter of a 10 cm length of commercially-sourced sapphire fiber from 125 micrometers to 6.5 micrometers, and far-field imaging provided modal information at intervals as the fiber diameter decreased. Modal volume was shown to decrease with decreasing diameter, and single mode behavior was observed at the minimum diameter achieved. While weakly-guiding approximations are generally inaccurate for low modal volume optical fiber withmore » high core-cladding refractive index disparity, consistency between these approximations and experimental results was observed when the effective numerical aperture was measured and substituted for the theoretical numerical aperture in weakly-guiding approximation calculations. With the demonstration of very low modal volume in sapphire at fiber diameters much larger than anticipated by legacy calculations, the resolution of sapphire fiber distributed sensors may be increased and other sensing schemes requiring very low modal volume, such as fiber Bragg gratings, may be realized in extreme environment applications.« less

  2. Single Mode Air-Clad Single Crystal Sapphire Optical Fiber

    DOE PAGES

    Hill, Cary; Homa, Dan; Yu, Zhihao; ...

    2017-05-03

    The observation of single mode propagation in an air-clad single crystal sapphire optical fiber at wavelengths at and above 783 nm is presented for the first time. A high-temperature wet acid etching method was used to reduce the diameter of a 10 cm length of commercially-sourced sapphire fiber from 125 micrometers to 6.5 micrometers, and far-field imaging provided modal information at intervals as the fiber diameter decreased. Modal volume was shown to decrease with decreasing diameter, and single mode behavior was observed at the minimum diameter achieved. While weakly-guiding approximations are generally inaccurate for low modal volume optical fiber withmore » high core-cladding refractive index disparity, consistency between these approximations and experimental results was observed when the effective numerical aperture was measured and substituted for the theoretical numerical aperture in weakly-guiding approximation calculations. With the demonstration of very low modal volume in sapphire at fiber diameters much larger than anticipated by legacy calculations, the resolution of sapphire fiber distributed sensors may be increased and other sensing schemes requiring very low modal volume, such as fiber Bragg gratings, may be realized in extreme environment applications.« less

  3. Femtosecond laser-induced periodic surface structural formation on sapphire with nanolayered gold coating

    NASA Astrophysics Data System (ADS)

    Yin, Kai; Wang, Cong; Duan, Ji'an; Guo, Chunlei

    2016-09-01

    Sapphire has a potential as a new generation of electronics display. However, direct processing of sapphire surface by visible or near-IR laser light is challenging since sapphire is transparent to these wavelengths. In this study, we investigate the formation of femtosecond laser-induced periodic surface structures (LIPSSs) on sapphire coated with nanolayered gold film. We found a reduced threshold by about 25 % in generating uniform LIPSSs on sapphire due to the nanolayered gold film. Different thickness of nanolayered gold films are studied, and it is shown that the change in thickness does not significantly affect the threshold reduction. It is believed that the diffusion of hot electrons in the gold films increases interfacial carrier density and electron-phonon coupling that results in a reduced threshold and more uniform periodic surface structure generation.

  4. Electron beam-induced graft polymerization of acrylic acid and immobilization of arginine-glycine-aspartic acid-containing peptide onto nanopatterned polycaprolactone.

    PubMed

    Sun, Hui; Wirsén, Anders; Albertsson, Ann-Christine

    2004-01-01

    Electron beam- (EB-) induced graft polymerization of acrylic acid and the subsequent immobilization of arginine-glycine-aspartic acid (RGD) peptide onto nanopatterned polycaprolactone with parallel grooves is reported. A high concentration of carboxylic groups was introduced onto the polymer substrate by EB-induced polymerization of acrylic acid. In the coupling of the RGD peptide to the carboxylated polymer surface, a three-step peptide immobilization process was used. This process included the activation of surface carboxylic acid into an active ester intermediate by use of 1-(3-dimethylaminopropyl)-3-ethylcarbodiimide hydrochloride (EDC) and N-hydroxysuccinimide (NHS), the introduction of disulfide groups by use of 2-(2-pyridinyldithio)ethanamine hydrochloride (PDEA), and final immobilization of the peptide via a thiol-disulfide exchange reaction. The extent of coupling was measured by UV spectroscopy. A preliminary study of the in vitro behavior of keratinocytes (NCTC 2544) cultured on the acrylic acid-grafted and RGD peptide-coupled surface showed that most cells grown on the coupled samples had a spread-rounded appearance, while the majority of cells tended to be elongated along the grooves on uncoupled substrates.

  5. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  6. Combination of surface- and interference-enhanced Raman scattering by CuS nanocrystals on nanopatterned Au structures

    PubMed Central

    Yeryukov, Nikolay A; Sveshnikova, Larisa L; Duda, Tatyana A; Rodyakina, Ekaterina E; Gridchin, Victor A; Sheremet, Evgeniya S; Zahn, Dietrich R T

    2015-01-01

    Summary We present the results of a Raman study of optical phonons in CuS nanocrystals (NCs) with a low areal density fabricated through the Langmuir–Blodgett technology on nanopatterned Au nanocluster arrays using a combination of surface- and interference-enhanced Raman scattering (SERS and IERS, respectively). Micro-Raman spectra of one monolayer of CuS NCs deposited on a bare Si substrate reveal only features corresponding to crystalline Si. However, a new relatively strong peak occurs in the Raman spectrum of CuS NCs on Au nanocluster arrays at 474 cm−1. This feature is related to the optical phonon mode in CuS NCs and manifests the SERS effect. For CuS NCs deposited on a SiO2 layer this phonon mode is also observed due to the IERS effect. Its intensity changes periodically with increasing SiO2 layer thickness for different laser excitation lines and is enhanced by a factor of about 30. CuS NCs formed on Au nanocluster arrays fabricated on IERS substrates combine the advantages of SERS and IERS and demonstrate stronger SERS enhancement allowing for the observation of Raman signals from CuS NCs with an ultra-low areal density. PMID:25977845

  7. Raman scattering in single-crystal sapphire at elevated temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thapa, Juddha; Liu, Bo; Woodruff, Steven D.

    Sapphire is a widely used high-temperature material and this work presents thorough characterization of all the measurable Raman scattering modes in sapphire and their temperature dependencies. Here, Raman scattering in bulk sapphire rods is measured from room temperature to 1081 °C and is illustrated as a method of noncontact temperature measurement. A single-line argon ion laser at 488 nm was used to excite the sapphire rods inside a cylindrical furnace. All the anti-Stokes peaks (or lines) were observable through the entire temperature range of interest, while Stokes peaks were observable until they were obscured by background thermal emission. Temperature measurementsmore » were found to be most reliable for A 1g and E g modes using the peaks at ±418, ±379, +578, +645, and, +750 cm -1 (+ and – are designated for Stokes and anti-Stokes peaks respectively). The 418 cm -1 peak was found to be the most intense peak. The temperature dependence of peak position, peak width, and peak area of the ±418 and ±379 peaks is presented. For +578, +645 and +750, the temperature dependence of peak position is presented. The peaks’ spectral positions provide the most precise temperature information within the experimental temperature range. Finally, the resultant temperature calibration curves are given, which indicate that sapphire can be used in high-temperature Raman thermometry with an accuracy of about 1.38°C average standard deviation over the entire >1000°C temperature range.« less

  8. Raman scattering in single-crystal sapphire at elevated temperatures

    DOE PAGES

    Thapa, Juddha; Liu, Bo; Woodruff, Steven D.; ...

    2017-10-25

    Sapphire is a widely used high-temperature material and this work presents thorough characterization of all the measurable Raman scattering modes in sapphire and their temperature dependencies. Here, Raman scattering in bulk sapphire rods is measured from room temperature to 1081 °C and is illustrated as a method of noncontact temperature measurement. A single-line argon ion laser at 488 nm was used to excite the sapphire rods inside a cylindrical furnace. All the anti-Stokes peaks (or lines) were observable through the entire temperature range of interest, while Stokes peaks were observable until they were obscured by background thermal emission. Temperature measurementsmore » were found to be most reliable for A 1g and E g modes using the peaks at ±418, ±379, +578, +645, and, +750 cm -1 (+ and – are designated for Stokes and anti-Stokes peaks respectively). The 418 cm -1 peak was found to be the most intense peak. The temperature dependence of peak position, peak width, and peak area of the ±418 and ±379 peaks is presented. For +578, +645 and +750, the temperature dependence of peak position is presented. The peaks’ spectral positions provide the most precise temperature information within the experimental temperature range. Finally, the resultant temperature calibration curves are given, which indicate that sapphire can be used in high-temperature Raman thermometry with an accuracy of about 1.38°C average standard deviation over the entire >1000°C temperature range.« less

  9. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    ERIC Educational Resources Information Center

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  10. High temperature sensing using higher-order-mode rejected sapphire-crystal fiber gratings

    NASA Astrophysics Data System (ADS)

    Zhan, Chun; Kim, Jae Hun; Lee, Jon; Yin, Stuart; Ruffin, Paul; Luo, Claire

    2007-09-01

    In this paper, we report the fabrication of higher-order-mode rejected fiber Bragg gratings (FBGs) in sapphire crystal fiber using infrared (IR) femtosecond laser illumination. The grating is tested in high temperature furnace up to 1600 degree Celsius. As sapphire fiber is only available as highly multimode fiber, a scheme to filter out higher order modes in favor for the fundamental mode is theoretically evaluated and experimentally demonstrated. The approach is to use an ultra thin sapphire crystal fiber (60 micron in diameter) to decrease the number of modes. The small diameter fiber also enables bending the fiber to certain radius which is carefully chosen to provide low loss for the fundamental mode LP01 and high loss for the other high-order modes. After bending, less-than-2-nm resonant peak bandwidth is achieved. The grating spectrum is improved, and higher resolution sensing measurement can be achieved. This mode filtering method is very easy to implement. Furthermore, the sapphire fiber is sealed with hi-purity alumina ceramic cement inside a flexible high temperature titanium tube, and the highly flexible titanium tube offers a robust packaging to sapphire fiber. Our high temperature sapphire grating sensor is very promising in extremely high temperature sensing application.

  11. Review and perspective: Sapphire optical fiber cladding development for harsh environment sensing

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Buric, Michael; Ohodnicki, Paul R.; Nakano, Jinichiro; Liu, Bo; Chorpening, Benjamin T.

    2018-03-01

    The potential to use single-crystal sapphire optical fiber as an alternative to silica optical fibers for sensing in high-temperature, high-pressure, and chemically aggressive harsh environments has been recognized for several decades. A key technological barrier to the widespread deployment of harsh environment sensors constructed with sapphire optical fibers has been the lack of an optical cladding that is durable under these conditions. However, researchers have not yet succeeded in incorporating a high-temperature cladding process into the typical fabrication process for single-crystal sapphire fibers, which generally involves seed-initiated fiber growth from the molten oxide state. While a number of advances in fabrication of a cladding after fiber-growth have been made over the last four decades, none have successfully transitioned to a commercial manufacturing process. This paper reviews the various strategies and techniques for fabricating an optically clad sapphire fiber which have been proposed and explored in published research. The limitations of current approaches and future prospects for sapphire fiber cladding are discussed, including fabrication methods and materials. The aim is to provide an understanding of the past research into optical cladding of sapphire fibers and to assess possible material systems for future research on this challenging problem for harsh environment sensors.

  12. Status of AlGaN based focal plane array for near UV imaging and strategy to extend this technology to far-UV by substrate removal

    NASA Astrophysics Data System (ADS)

    Reverchon, Jean-Luc; Gourdel, Yves; Robo, Jean-Alexandre; Truffer, Jean-Patrick; Costard, Eric; Brault, Julien; Duboz, Jean-Yves

    2017-11-01

    The fast development of nitrides has given the opportunity to investigate AlGaN as a material for ultraviolet detection. Such AlGaN based camera presents an intrinsic spectral selectivity and an extremely low dark current at room temperature. Firstly, we will present results on focal plane array of 320x256 pixels with a pitch of 30μm. The peak responsivity is around 280nm (solar-blind), 310nm and 360nm. These results are obtained in a standard SWIR supply chain (readout circuit, electronics). With the existing near-UV camera grown on sapphire, the short wavelength cutoff is due to a window layer improving the material quality of the active layer. The ultimate shortest wavelength would be 200nm due to sapphire substrate. We present here the ways to transfer the standard design of Schottky photodiodes from sapphire to silicon substrate. We will show the capability to remove the silicon substrate, and etch the window layer in order to extend the band width to lower wavelengths.

  13. Lindemann histograms as a new method to analyse nano-patterns and phases

    NASA Astrophysics Data System (ADS)

    Makey, Ghaith; Ilday, Serim; Tokel, Onur; Ibrahim, Muhamet; Yavuz, Ozgun; Pavlov, Ihor; Gulseren, Oguz; Ilday, Omer

    The detection, observation, and analysis of material phases and atomistic patterns are of great importance for understanding systems exhibiting both equilibrium and far-from-equilibrium dynamics. As such, there is intense research on phase transitions and pattern dynamics in soft matter, statistical and nonlinear physics, and polymer physics. In order to identify phases and nano-patterns, the pair correlation function is commonly used. However, this approach is limited in terms of recognizing competing patterns in dynamic systems, and lacks visualisation capabilities. In order to solve these limitations, we introduce Lindemann histogram quantification as an alternative method to analyse solid, liquid, and gas phases, along with hexagonal, square, and amorphous nano-pattern symmetries. We show that the proposed approach based on Lindemann parameter calculated per particle maps local number densities to material phase or particles pattern. We apply the Lindemann histogram method on dynamical colloidal self-assembly experimental data and identify competing patterns.

  14. Titanium-doped sapphire laser research and design study

    NASA Technical Reports Server (NTRS)

    Moulton, Peter F.

    1987-01-01

    Three main topics were considered in this study: the fundamental laser parameters of titanium-doped sapphire, characterization of commercially grown material, and design of a tunable, narrow-linewidth laser. Fundamental parameters investigated included the gain cross section, upper-state lifetime as a function of temperature and the surface-damage threshold. Commercial material was found to vary widely in the level of absorption of the laser wavelength with the highest absorption in Czochralski-grown crystals. Several Yi:sapphire lasers were constructed, including a multimode laser with greater than 50mJ of output energy and a single-transverse-mode ring laser, whose spectral and temporal characteristics were completely characterized. A design for a narrow-linewidth (single-frequency) Ti:sapphire laser was developed, based on the results of the experimental work. The design involves the use of a single-frequency, quasi-cw master oscillator, employed as an injection source for a pulsed ring laser.

  15. Staircase and saw-tooth field emission steps from nanopatterned n-type GaSb surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kildemo, M.; Levinsen, Y. Inntjore; Le Roy, S.

    2009-09-15

    High resolution field emission experiments from nanopatterned GaSb surfaces consisting of densely packed nanocones prepared by low ion-beam-energy sputtering are presented. Both uncovered and metal-covered nanopatterned surfaces were studied. Surprisingly, the field emission takes place by regular steps in the field emitted current. Depending on the field, the steps are either regular, flat, plateaus, or saw-tooth shaped. To the author's knowledge, this is the first time that such results have been reported. Each discrete jump in the field emission may be understood in terms of resonant tunneling through an extended surface space charge region in an n-type, high aspect ratio,more » single GaSb nanocone. The staircase shape may be understood from the spatial distribution of the aspect ratio of the cones.« less

  16. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  17. Silicon-Germanium Films Grown on Sapphire for Ka-Band Communications Applications

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    NASA's vision in the space communications area is to develop a broadband data network in which there is a high degree of interconnectivity among the various satellite systems, ground stations, and wired systems. To accomplish this goal, we will need complex electronic circuits integrating analog and digital data handling at the Ka-band (26 to 40 GHz). The purpose of this project is to show the feasibility of a new technology for Ka-band communications applications, namely silicon germanium (SiGe) on sapphire. This new technology will have several advantages in comparison to the existing silicon-substrate- based circuits. The main advantages are extremely low parasitic reactances that enable much higher quality active and passive components, better device isolation, higher radiation tolerance, and the integration of digital and analog circuitry on a single chip.

  18. Confinement induced ordering in dewetting of ultra-thin polymer bilayers on nanopatterned substrates.

    PubMed

    Bhandaru, Nandini; Das, Anuja; Mukherjee, Rabibrata

    2016-01-14

    We report the dewetting of a thin bilayer of polystyrene (PS) and poly(methylmethacrylate) (PMMA) on a topographically patterned nonwettable substrate comprising an array of pillars, arranged in a square lattice. With a gradual increase in the concentration of the PMMA solution (Cn-PMMA), the morphology of the bottom layer changes to: (1) an aligned array of spin dewetted droplets arranged along substrate grooves at very low Cn-PMMA; (2) an interconnected network of threads surrounding each pillar at intermediate Cn-PMMA; and (3) a continuous bottom layer at higher Cn-PMMA. On the other hand the morphology of the PS top layer depends largely on the nature of the pre-existing bottom layer, in addition to Cn-PS. An ordered array of PMMA core-PS shell droplets forms right after spin coating when both Cn-PMMA and Cn-PS are very low. Bilayers with all other initial configurations evolve during thermal annealing, resulting in a variety of ordered structures. Unique morphologies realized include laterally coexisting structures of the two polymers confined within the substrate grooves due to initial rupture of the bottom layer on the substrate followed by a squeezing flow of the top layer; an array of core-shell and single polymer droplets arranged in an alternating order etc., to highlight a few. Such structures cannot be fabricated by any stand-alone lithography technique. On the other hand, in some cases the partially dewetted bottom layer imparts stability to an intact top PS layer against dewetting. Apart from ordering, under certain specific conditions significant miniaturization and downsizing of dewetted feature periodicity and dimension as compared to dewetting of a single layer on a flat substrate is observed. With the help of a morphology phase diagram we show that ordering is achieved over a wide combination of Cn-PMMA and Cn-PS, though the morphology and dewetting pathway differs significantly with variation in the thickness of the individual layers.

  19. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    NASA Astrophysics Data System (ADS)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  20. Manipulation of the response of human endothelial colony-forming cells by focal adhesion assembly using gradient nanopattern plates.

    PubMed

    Cui, Long-Hui; Joo, Hyung Joon; Kim, Dae Hwan; Seo, Ha-Rim; Kim, Jung Suk; Choi, Seung-Cheol; Huang, Li-Hua; Na, Ji Eun; Lim, I-Rang; Kim, Jong-Ho; Rhyu, Im Joo; Hong, Soon Jun; Lee, Kyu Back; Lim, Do-Sun

    2018-01-01

    Nanotopography plays a pivotal role in the regulation of cellular responses. Nonetheless, little is known about how the gradient size of nanostructural stimuli alters the responses of endothelial progenitor cells without chemical factors. Herein, the fabrication of gradient nanopattern plates intended to mimic microenvironment nanotopography is described. The gradient nanopattern plates consist of nanopillars of increasing diameter ranges [120-200 nm (GP 120/200), 200-280 nm (GP 200/280), and 280-360 nm (GP 280/360)] that were used to screen the responses of human endothelial colony-forming cells (hECFCs). Nanopillars with a smaller nanopillar diameter caused the cell area and perimeter of hECFCs to decrease and their filopodial outgrowth to increase. The structure of vinculin (a focal adhesion marker in hECFCs) was also modulated by nanostructural stimuli of the gradient nanopattern plates. Moreover, Rho-associated protein kinase (ROCK) gene expression was significantly higher in hECFCs cultured on GP 120/200 than in those on flat plates (no nanopillars), and ROCK suppression impaired the nanostructural-stimuli-induced vinculin assembly. These results suggest that the gradient nanopattern plates generate size-specific nanostructural stimuli suitable for manipulation of the response of hECFCs, in a process dependent on ROCK signaling. This is the first evidence of size-specific nanostructure-sensing behavior of hECFCs. Nano feature surfaces are of growing interest as materials for a controlled response of various cells. In this study, we successfully fabricated gradient nanopattern plates to manipulate the response of blood-derived hECFCs without any chemical stimulation. Interestingly, we find that the sensitive nanopillar size for manipulation of hECFCs is range between 120 nm and 200 nm, which decreased the area and increased the filopodial outgrowth of hECFCs. Furthermore, we only modulate the nanopillar size to increase ROCK expression can be an

  1. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    PubMed

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  2. Nanopatterned muscle cell patches for enhanced myogenesis and dystrophin expression in a mouse model of muscular dystrophy.

    PubMed

    Yang, Hee Seok; Ieronimakis, Nicholas; Tsui, Jonathan H; Kim, Hong Nam; Suh, Kahp-Yang; Reyes, Morayma; Kim, Deok-Ho

    2014-02-01

    Skeletal muscle is a highly organized tissue in which the extracellular matrix (ECM) is composed of highly-aligned cables of collagen with nanoscale feature sizes, and provides structural and functional support to muscle fibers. As such, the transplantation of disorganized tissues or the direct injection of cells into muscles for regenerative therapy often results in suboptimal functional improvement due to a failure to integrate with native tissue properly. Here, we present a simple method in which biodegradable, biomimetic substrates with precisely controlled nanotopography were fabricated using solvent-assisted capillary force lithography (CFL) and were able to induce the proper development and differentiation of primary mononucleated cells to form mature muscle patches. Cells cultured on these nanopatterned substrates were highly-aligned and elongated, and formed more mature myotubes as evidenced by up-regulated expression of the myogenic regulatory factors Myf5, MyoD and myogenin (MyoG). When transplanted into mdx mice models for Duchenne muscular dystrophy (DMD), the proposed muscle patches led to the formation of a significantly greater number of dystrophin-positive muscle fibers, indicating that dystrophin replacement and myogenesis is achievable in vivo with this approach. These results demonstrate the feasibility of utilizing biomimetic substrates not only as platforms for studying the influences of the ECM on skeletal muscle function and maturation, but also to create transplantable muscle cell patches for the treatment of chronic and acute muscle diseases or injuries. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Molecular-orbital model for metal-sapphire interfacial strength

    NASA Technical Reports Server (NTRS)

    Johnson, K. H.; Pepper, S. V.

    1982-01-01

    Self-consistent-field X-Alpha scattered-wave cluster molecular-orbital models have been constructed for transition and noble metals (Fe, Ni, Cu, and Ag) in contact with a sapphire (Al2O3) surface. It is found that a chemical bond is established between the metal d-orbital electrons and the nonbonding 2p-orbital electrons of the oxygen anions on the Al2O3 surface. An increasing number of occupied metal-sapphire antibonding molecular orbitals explains qualitatively the observed decrease of contact shear strength through the series Fe, Ni, Cu, and Ag.

  4. Fabrication of non-hexagonal close packed colloidal array on a substrate by transfer

    NASA Astrophysics Data System (ADS)

    Banik, Meneka; Mukherjee, Rabibrata

    Self-organized colloidal arrays find application in fabrication of solar cells with advanced light management strategies. We report a simple spincoating based approach for fabricating two dimensional colloidal crystals with hexagonal and non-hexagonal close packed assembly on flat and nanopatterned substrates. The non-HCP arrays were fabricated by spin coating the particles onto soft lithographically fabricated substrates. The substrate patterns impose directionality to the particles by confining them within the grooves. We have developed a technique by which the HCP and non-HCP arrays can be transferred to any surface. For this purpose the colloidal arrays were fabricated on a UV degradable PMMA layer, resulting in transfer of the particles on UV exposure. This allows the colloidal structures to be transported across substrates irrespective of their surface energy, wettability or morphology. Since the particles are transferred without exposing it to any kind of chemical or thermal environment, it can be utilized for placing particles on top of thin film solar cells for improving their absorption efficiency.

  5. Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction grown by metal-organic chemical vapor deposition on sapphire substrate

    PubMed Central

    Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong

    2014-01-01

    Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm2 at the reverse bias of −1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface. PMID:25205042

  6. Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction grown by metal-organic chemical vapor deposition on sapphire substrate.

    PubMed

    Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong

    2014-09-10

    Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n(+)-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm(2) at the reverse bias of -1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface.

  7. The Impact of GaN/Substrate Thermal Boundary Resistance on a HEMT Device

    DTIC Science & Technology

    2011-11-01

    stack between the GaN and Substrate layers. The University of Bristol recently reported that this TBR in commercial devices on Silicon Carbide ( SiC ...Circuit RF Radio Frequency PA Power Amplifier SiC Silicon Carbide FEA Finite Element Analysis heff Effective Heat transfer Coefficient (W/m 2 K...substrate material switched from sapphire to silicon , and by another factor of two from silicon to SiC . TABLE 1: SAMPLE RESULTS FROM DOUGLAS ET AL. FOR

  8. RNA nanopatterning on graphene

    NASA Astrophysics Data System (ADS)

    Li, Q.; Froning, J. P.; Pykal, M.; Zhang, S.; Wang, Z.; Vondrák, M.; Banáš, P.; Čépe, K.; Jurečka, P.; Šponer, J.; Zbořil, R.; Dong, M.; Otyepka, M.

    2018-07-01

    Graphene-based materials enable the sensing of diverse biomolecules using experimental approaches based on electrochemistry, spectroscopy, or other methods. Although basic sensing was achieved, it had until now not been possible to understand and control biomolecules’ structural and morphological organization on graphene surfaces (i.e. their stacking, folding/unfolding, self-assembly, and nano-patterning). Here we present the insight into structural and morphological organization of biomolecules on graphene in water, using an RNA hairpin as a model system. We show that the key parameters governing the RNA’s behavior on the graphene surface are the number of graphene layers, RNA concentration, and temperature. At high concentrations, the RNA forms a film on the graphene surface with entrapped nanobubbles. The density and the size of the bubbles depend on the number of graphene layers. At lower concentrations, unfolded RNA stacks on the graphene and forms molecular clusters on the surface. Such a control over the conformational behavior of interacting biomolecules at graphene/water interfaces would facilitate new applications of graphene derivatives in biotechnology and biomedicine.

  9. Large-scale fabrication of polymer/Ag core-shell nanorod array as flexible SERS substrate by combining direct nanoimprint and electroless deposition

    NASA Astrophysics Data System (ADS)

    Liu, Sisi; Xu, Zhimou; Sun, Tangyou; Zhao, Wenning; Wu, Xinghui; Ma, Zhichao; Xu, Haifeng; He, Jian; Chen, Cunhua

    2014-06-01

    We demonstrate a highly sensitive surface-enhanced Raman scattering (SERS) substrate, which consists of Ag nanoparticles (NPs) assembled on the surface of a nanopatterned polymer film. The fabrication route of a polymer/Ag core-shell nanorod (PACSN) array employed a direct nanoimprint technique to create a high-resolution polymer nanorod array. The obtained nanopatterned polymer film was subjected to electroless deposition to form a sea-cucumber-like Ag shell over the surface of the polymer nanorod. The morphology and structures of PACSNs were analyzed by using scanning electron microscopy and X-ray diffraction. The as-synthesized PACSNs exhibited a remarkable SERS activity and Raman signal reproducibility to rhodamine 6G, and a concentration down to 10-12 M can be identified. The effect of electroless deposition time of Ag NPs onto the polymer nanorod surface was investigated. It was found that the electroless deposition time played an important role in SERS activity. Our results revealed that the combination of direct nanoimprint and electroless deposition provided a convenient and cost-effective way for large-scale fabrication of reliable SERS substrates without the requirement of expensive instruments.

  10. Modeling the Effects of Nanopatterned Surfaces on Wetting States of Droplets

    NASA Astrophysics Data System (ADS)

    Xiao, Ke; Zhao, Yanping; Ouyang, Gang; Li, Xinlei

    2017-04-01

    An analytic thermodynamic model has been established to quantitatively investigate the wetting states of droplets on nanopatterned surfaces. Based on the calculations for the free energies of droplets with the Wenzel state and the Cassie-Baxter state, it is found that the size and shape of nanostructured surfaces play crucial roles in wetting states. In detail, for nanohole-patterned surfaces, the deep and thin nanoholes lead to the Cassie-Baxter state, and contrarily, the shallow and thick nanoholes result in the Wenzel state. However, the droplets have the Wenzel state on the patterned surfaces with small height and radii nanopillars and have the Cassie-Baxter state when the height and radii of nanopillars are large. Furthermore, the intuitive phase diagrams of the wetting states of the droplet in the space of surface geometrical parameters are obtained. The theoretical results are in good agreement with the experimental observations and reveal physical mechanisms involved in the effects of nanopatterned surfaces on wetting states, which implies that these studies may provide useful guidance to the conscious design of patterned surfaces to control the wetting states of droplets.

  11. Gas-assisted electron-beam-induced nanopatterning of high-quality titanium oxide.

    PubMed

    Riazanova, A V; Costanzi, B N; Aristov, A I; Rikers, Y G M; Mulders, J J L; Kabashin, A V; Dahlberg, E Dan; Belova, L M

    2016-03-18

    Electron-beam-induced deposition of titanium oxide nanopatterns is described. The precursor is titanium tetra-isopropoxide, delivered to the deposition point through a needle and mixed with oxygen at the same point via a flow through a separate needle. The depositions are free of residual carbon and have an EDX determined stoichiometry of TiO2.2. High resolution transmission electron microscopy and Raman spectroscopy studies reveal an amorphous structure of the fabricated titanium oxide. Ellipsometric characterization of the deposited material reveals a refractive index of 2.2-2.4 RIU in the spectral range of 500-1700 nm and a very low extinction coefficient (lower than 10(-6) in the range of 400-1700 nm), which is consistent with high quality titanium oxide. The electrical resistivity of the titanium oxide patterned with this new process is in the range of 10-40 GΩ cm and the measured breakdown field is in the range of 10-70 V μm(-1). The fabricated nanopatterns are important for a variety of applications, including field-effect transistors, memory devices, MEMS, waveguide structures, bio- and chemical sensors.

  12. Gas-assisted electron-beam-induced nanopatterning of high-quality titanium oxide

    NASA Astrophysics Data System (ADS)

    Riazanova, A. V.; Costanzi, B. N.; Aristov, A. I.; Rikers, Y. G. M.; Mulders, J. J. L.; Kabashin, A. V.; Dahlberg, E. Dan; Belova, L. M.

    2016-03-01

    Electron-beam-induced deposition of titanium oxide nanopatterns is described. The precursor is titanium tetra-isopropoxide, delivered to the deposition point through a needle and mixed with oxygen at the same point via a flow through a separate needle. The depositions are free of residual carbon and have an EDX determined stoichiometry of TiO2.2. High resolution transmission electron microscopy and Raman spectroscopy studies reveal an amorphous structure of the fabricated titanium oxide. Ellipsometric characterization of the deposited material reveals a refractive index of 2.2-2.4 RIU in the spectral range of 500-1700 nm and a very low extinction coefficient (lower than 10-6 in the range of 400-1700 nm), which is consistent with high quality titanium oxide. The electrical resistivity of the titanium oxide patterned with this new process is in the range of 10-40 GΩ cm and the measured breakdown field is in the range of 10-70 V μm-1. The fabricated nanopatterns are important for a variety of applications, including field-effect transistors, memory devices, MEMS, waveguide structures, bio- and chemical sensors.

  13. Modification of electrical properties of silicon dioxide through intrinsic nano-patterns

    NASA Astrophysics Data System (ADS)

    Majee, Subimal; Barshilia, Devesh; Banerjee, Debashree; Kumar, Sanjeev; Mishra, Prabhash; Akhtar, Jamil

    2018-05-01

    The inherent network of nanopores and voids in silicon dioxide (SiO2) is generally undesirable for aspects of film quality, electrical insulation and dielectric performance. However, if we view these pores as natural nano-patterns embedded in a dielectric matrix then that opens up new vistas for exploration. The nano-pattern platform can be used to tailor electrical, optical, magnetic and mechanical properties of the carrier film. In this article we report the tunable electrical properties of thermal SiO2 thin-film achieved through utilization of the metal-nanopore network where the pores are filled with metallic Titanium (Ti). Without any intentional chemical doping, we have shown that the electrical resistivity of the oxide film can be controlled through physical filling up of the intrinsic oxide nanopores with Ti. The electrical resistivity of the composite film remains constant even after complete removal of the metal from the film surface except the pores. Careful morphological, electrical and structural analyses are carried out to establish that the presence of Ti in the nanopores play a crucial role in the observed conductive nature of the nanoporous film.

  14. [The design of all solid-state tunable pulsed Ti:sapphire laser system].

    PubMed

    Chen, Zhe; Ku, Geng; Wan, Junchao; Wang, Wei; Zhou, Chuanqing

    2013-05-01

    This paper presented a design of broadly all solid-state tunable pulsed Ti:sapphire laser with high power and stable performance. The laser was pumped by custom-made Nd:YAG laser which had water cooling system and amplified by two stage amplifier. The method accomplished tunable output of all solid-state tunable pulsed Ti:sapphire laser by modifying the reflection angle of the back mirror. We investigated the relationship between the power of the pumping laser and the all solid-state tunable pulsed Ti: sapphire laser by changing the power of the pumping source.

  15. Orientation of FePt nanoparticles on top of a-SiO2/Si(001), MgO(001) and sapphire(0001): effect of thermal treatments and influence of substrate and particle size.

    PubMed

    Schilling, Martin; Ziemann, Paul; Zhang, Zaoli; Biskupek, Johannes; Kaiser, Ute; Wiedwald, Ulf

    2016-01-01

    Texture formation and epitaxy of thin metal films and oriented growth of nanoparticles (NPs) on single crystal supports are of general interest for improved physical and chemical properties especially of anisotropic materials. In the case of FePt, the main focus lies on its highly anisotropic magnetic behavior and its catalytic activity, both due to the chemically ordered face-centered tetragonal (fct) L10 phase. If the c-axis of the tetragonal system can be aligned normal to the substrate plane, perpendicular magnetic recording could be achieved. Here, we study the orientation of FePt NPs and films on a-SiO2/Si(001), i.e., Si(001) with an amorphous (a-) native oxide layer on top, on MgO(001), and on sapphire(0001) substrates. For the NPs of an approximately equiatomic composition, two different sizes were chosen: "small" NPs with diameters in the range of 2-3 nm and "large" ones in the range of 5-8 nm. The 3 nm thick FePt films, deposited by pulsed laser deposition (PLD), served as reference samples. The structural properties were probed in situ, particularly texture formation and epitaxy of the specimens by reflection high-energy electron diffraction (RHEED) and, in case of 3 nm nanoparticles, additionally by high-resolution transmission electron microscopy (HRTEM) after different annealing steps between 200 and 650 °C. The L10 phase is obtained at annealing temperatures above 550 °C for films and 600 °C for nanoparticles in accordance with previous reports. On the amorphous surface of a-SiO2/Si substrates we find no preferential orientation neither for FePt films nor nanoparticles even after annealing at 630 °C. On sapphire(0001) supports, however, FePt nanoparticles exhibit a clearly preferred (111) orientation even in the as-prepared state, which can be slightly improved by annealing at 600-650 °C. This improvement depends on the size of NPs: Only the smaller NPs approach a fully developed (111) orientation. On top of MgO(001) the effect of annealing on

  16. Orientation of FePt nanoparticles on top of a-SiO2/Si(001), MgO(001) and sapphire(0001): effect of thermal treatments and influence of substrate and particle size

    PubMed Central

    Schilling, Martin; Ziemann, Paul; Zhang, Zaoli; Biskupek, Johannes; Kaiser, Ute

    2016-01-01

    Summary Texture formation and epitaxy of thin metal films and oriented growth of nanoparticles (NPs) on single crystal supports are of general interest for improved physical and chemical properties especially of anisotropic materials. In the case of FePt, the main focus lies on its highly anisotropic magnetic behavior and its catalytic activity, both due to the chemically ordered face-centered tetragonal (fct) L10 phase. If the c-axis of the tetragonal system can be aligned normal to the substrate plane, perpendicular magnetic recording could be achieved. Here, we study the orientation of FePt NPs and films on a-SiO2/Si(001), i.e., Si(001) with an amorphous (a-) native oxide layer on top, on MgO(001), and on sapphire(0001) substrates. For the NPs of an approximately equiatomic composition, two different sizes were chosen: “small” NPs with diameters in the range of 2–3 nm and “large” ones in the range of 5–8 nm. The 3 nm thick FePt films, deposited by pulsed laser deposition (PLD), served as reference samples. The structural properties were probed in situ, particularly texture formation and epitaxy of the specimens by reflection high-energy electron diffraction (RHEED) and, in case of 3 nm nanoparticles, additionally by high-resolution transmission electron microscopy (HRTEM) after different annealing steps between 200 and 650 °C. The L10 phase is obtained at annealing temperatures above 550 °C for films and 600 °C for nanoparticles in accordance with previous reports. On the amorphous surface of a-SiO2/Si substrates we find no preferential orientation neither for FePt films nor nanoparticles even after annealing at 630 °C. On sapphire(0001) supports, however, FePt nanoparticles exhibit a clearly preferred (111) orientation even in the as-prepared state, which can be slightly improved by annealing at 600–650 °C. This improvement depends on the size of NPs: Only the smaller NPs approach a fully developed (111) orientation. On top of MgO(001) the

  17. Investigation of Surface Enhanced Coherent Raman Scattering on Nano-patterned Insect Wings

    NASA Astrophysics Data System (ADS)

    Ujj, Laszlo; Lawhead, Carlos

    2015-03-01

    Many insect wings (cicadas, butterflies, mosquitos) poses nano-patterned surface structure. Characterization of surface morphology and chemical composition of insect wings is important to understand the extreme mechanical properties and the biophysical functionalities of the wings. We have measured the image of the membrane of a cicada's wing with the help of Scanning Electron Microscopy (SEM). The results confirm the existing periodic structure of the wing measured previously. In order to identify the chemical composition of the wing, we have deposited silver nanoparticles on it and applied Coherent anti-Stokes Raman Spectroscopy to measure the vibrational spectra of the molecules comprising the wing for the first time. The measured spectra are consistent with the original assumption that the wing membrane is composed of protein, wax, and chitin. The results of these studies can be used to measure other nano-patterned surfaces and to make artificial materials in the future. Authors grateful for financial support from the Department of Physics of the College of Sciences Engineering and Health of UWF and the Pall Corporation for SEM imaging.

  18. Negative differential resistance in low Al-composition p-GaN/Mg-doped Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liang, Hongwei; Shen, Rensheng; Wang, Dongsheng; Tao, Pengcheng; Liu, Yang; Xia, Xiaochuan; Luo, Yingmin; Du, Guotong

    2014-02-01

    Negative differential resistance (NDR) behavior was observed in low Al-composition p-GaN/Mg-doped-Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate. The energy band and free carrier concentration of hetero-junction were studied by the model of the self-consistent solution of Schrödinger-Poisson equations combined with polarization engineering theory. At the forward bias of 0.95 V, the NDR effect has a high peak-to-valley current ratio of ˜9 with a peak current of 22.4 mA (˜current density of 11.4 A/cm2). An interesting phenomenon of NDR disappearance after consecutive scans and recurrence after electrical treatment was observed, which was associated with Poole-Frenkel effect.

  19. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  20. Reduction of Line Edge Roughness of Polystyrene-block-Poly(methyl methacrylate) Copolymer Nanopatterns By Introducing Hydrogen Bonding at the Junction Point of Two Block Chains.

    PubMed

    Lee, Kyu Seong; Lee, Jaeyong; Kwak, Jongheon; Moon, Hong Chul; Kim, Jin Kon

    2017-09-20

    To apply well-defined block copolymer nanopatterns to next-generation lithography or high-density storage devices, small line edge roughness (LER) of nanopatterns should be realized. Although polystyrene-block-poly(methyl methacrylate) copolymer (PS-b-PMMA) has been widely used to fabricate nanopatterns because of easy perpendicular orientation of the block copolymer nanodomains and effective removal of PMMA block by dry etching, the fabricated nanopatterns show poorer line edge roughness (LER) due to relatively small Flory-Huggins interaction parameter (χ) between PS and PMMA chains. Here, we synthesized PS-b-PMMA with urea (U) and N-(4-aminomethyl-benzyl)-4-hydroxymethyl-benzamide (BA) moieties at junction of PS and PMMA chains (PS-U-BA-PMMA) to improve the LER. The U-BA moieties serves as favorable interaction (hydrogen bonding) sites. The LER of PS line patterns obtained from PS-U-BA-PMMA was reduced ∼25% compared with that obtained from neat PS-b-PMMA without BA and U moieties. This is attributed to narrower interfacial width induced by hydrogen bonding between two blocks, which is confirmed by small-angle X-ray scattering. This result implies that the introduction of hydrogen bonding into block copolymer interfaces offers an opportunity to fabricate well-defined nanopatterns with improved LER by block copolymer self-assembly, which could be a promising alternative to next-generation extreme ultraviolet lithography.

  1. Investigations of gain redshift in high peak power Ti:sapphire laser systems

    NASA Astrophysics Data System (ADS)

    Wu, Fenxiang; Yu, Linpeng; Zhang, Zongxin; Li, Wenkai; Yang, Xiaojun; Wu, Yuanfeng; Li, Shuai; Wang, Cheng; Liu, Yanqi; Lu, Xiaoming; Xu, Yi; Leng, Yuxin

    2018-07-01

    Gain redshift in high peak power Ti:sapphire laser systems can result in narrowband spectral output and hence lengthen the compressed pulse duration. In order to realize broadband spectral output in 10 PW-class Ti:sapphire lasers, the influence on gain redshift induced by spectral pre-shaping, gain distribution of cascaded amplifiers and Extraction During Pumping (EDP) technique have been investigated. The theoretical and experimental results show that the redshift of output spectrum is sensitive to the spectral pre-shaping and the gain distribution of cascaded amplifiers, while insensitive to the pumping scheme with or without EDP. Moreover, the output spectrum from our future 10 PW Ti:sapphire laser is theoretically analyzed based on the investigations above, which indicates that a Fourier-transform limited (FTL) pulse duration of 21 fs can be achieved just by optimizing the spectral pre-shaping and gain distribution in 10 PW-class Ti:sapphire lasers.

  2. Fabrication of Monolithic Sapphire Membranes for High Tc Bolometer Array Development

    NASA Technical Reports Server (NTRS)

    Pugel, D. E.; Lakew, B.; Aslam, S.; Wang, L.

    2003-01-01

    This paper examines the effectiveness of Pt/Cr thin film masks for the architecture of monolithic membrane structures in r-plane sapphire. The development of a pinhole-free Pt/Cr composite mask that is resistant to hot H2SO4:H3PO4 etchant, will lead to the fabrication of smooth sapphire membranes whose surfaces are well-suited for the growth of low-noise high Tc films. In particular, the relationship of thermal annealing conditions on the Pt/Cr composite mask system to: (1) changes in the surface morphology and elemental concentration of the Pt/Cr thin film layers and (2) etch pit formation on the sapphire surface will be presented.

  3. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  4. Highly Tunable Complementary Micro/Submicro-Nanopatterned Surfaces Combining Block Copolymer Self-Assembly and Colloidal Lithography.

    PubMed

    Chang, Tongxin; Du, Binyang; Huang, Haiying; He, Tianbai

    2016-08-31

    Two kinds of large-area ordered and highly tunable micro/submicro-nanopatterned surfaces in a complementary manner were successfully fabricated by elaborately combining block copolymer self-assembly and colloidal lithography. Employing a monolayer of polystyrene (PS) colloidal spheres assembled on top as etching mask, polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) or polystyrene-block-poly(4-vinylpyridine) (PS-b-P4VP) micelle films were patterned into micro/submicro patches by plasma etching, which could be further transferred into micropatterned metal nanoarrays by subsequent metal precursor loading and a second plasma etching. On the other hand, micro/submicro-nanopatterns in a complementary manner were generated via preloading a metal precursor in initial micelle films before the assembly of PS colloidal spheres on top. Both kinds of micro/submicro-nanopatterns showed good fidelity at the micro/submicroscale and nanoscale; meanwhile, they could be flexibly tuned by the sample and processing parameters. Significantly, when the PS colloidal sphere size was reduced to 250 nm, a high-resolution submicro-nanostructured surface with 3-5 metal nanoparticles in each patch or a single-nanoparticle interconnected honeycomb network was achieved. Moreover, by applying gold (Au) nanoparticles as anchoring points, micronanopatterned Au arrays can serve as a flexible template to pattern bovine serum albumin (BSA) molecules. This facile and cost-effective approach may provide a novel platform for fabrication of micropatterned nanoarrays with high tunability and controllability, which are promising in the applications of biological and microelectronic fields.

  5. Sapphire Viewports for a Venus Probe

    NASA Technical Reports Server (NTRS)

    Bates, Stephen

    2012-01-01

    A document discusses the creation of a viewport suitable for use on the surface of Venus. These viewports are rated for 500 C and 100 atm pressure with appropriate safety factors and reliability required for incorporation into a Venus Lander. Sapphire windows should easily withstand the chemical, pressure, and temperatures of the Venus surface. Novel fixture designs and seals appropriate to the environment are incorporated, as are materials compatible with exploration vessels. A test cell was fabricated, tested, and leak rate measured. The window features polish specification of the sides and corners, soft metal padding of the sapphire, and a metal C-ring seal. The system safety factor is greater than 2, and standard mechanical design theory was used to size the window, flange, and attachment bolts using available material property data. Maintenance involves simple cleaning of the window aperture surfaces. The only weakness of the system is its moderate rather than low leak rate for vacuum applications.

  6. [Evaluation of the Abbott Cell-Dyn Sapphire hematology analyzer].

    PubMed

    Park, Younhee; Song, Jaewoo; Song, Sungwook; Song, Kyung Soon; Ahn, Mee Suk; Yang, Mi-Sook; Kim, Il; Choi, Jong Rak

    2007-06-01

    The performance of Cell-Dyn Sapphire (Abbott Diagnostic, USA) was compared to the Bayer Advia 2120 (Bayer Diagnostics, USA), Sysmex XE-2100 (Sysmex Corporation, Japan), and reference microscopy. Three hundred samples for routine CBC and WBC differentials were randomly chosen for a comparison analysis. The Cell-Dyn Sapphire system was evaluated according to the linearity, imprecision, inter-instrument correlations, and white blood cell differential. The CBC parameters (WBC, RBC, hemoglobin and platelet) showed a significant linearity with correlation coefficients greater than 0.99 (P<0.0001). Coefficients of variation (CV) for within-run and differential count of WBC were less than 5% except for Total CV for monocytes, eosinophils, and basophils and within-run CV for low valued eosinophils. The correlation coefficients with manual count were lower in monocytes, eosinophils, and basophils than in neutrophils and lymphocytes. The correlation with other hematology anlayzers was significant exclusive of basophils. These results demonstrate that the Cell-Dyn Sapphire has a good linearity, an acceptable reproducibility, a minimal carryover, and a comparable performance with the sysmex XE-2100 and Advia 2120.

  7. Efficient continuous-wave and passively Q-switched pulse laser operations in a diffusion-bonded sapphire/Er:Yb:YAl3(BO3)4/sapphire composite crystal around 1.55 μm.

    PubMed

    Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-01-08

    A composite crystal consisting of a 1.5-mm-thick Er:Yb:YAl 3 (BO 3 ) 4 crystal between two 1.2-mm-thick sapphire crystals was fabricated by the thermal diffusion bonding technique. Compared with a lone Er:Yb:YAl 3 (BO 3 ) 4 crystal measured under the identical experimental conditions, higher laser performances were demonstrated in the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal due to the reduction of the thermal effects. End-pumped by a 976 nm laser diode in a hemispherical cavity, a 1.55 μm continuous-wave laser with a maximum output power of 1.75 W and a slope efficiency of 36% was obtained in the composite crystal when the incident pump power was 6.54 W. Passively Q-switched by a Co 2+ :MgAl 2 O 4 crystal, a 1.52 μm pulse laser with energy of 10 μJ and repetition frequency of 105 kHz was also realized in the composite crystal. Pulse width was 315 ns. The results show that the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal is an excellent active element for 1.55 μm laser.

  8. Progress Report for a New Cryogenic Sapphire Oscillator

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Dick, G. J.; Tjoelker, R. L.

    2006-01-01

    We present design progress and subsystem test results for a new short-term frequency standard, the Voltage Controlled Sapphire Oscillator (VCSO). Included are sapphire resonator and coupling design, cryocooler environmental sensitivity tests, Q measurement results, and turnover temperature results. A previous report presented history of the design related to resonator frequency and frequency compensation [1]. Performance goals are a frequency stability of 1x10(exp -14) (1 second less than or equal to (tau) less than or equal to 100 seconds) and two years or more continuous operation. Long-term operation and small size are facilitated by use of a small Stirling cryo-cooler (160W wall power) with an expected 5 year life.

  9. Influence of the substrate material on the optical properties of tungsten diselenide monolayers

    NASA Astrophysics Data System (ADS)

    Lippert, Sina; Schneider, Lorenz Maximilian; Renaud, Dylan; Kang, Kyung Nam; Ajayi, Obafunso; Kuhnert, Jan; Halbich, Marc-Uwe; Abdulmunem, Oday M.; Lin, Xing; Hassoon, Khaleel; Edalati-Boostan, Saeideh; Duck Kim, Young; Heimbrodt, Wolfram; Yang, Eui-Hyeok; Hone, James C.; Rahimi-Iman, Arash

    2017-06-01

    Monolayers of transition-metal dichalcogenides such as WSe2 have become increasingly attractive due to their potential in electrical and optical applications. Because the properties of these 2D systems are known to be affected by their surroundings, we report how the choice of the substrate material affects the optical properties of monolayer WSe2. To accomplish this study, pump-density-dependent micro-photoluminescence measurements are performed with time-integrating and time-resolving acquisition techniques. Spectral information and power-dependent mode intensities are compared at 290 K and 10 K for exfoliated WSe2 on SiO2/Si, sapphire (Al2O3), hBN/Si3N4/Si, and MgF2, indicating substrate-dependent appearance and strength of exciton, trion, and biexciton modes. Additionally, one CVD-grown WSe2 monolayer on sapphire is included in this study for direct comparison with its exfoliated counterpart. Time-resolved micro-photoluminescence shows how radiative decay times strongly differ for different substrate materials. Our data indicates exciton-exciton annihilation as a shortening mechanism at room temperature, and subtle trends in the decay rates in correlation to the dielectric environment at cryogenic temperatures. On the measureable time scales, trends are also related to the extent of the respective 2D-excitonic modes’ appearance. This result highlights the importance of further detailed characterization of exciton features in 2D materials, particularly with respect to the choice of substrate.

  10. Automatic hammering of nano-patterns on special polymer film by using a vibrating AFM tip

    PubMed Central

    2012-01-01

    Complicated nano-patterns with linewidth less than 18 nm can be automatically hammered by using atomic force microscopy (AFM) tip in tapping mode with high speed. In this study, the special sample was thin poly(styrene-ethylene/butylenes-styrene) (SEBS) block copolymer film with hexagonal spherical microstructures. An ordinary silicon tip was used as a nano-hammer, and the entire hammering process is controlled by a computer program. Experimental results demonstrate that such structure-tailored thin films enable AFM tip hammering to be performed on their surfaces. Both imprinted and embossed nano-patterns can be generated by using a vibrating tip with a larger tapping load and by using a predefined program to control the route of tip movement as it passes over the sample’s surface. Specific details for the fabrication of structure-tailored SEBS film and the theory for auto-hammering patterns were presented in detail. PMID:22889045

  11. Single crystal growth of submillimeter diameter sapphire tube by the micro-pulling down method

    NASA Astrophysics Data System (ADS)

    Kamada, Kei; Murakami, Rikito; Kochurikhin, Vladimir V.; Luidmila, Gushchina; Jin Kim, Kyoung; Shoji, Yasuhiro; Yamaji, Akihiro; Kurosawa, Shunsuke; Ohashi, Yuji; Yokota, Yuui; Yoshikawa, Akira

    2018-06-01

    This paper addresses several aspects of the μ-PD growth technology as applied to submillimeter diameter sapphire tubes for UFD application. The μ-PD method has been successfully adapted for single crystal sapphire tube growth. A compound crucible made possible the growth of single crystal sapphire tube as small as around 0.70-0.72 mm in outer diameter and 0.28-0.29 in inner diameter over 160 mm in length at growth rate of 2-4 mm/min along 〈0 0 1〉 direction. An Ir crucible with a die composed of an equivalent hole and Ir wire was heated by RF coil in N2 atmosphere. The μ-PD method has been successfully adapted for single crystal sapphire tube growth. Grown crystal tube showed good XRC value of 30.2 arcsec.

  12. Quasi ?non-destructive? laser ablation-inductively coupled plasma-mass spectrometry fingerprinting of sapphires

    NASA Astrophysics Data System (ADS)

    Guillong, M.; Günther, D.

    2001-07-01

    A homogenized 193 nm excimer laser with a flat-top beam profile was used to study the capabilities of LA-ICP-MS for 'quasi' non-destructive fingerprinting and sourcing of sapphires from different locations. Sapphires contain 97-99% of Al 2O 3 (corundum), with the remainder composed of several trace elements, which can be used to distinguish the origin of these gemstones. The ablation behavior of sapphires, as well as the minimum quantity of sample removal that is required to determine these trace elements, was investigated. The optimum ablation conditions were a fluency of 6 J cm -2, a crater diameter of 120 μm, and a laser repetition rate of 10 Hz. The optimum time for the ablation was determined to be 2 s, equivalent to 20 laser pulses. The mean sample removal was 60 nm per pulse (approx. 3 ng per pulse). This allowed satisfactory trace element determination, and was found to cause the minimum amount of damage, while allowing for the fingerprinting of sapphires. More than 40 isotopes were measured using different spatial resolutions (20-120 μm) and eight elements were reproducibly detected in 25 sapphire samples from five different locations. The reproducibility of the trace element distribution is limited by the heterogeneity of the sample. The mean of five or more replicate analyses per sample was used. Calibration was carried out using NIST 612 glass reference material as external standard. The linear dynamic range of the ICP-MS (nine orders of magnitude) allowed the use of Al, the major element in sapphire, as an internal standard. The limits of detection for most of the light elements were in the μg g -1 range and were better for heavier elements (mass >85), being in the 0.1 μg g -1 range. The accuracy of the determinations was demonstrated by comparison with XRF analyses of the same set of samples. Using the quantitative analyses obtained using LA-ICP-MS, natural sapphires from five different origins were statistically classified using ternary plots and

  13. Sub-wavelength Laser Nanopatterning using Droplet Lenses

    NASA Astrophysics Data System (ADS)

    Duocastella, Martí; Florian, Camilo; Serra, Pere; Diaspro, Alberto

    2015-11-01

    When a drop of liquid falls onto a screen, e.g. a cell phone, the pixels lying underneath appear magnified. This lensing effect is a combination of the curvature and refractive index of the liquid droplet. Here, the spontaneous formation of such lenses is exploited to overcome the diffraction limit of a conventional laser direct-writing system. In particular, micro-droplets are first laser-printed at user-defined locations on a surface and they are later used as lenses to focus the same laser beam. Under conditions described herein, nanopatterns can be obtained with a reduction in spot size primarily limited by the refractive index of the liquid. This all-optics approach is demonstrated by writing arbitrary patterns with a feature size around 280 nm, about one fourth of the processing wavelength.

  14. Facile fabrication of uniaxial nanopatterns on shape memory polymer substrates using a complete bottom-up approach

    NASA Astrophysics Data System (ADS)

    Chen, Zhongbi; Krishnaswamy, Sridhar

    2014-03-01

    In earlier work, we have demonstrated an assisted self-assembly fabrication method for unidirectional submicron patterns using pre-programmed shape memory polymers (SMP) as the substrate in an organic/inorganic bilayer structure. In this paper, we propose a complete bottom-up method for fabrication of uniaxial wrinkles whose wavelength is below 300 nm. The method starts with using the aforementioned self-assembled bi-layer wrinkled surface as the template to make a replica of surface wrinkles on a PDMS layer which is spin-coated on a pre-programmed SMP substrate. When the shape recovery of the substrate is triggered by heating it to its transition temperature, the substrate has been programmed in such a way that it shrinks uniaxially to return to its permanent shape. Consequently, the wrinkle wavelength on PDMS reduces accordingly. A subsequent contact molding process is carried out on the PDMS layer spin-coated on another pre-programmed SMP substrate, but using the wrinkled PDMS surface obtained in the previous step as the master. By activating the shape recovery of the substrate, the wrinkle wavelength is further reduced a second time in a similar fashion. Our experiments showed that the starting wavelength of 640 nm decreased to 290 nm after two cycles of recursive molding. We discuss the advantages and limitations of our recursive molding approach compared to the prevalent top-down fabrication methods represented by lithography. The present study is expected to o er a simple and cost-e ective fabrication method of nano-scale uniaxial wrinkle patterns with the potential for large-scale mass-production.

  15. Selective bactericidal activity of nanopatterned superhydrophobic cicada Psaltoda claripennis wing surfaces.

    PubMed

    Hasan, Jafar; Webb, Hayden K; Truong, Vi Khanh; Pogodin, Sergey; Baulin, Vladimir A; Watson, Gregory S; Watson, Jolanta A; Crawford, Russell J; Ivanova, Elena P

    2013-10-01

    The nanopattern on the surface of Clanger cicada (Psaltoda claripennis) wings represents the first example of a new class of biomaterials that can kill bacteria on contact based solely on its physical surface structure. As such, they provide a model for the development of novel functional surfaces that possess an increased resistance to bacterial contamination and infection. Their effectiveness against a wide spectrum of bacteria, however, is yet to be established. Here, the bactericidal properties of the wings were tested against several bacterial species, possessing a range of combinations of morphology and cell wall type. The tested species were primarily pathogens, and included Bacillus subtilis, Branhamella catarrhalis, Escherichia coli, Planococcus maritimus, Pseudomonas aeruginosa, Pseudomonas fluorescens, and Staphylococcus aureus. The wings were found to consistently kill Gram-negative cells (i.e., B. catarrhalis, E. coli, P. aeruginosa, and P. fluorescens), while Gram-positive cells (B. subtilis, P. maritimus, and S. aureus) remained resistant. The morphology of the cells did not appear to play any role in determining cell susceptibility. The bactericidal activity of the wing was also found to be quite efficient; 6.1 ± 1.5 × 10(6) P. aeruginosa cells in suspension were inactivated per square centimeter of wing surface after 30-min incubation. These findings demonstrate the potential for the development of selective bactericidal surfaces incorporating cicada wing nanopatterns into the design.

  16. Deep-UV sensors based on SAW oscillators using low-temperature-grown AlN films on sapphires.

    PubMed

    Laksana, Chipta; Chen, Meei-Ru; Liang, Yen; Tzou, An-Jyeg; Kao, Hui-Ling; Jeng, Erik; Chen, Jyh; Chen, Hou-Guang; Jian, Sheng-Rui

    2011-08-01

    High-quality epitaxial AlN films were deposited on sapphire substrates at low growth temperature using a helicon sputtering system. SAW filters fabricated on the AlN films exhibited excellent characteristics, with center frequency of 354.2 MHz, which corresponds to a phase velocity of 5667 m/s. An oscillator fabricated using AlN-based SAW devices is presented and applied to deep-UV light detection. A frequency downshift of about 43 KHz was observed when the surface of SAW device was illuminated by a UV source with dominant wavelength of around 200 nm. The results indicate the feasibility of developing remote sensors for deep-UV measurement using AlN-based SAW oscillators.

  17. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  18. Nanopatterned reconfigurable spin-textures for magnonics

    NASA Astrophysics Data System (ADS)

    Albisetti, E.; Petti, D.; Pancaldi, M.; Madami, M.; Tacchi, S.; Curtis, J.; King, W. P.; Papp, A.; Csaba, G.; Porod, W.; Vavassori, P.; Riedo, E.; Bertacco, R.

    The control of spin-waves holds the promise to enable energy-efficient information transport and wave-based computing. Conventionally, the engineering of spin-waves is achieved via physically patterning magnetic structures such as magnonic crystals and micro-nanowires. We demonstrate a new concept for creating reconfigurable magnonic nanostructures, by crafting at the nanoscale the magnetic anisotropy landscape of a ferromagnet exchange-coupled to an antiferromagnet. By performing a highly localized field cooling with the hot tip of a scanning probe microscope, magnetic structures, with arbitrarily oriented magnetization and tunable unidirectional anisotropy, are patterned without modifying the film chemistry and topography. We demonstrate that, in such structures, the spin-wave excitation and propagation can be spatially controlled at remanence, and can be tuned by external magnetic fields. This opens the way to the use of nanopatterned spin-textures, such as domains and domain walls, for exciting and manipulating magnons in reconfigurable nanocircuits. Partially funded by the EC through project SWING (no. 705326).

  19. Development of the vertical Bridgman technique for 6-inch diameter c-axis sapphire growth supported by numerical simulation

    NASA Astrophysics Data System (ADS)

    Miyagawa, Chihiro; Kobayashi, Takumi; Taishi, Toshinori; Hoshikawa, Keigo

    2014-09-01

    Based on the growth of 3-inch diameter c-axis sapphire using the vertical Bridgman (VB) technique, numerical simulations were made and used to guide the growth of a 6-inch diameter sapphire. A 2D model of the VB hot-zone was constructed, the seeding interface shape of the 3-inch diameter sapphire as revealed by green laser scattering was estimated numerically, and the temperature distributions of two VB hot-zone models designed for 6-inch diameter sapphire growth were numerically simulated to achieve the optimal growth of large crystals. The hot-zone model with one heater was selected and prepared, and 6-inch diameter c-axis sapphire boules were actually grown, as predicted by the numerical results.

  20. 276 nm Substrate-Free Flip-Chip AlGaN Light-Emitting Diodes

    NASA Astrophysics Data System (ADS)

    Hwang, Seongmo; Morgan, Daniel; Kesler, Amanda; Lachab, Mohamed; Zhang, Bin; Heidari, Ahmad; Nazir, Haseeb; Ahmad, Iftikhar; Dion, Joe; Fareed, Qhalid; Adivarahan, Vinod; Islam, Monirul; Khan, Asif

    2011-03-01

    Lateral-conduction, substrate-free flip-chip (SFFC) light-emitting diodes (LEDs) with peak emission at 276 nm are demonstrated for the first time. The AlGaN multiple quantum well LED structures were grown by metal-organic chemical vapor deposition (MOCVD) on thick-AlN laterally overgrown on sapphire substrates. To fabricate the SFFC LEDs, a newly-developed laser-assisted ablation process was employed to separate the substrate from the LED chips. The chips had physical dimensions of 1100×900 µm2, and were comprised of four devices each with a 100×100 µm2 junction area. Electrical and optical characterization of the devices revealed no noticeable degradation to their performance due to the laser-lift-off process.

  1. Electrically conducting nanopatterns formed by chemical e-beam lithography via gold nanoparticle seeds.

    PubMed

    Schaal, Patrick A; Besmehn, Astrid; Maynicke, Eva; Noyong, Michael; Beschoten, Bernd; Simon, Ulrich

    2012-02-07

    We report the formation of thiol nanopatterns on SAM covered silicon wafers by converting sulfonic acid head groups via e-beam lithography. These thiol groups act as binding sites for gold nanoparticles, which can be enhanced to form electrically conducting nanostructures. This approach serves as a proof-of-concept for the combination of top-down and bottom-up processes for the generation of electrical devices on silicon.

  2. Fabrication of Monolithic Sapphire Membranes for High T(sub c) Bolometer Array Development

    NASA Technical Reports Server (NTRS)

    Pugel, D. E.; Lakew, B.; Aslam, S.; Wang, L.

    2004-01-01

    This paper examines the effectiveness of Pt/Cr thin film masks for the architecture of monolithic membrane structures in r-plane single crystal sapphire. The development of a pinhole-free Pt/Cr composite mask that is resistant to boiling H2SO4:H3PO4 etchant will lead to the fabrication of smooth sapphire membranes whose surfaces are well-suited for the growth of low-noise high Tc films. In particular, the relationship of thermal annealing conditions on the Pt/Cr composite mask system to: (1) changes in the surface morphology (2) elemental concentration of the Pt/Cr thin film layers and (3) etch pit formation on the sapphire surface will be presented.

  3. Sapphire Whispering Gallery Thermometer

    NASA Astrophysics Data System (ADS)

    Strouse, G. F.

    2007-12-01

    An innovative sapphire whispering gallery thermometer (SWGT) is being explored at the National Institute of Standards and Technology (NIST) as a potential replacement for a standard platinum resistance thermometer (SPRT) for industrial applications that require measurement uncertainties of ≤ 10 mK. The NIST SWGT uses a synthetic sapphire monocrystalline disk configured as a uniaxial, dielectric resonator with whispering gallery modes between 14 GHz and 20 GHz and with Q-factors as large as 90,000. The prototype SWGT stability at the ice melting point (0°C) is ≤ 1 mK with a frequency resolution equivalent to 0.05 mK. The prototype SWGT measurement uncertainty ( k= 1) is 10 mK from 0°C to 100°C for all five resonance modes studied. These results for the SWGT approach the capabilities of industrial resistance thermometers. The SWGT promises greatly increased resistance to mechanical shock relative to SPRTs, over the range from -196°C to 500°C while retaining the low uncertainties needed by secondary calibration laboratories. The temperature sensitivity of the SWGT depends upon a well-defined property (the refractive index at microwave frequencies) and the thermal expansion of a pure material. Therefore, it is expected that SWGTs can be calibrated over a wide temperature range using a reference function, along with deviations measured at a few fixed points. This article reports the prototype SWGT stability, resolution, repeatability, and the temperature dependence of five whispering gallery resonance frequencies in the range from 0°C to 100°C.

  4. Au-assisted fabrication of nano-holes on c-plane sapphire via thermal treatment guided by Au nanoparticles as catalysts

    NASA Astrophysics Data System (ADS)

    Sui, Mao; Pandey, Puran; Li, Ming-Yu; Zhang, Quanzhen; Kunwar, Sundar; Lee, Jihoon

    2017-01-01

    Nanoscale patterning of sapphires is a challenging task due to the high mechanical strength, chemical stability as well as thermal durability. In this paper, we demonstrate a gold droplet assisted approach of nano-hole fabrication on c-plane sapphire via a thermal treatment. Uniformly distributed nano-holes are fabricated on the sapphire surface guided by dome shaped Au nanoparticles (NPs) as catalysts and the patterning process is discussed based on the disequilibrium of vapor, liquid, solid interface energies at the Au NP/sapphire interface induced by the Au evaporation at high temperature. Followed by the re-equilibration of interface energy, transport of alumina from the beneath of NPs to the sapphire surface can occur along the NP/sapphire interface resulting in the formation of nano-holes. The fabrication of nano-holes using Au NPs as catalysts is a flexible, economical and convenient approach and can find applications in various optoelectronics.

  5. Properties Data for Adhesion and Surface Chemistry of Aluminum: Sapphire-Aluminum, Single-Crystal Couple

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pohlchuck, Bobby; Whitle, Neville C.; Hector, Louis G., Jr.; Adams, Jim

    1998-01-01

    An investigation was conducted to examine the adhesion and surface chemistry of single-crystal aluminum in contact with single-crystal sapphire (alumina). Pull-off force (adhesion) measurements were conducted under loads of 0. I to I mN in a vacuum of 10(exp -1) to 10(exp -9) Pa (approx. 10(exp -10) to 10(exp -11) torr) at room temperature. An Auger electron spectroscopy analyzer incorporated directly into an adhesion-measuring vacuum system was primarily used to define the chemical nature of the surfaces before and after adhesion measurements. The surfaces were cleaned by argon ion sputtering. With a clean aluminum-clean -sapphire couple the mean value and standard deviation of pull-off forces required to separate the surfaces were 3015 and 298 micro-N, respectively. With a contaminated aluminum-clean sapphire couple these values were 231 and 241 micro-N. The presence of a contaminant film on the aluminum surface reduced adhesion by a factor of 13. Therefore, surfaces cleanliness, particularly aluminum cleanliness, played an important role in the adhesion of the aluminum-sapphire couples. Pressures on the order of 10(exp -8) to 10(exp -9) Pa (approx. 10(exp -10) to 10(exp -11) torr) maintained a clean aluminum surface for only a short time (less then 1 hr) but maintained a clean sapphire surface, once it was achieved, for a much longer time.

  6. Characterization of the Performance of Sapphire Optical Fiber in Intense Radiation Fields, when Subjected to Very High Temperatures

    NASA Astrophysics Data System (ADS)

    Petrie, Christian M.

    The U.S. Department of Energy is interested in extending optically-based instrumentation from non-extreme environments to extremely high temperature radiation environments for the purposes of developing in-pile instrumentation. The development of in-pile instrumentation would help support the ultimate goal of understanding the behavior and predicting the performance of nuclear fuel systems at a microstructural level. Single crystal sapphire optical fibers are a promising candidate for in-pile instrumentation due to the high melting temperature and radiation hardness of sapphire. In order to extend sapphire fiber-based optical instrumentation to high temperature radiation environments, the ability of sapphire fibers to adequately transmit light in such an environment must first be demonstrated. Broadband optical transmission measurements of sapphire optical fibers were made in-situ as the sapphire fibers were heated and/or irradiated. The damage processes in sapphire fibers were also modeled from the primary knock-on event from energetic neutrons to the resulting damage cascade in order to predict the formation of stable defects that ultimately determine the resulting change in optical properties. Sapphire optical fibers were shown to withstand temperatures as high as 1300 °C with minimal increases in optical attenuation. A broad absorption band was observed to grow over time without reaching a dynamic equilibrium when the sapphire fiber was heated at temperatures of 1400 °C and above. The growth of this absorption band limits the use of sapphire optical fibers, at least in air, to temperatures of 1300 °C and below. Irradiation of sapphire fibers with gamma rays caused saturation of a defect center located below 500 nm, and extending as far as ~1000 nm, with little effect on the transmission at 1300 and 1550 nm. Increasing temperature during gamma irradiation generally reduced the added attenuation. Reactor irradiation of sapphire fibers caused an initial rapid

  7. Optical and electrical properties of GaN-based light emitting diodes grown on micro- and nano-scale patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Chiu, Ching-Hsueh; Lin, Chien-Chung; Deng, Dongmei; Kuo, Hao-Chung; Lau, Kei-May

    2011-10-01

    We investigate the optical and electrical characteristics of the GaN-based light emitting diodes (LEDs) grown on Micro and Nano-scale Patterned silicon substrate (MPLEDs and NPLEDs). The transmission electron microscopy (TEM) images reveal the suppression of threading dislocation density in InGaN/GaN structure on nano-pattern substrate due to nanoscale epitaxial lateral overgrowth (NELOG). The plan-view and cross-section cathodoluminescence (CL) mappings show less defective and more homogeneous active quantum well region growth on nano-porous substrates. From temperature dependent photoluminescence (PL) and low temperature time-resolved photoluminescence (TRPL) measurement, NPLEDs has better carrier confinement and higher radiative recombination rate than MPLEDs. In terms of device performance, NPLEDs exhibits smaller electroluminescence (EL) peak wavelength blue shift, lower reverse leakage current and decreases efficiency droop compared with the MPLEDs. These results suggest the feasibility of using NPSi for the growth of high quality and power LEDs on Si substrates.

  8. High-temperature sensor instrumentation with a thin-film-based sapphire fiber.

    PubMed

    Guo, Yuqing; Xia, Wei; Hu, Zhangzhong; Wang, Ming

    2017-03-10

    A novel sapphire fiber-optic high-temperature sensor has been designed and fabricated based on blackbody radiation theory. Metallic molybdenum has been used as the film material to develop the blackbody cavity, owing to its relatively high melting point compared to that of sapphire. More importantly, the fabrication process for the blackbody cavity is simple, efficient, and economical. Thermal radiation emitted from such a blackbody cavity is transmitted via optical fiber to a remote place for detection. The operating principle, the sensor structure, and the fabrication process are described here in detail. The developed high-temperature sensor was calibrated through a calibration blackbody furnace at temperatures from 900°C to 1200°C and tested by a sapphire crystal growth furnace up to 1880°C. The experimental results of our system agree well with those from a commercial Rayteck MR1SCCF infrared pyrometer, and the maximum residual is approximately 5°C, paving the way for high-accuracy temperature measurement especially for extremely harsh environments.

  9. Bonding Lexan and sapphire to form high-pressure, flame-resistant window

    NASA Technical Reports Server (NTRS)

    Richardson, William R.; Walker, Ernie D.

    1987-01-01

    Flammable materials have been studied in normal gravity and microgravity for many years. Photography plays a major role in the study of the combustion process giving a permanent visual record that can be analyzed. When these studies are extended to manned spacecraft, safety becomes a primary concern. The need for a high-pressure, flame-resistant, shatter-resistant window permitting photographic recording of combustion experiments in manned spacecraft prompted the development of a method for bonding Lexan and sapphire. Materials that resist shattering (e.g., Lexan) are not compatible with combustion experiments; the material loses strength at combustion temperatures. Sapphire is compatible with combustion temperatures in oxygen-enriched atmospheres but is subject to shattering. Combining the two materials results in a shatter-resistant, flame-resistant window. Combustion in microgravity produces a low-visibility flame; however, flame propagation and flame characteristics are readily visible as long as there is no deterioration of the image. Since an air gap between the Lexan and the sapphire would reduce transmission, a method was developed for bonding these unlike materials to minimize light loss.

  10. Implementation of ZnO/ZnMgO strained-layer superlattice for ZnO heteroepitaxial growth on sapphire

    NASA Astrophysics Data System (ADS)

    Petukhov, Vladimir; Bakin, Andrey; Tsiaoussis, Ioannis; Rothman, Johan; Ivanov, Sergey; Stoemenos, John; Waag, Andreas

    2011-05-01

    The main challenge in fabrication of ZnO-based devices is the absence of reliable p-type material. This is mostly caused by insufficient crystalline quality of the material and not well-enough-developed native point defect control of ZnO. At present high-quality ZnO wafers are still expensive and ZnO heteroepitaxial layers on sapphire are the most reasonable alternative to homoepitaxial layers. But it is still necessary to improve the crystalline quality of the heteroepitaxial layers. One of the approaches to reduce defect density in heteroepitaxial layers is to introduce a strained-layer superlattice (SL) that could stop dislocation propagation from the substrate-layer interface. In the present paper we have employed fifteen periods of a highly strained SL structure. The structure was grown on a conventional double buffer layer comprising of high-temperature MgO/low-temperature ZnO on sapphire. The influence of the SLs on the properties of the heteroepitaxial ZnO layers is investigated. Electrical measurements of the structure with SL revealed very high values of the carrier mobility up to 210 cm2/Vs at room temperature. Structural characterization of the obtained samples showed that the dislocation density in the following ZnO layer was not reduced. The high mobility signal appears to come from the SL structure or the SL/ZnO interface.

  11. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Ziwen; Xue, Zhongying; Zhang, Miao

    Direct growth of graphene on dielectric substrates is a prerequsite for the development of graphene-based electronic and optoelectronic devices. However, the current graphene synthesis directly on dielectric substrates always involves metal contamination problem, and the direct production of graphene patterns still remains unattainable and challenging. We propose herein a semiconducting Ge-assisted chemical vapor deposition approach to directly grow monolayer graphene on arbitrary dielectric substrates. By pre-patterning of catalytic Ge layer, the graphene with desired pattern can be achieved with extreme ease. Due to the catalysis of Ge, monolayer graphene is able to form on Ge covered dielectric substrates including SiOmore » 2/Si, quartz glass and sapphire substrates. Optimization of the process parameters leads to the complete sublimation of catalytic Ge layer during or immediately after monolayer graphene formation, thus resulting in direct deposition of large-area continuous graphene on dielectric substrates. The large-area, highly conductive graphene synthesized on transparent dielectric substrate using the proposed approach has exhibited wide applications, e.g., in defogger and in thermochromic displays, with both devices possessing excellent performances.« less

  12. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

    DOE PAGES

    Wang, Ziwen; Xue, Zhongying; Zhang, Miao; ...

    2017-05-31

    Direct growth of graphene on dielectric substrates is a prerequsite for the development of graphene-based electronic and optoelectronic devices. However, the current graphene synthesis directly on dielectric substrates always involves metal contamination problem, and the direct production of graphene patterns still remains unattainable and challenging. We propose herein a semiconducting Ge-assisted chemical vapor deposition approach to directly grow monolayer graphene on arbitrary dielectric substrates. By pre-patterning of catalytic Ge layer, the graphene with desired pattern can be achieved with extreme ease. Due to the catalysis of Ge, monolayer graphene is able to form on Ge covered dielectric substrates including SiOmore » 2/Si, quartz glass and sapphire substrates. Optimization of the process parameters leads to the complete sublimation of catalytic Ge layer during or immediately after monolayer graphene formation, thus resulting in direct deposition of large-area continuous graphene on dielectric substrates. The large-area, highly conductive graphene synthesized on transparent dielectric substrate using the proposed approach has exhibited wide applications, e.g., in defogger and in thermochromic displays, with both devices possessing excellent performances.« less

  13. Temperature Compensated Sapphire Resonator for Ultrastable Oscillator Operating at Temperatures Near 77 Deg Kelvin

    NASA Technical Reports Server (NTRS)

    Dick, G. John (Inventor); Santiago, David G. (Inventor)

    1999-01-01

    A sapphire resonator for an ultrastable oscillator capable of substantial performance improvements over the best available crystal quartz oscillators in a compact cryogenic package is based on a compensation mechanism enabled by the difference between copper and sapphire thermal expansion coefficients for so tuning the resonator as to cancel the temperature variation of the sapphire's dielectric constant. The sapphire resonator consists of a sapphire ring separated into two parts with webs on the outer end of each to form two re-entrant parts which are separated by a copper post. The re-entrant parts are bonded to the post by indium solder for good thermal conductivity between parts of that subassembly which is supported on the base plate of a closed copper cylinder (rf shielding casing) by a thin stainless steel cylinder. A unit for temperature control is placed in the stainless steel cylinder and is connected to the subassembly of re-entrant parts and copper post by a layer of indium for good thermal conduction. In normal use, the rf shielding casing is placed in a vacuum tank which is in turn placed in a thermos flask of liquid nitrogen. The temperature regulator is controlled from outside the thermos flask to a temperature in a range of about 40K to 150K, such as 87K for the WGH-811, mode of resonance in response to microwave energy inserted into the rf shielding casing through a port from an outside source.

  14. Influence of Cr and W alloying on the fiber-matrix interfacial shear strength in cast and directionally solidified sapphire NiAl composites

    NASA Technical Reports Server (NTRS)

    Asthana, R.; Tiwari, R.; Tewari, S. N.

    1995-01-01

    Sapphire-reinforced NiAl matrix composites with chromium or tungsten as alloying additions were synthesized using casting and zone directional solidification (DS) techniques and characterized by a fiber pushout test as well as by microhardness measurements. The sapphire-NiAl(Cr) specimens exhibited an interlayer of Cr rich eutectic at the fiber-matrix interface and a higher interfacial shear strength compared to unalloyed sapphire-NiAl specimens processed under identical conditions. In contrast, the sapphire-NiAl(W) specimens did not show interfacial excess of tungsten rich phases, although the interfacial shear strength was high and comparable to that of sapphire-NiAl(Cr). The postdebond sliding stress was higher in sapphire-NiAl(Cr) than in sapphire-NiAl(W) due to interface enrichment with chromium particles. The matrix microhardness progressively decreased with increasing distance from the interface in both DS NiAl and NiAl(Cr) specimens. The study highlights the potential of casting and DS techniques to improve the toughness and strength of NiAl by designing dual-phase microstructures in NiAl alloys reinforced with sapphire fibers.

  15. Control of relative carrier-envelope phase slip in femtosecond Ti:sapphire and Cr:forsterite lasers.

    PubMed

    Kobayashi, Yohei; Torizuka, Kenji; Wei, Zhiyi

    2003-05-01

    We were able to control relative carrier-envelope phase slip among mode-locked Ti:sapphire and Cr:forsterite lasers by employing electronic feedback. The pulse timings of these lasers were passively synchronized with our crossing-beam technique. Since the optical-frequency ratio of Ti:sapphire and Cr:forsterite is approximately 3:2, we can observe the phase relation by superimposing the third harmonic of Cr:forsterite and the second harmonic of Ti:sapphire lasers in time and in space. The spectrum width of the locked beat note was less than 3 kHz, which corresponds to the controlled fluctuation of a cavity-length difference of less than 10 pm.

  16. Kerr-lens mode-locked Ti:Sapphire laser pumped by a single laser diode

    NASA Astrophysics Data System (ADS)

    Kopylov, D. A.; Esaulkov, M. N.; Kuritsyn, I. I.; Mavritskiy, A. O.; Perminov, B. E.; Konyashchenko, A. V.; Murzina, T. V.; Maydykovskiy, A. I.

    2018-04-01

    The performance of a Ti:sapphire laser pumped by a single 461 nm laser diode is presented for both the continuous-wave and the mode-locked regimes of operation. We introduce a simple astigmatism correction scheme for the laser diode beam consisting of two cylindrical lenses affecting the pump beam along the fast axis of the laser diode, which provides the mode-matching between the nearly square-shaped pump beam and the cavity mode. The resulting efficiency of the suggested Ti:Sapphire oscillator pumped by such a laser diode is analyzed for the Ti:sapphire crystals of 3 mm, 5 mm and 10 mm in length. We demonstrate that such a system provides the generation of ultrashort pulses up to 15 fs in duration with the repetition rate of 87 MHz, the average power being 170 mW.

  17. Enhanced tunability of magnetron sputtered Ba0.5Sr0.5TiO3 thin films on c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Fardin, E. A.; Holland, A. S.; Ghorbani, K.; Reichart, P.

    2006-07-01

    Thin films of Ba0.5Sr0.5TiO3 (BST) were deposited on c-plane (0001) sapphire by rf magnetron sputtering and investigated by complementary materials analysis methods. Microwave properties of the films, including tunability and Q factor were measured from 1to20GHz by patterning interdigital capacitors (IDCs) on the film surface. The tunability is correlated with texture, strain, and grain size in the deposited films. An enhanced capacitance tunability of 56% at a bias field of 200kV/cm and total device Q of more than 15 (up to 20GHz) were achieved following postdeposition annealing at 900°C.

  18. Testing of Sapphire Optical Fiber and Sensors in Intense Radiation Fields When Subjected to Very High Temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blue, Thomas; Windl, Wolfgang

    The primary objective of this project was to determine the optical attenuation and signal degradation of sapphire optical fibers & sensors (temperature & strain), in-situ, operating at temperatures up to 1500°C during reactor irradiation through experiments and modeling. The results will determine the feasibility of extending sapphire optical fiber-based instrumentation to extremely high temperature radiation environments. This research will pave the way for future testing of sapphire optical fibers and fiber-based sensors under conditions expected in advanced high temperature reactors.

  19. Stepwise molding, etching, and imprinting to form libraries of nanopatterned substrates.

    PubMed

    Zhao, Zhi; Cai, Yangjun; Liao, Wei-Ssu; Cremer, Paul S

    2013-06-04

    Herein, we describe a novel colloidal lithographic strategy for the stepwise patterning of planar substrates with numerous complex and unique designs. In conjunction with colloidal self-assembly, imprint molding, and capillary force lithography, reactive ion etching was used to create complex libraries of nanoscale features. This combinatorial strategy affords the ability to develop an exponentially increasing number of two-dimensional nanoscale patterns with each sequential step in the process. Specifically, dots, triangles, circles, and lines could be assembled on the surface separately and in combination with each other. Numerous architectures are obtained for the first time with high uniformity and reproducibility. These hexagonal arrays were made from polystyrene and gold features, whereby each surface element could be tuned from the micrometer size scale down to line widths of ~35 nm. The patterned area could be 1 cm(2) or even larger. The techniques described herein can be combined with further steps to make even larger libraries. Moreover, these polymer and metal features may prove useful in optical, sensing, and electronic applications.

  20. Near-field photochemical and radiation-induced chemical fabrication of nanopatterns of a self-assembled silane monolayer

    PubMed Central

    Hentschel, Carsten; Fontein, Florian; Stegemann, Linda; Hoeppener, Christiane; Fuchs, Harald; Hoeppener, Stefanie

    2014-01-01

    Summary A general concept for parallel near-field photochemical and radiation-induced chemical processes for the fabrication of nanopatterns of a self-assembled monolayer (SAM) of (3-aminopropyl)triethoxysilane (APTES) is explored with three different processes: 1) a near-field photochemical process by photochemical bleaching of a monomolecular layer of dye molecules chemically bound to an APTES SAM, 2) a chemical process induced by oxygen plasma etching as well as 3) a combined near-field UV-photochemical and ozone-induced chemical process, which is applied directly to an APTES SAM. All approaches employ a sandwich configuration of the surface-supported SAM, and a lithographic mask in form of gold nanostructures fabricated through colloidal sphere lithography (CL), which is either exposed to visible light, oxygen plasma or an UV–ozone atmosphere. The gold mask has the function to inhibit the photochemical reactions by highly localized near-field interactions between metal mask and SAM and to inhibit the radiation-induced chemical reactions by casting a highly localized shadow. The removal of the gold mask reveals the SAM nanopattern. PMID:25247126

  1. Microfluidic Channels on Nanopatterned Substrates: Monitoring Protein Binding to Lipid Bilayers with Surface-Enhanced Raman Spectroscopy

    PubMed Central

    Banerjee, Amrita; Perez-Castillejos, R.; Hahn, D.; Smirnov, Alex I.; Grebel, H.

    2013-01-01

    We used Surface Enhanced Raman Spectroscopy (SERS) to detect binding events between streptavidin and biotinylated lipid bilayers. The binding events took place at the surface between microfluidic channels and anodized aluminum oxide (AAO) with the latter serving as substrates. The bilayers were incorporated in the substrate pores. It was revealed that non-bound molecules were easily washed away and that large suspended cells (Salmonella enterica) are less likely to interfere with the monitoring process: when focusing to the lower surface of the channel, one may resolve mostly the bound molecules. PMID:24932024

  2. Growth of Low Defect Density Gallium Nitride (GaN) Films on Novel Tantalum Carbide (TaC) Substrates for Improved Device Performance

    DTIC Science & Technology

    2009-05-01

    2 Figure 2. Schematic of a Schottky diode structure (a) grown on an insulating substrate such as sapphire that requires front side...an on-axis substrate at 1000 °C taken (a) at a high magnification and (b) in a region where micropores were observed. ..........8 Figure 5. The 5 x...is useful for vertical high power devices. It can also be made insulating by growing it in a very pure state, which is useful for lateral high

  3. Efficient evaluation of epitaxial MoS2 on sapphire by direct band structure imaging

    NASA Astrophysics Data System (ADS)

    Kim, Hokwon; Dumcenco, Dumitru; Fregnaux, Mathieu; Benayad, Anass; Kung, Yen-Cheng; Kis, Andras; Renault, Olivier; Lanes Group, Epfl Team; Leti, Cea Team

    The electronic band structure evaluation of two-dimensional metal dichalcogenides is critical as the band structure can be greatly influenced by the film thickness, strain, and substrate. Here, we performed a direct measurement of the band structure of as-grown monolayer MoS2 on single crystalline sapphire by reciprocal-space photoelectron emission microscopy with a conventional laboratory ultra-violet He I light source. Arrays of gold electrodes were deposited onto the sample in order to avoid charging effects due to the insulating substrate. This allowed the high resolution mapping (ΔE = 0.2 eV Δk = 0.05 Å-1) of the valence states in momentum space down to 7 eV below the Fermi level. The high degree of the epitaxial alignment of the single crystalline MoS2 nuclei was verified by the direct momentum space imaging over a large area containing multiple nuclei. The derived values of the hole effective mass were 2.41 +/-0.05 m0 and 0.81 +/-0.05 m0, respectively at Γ and K points, consistent with the theoretical values of the freestanding monolayer MoS2 reported in the literature. HK acknowledges the french CEA Basic Technological Research program (RTB) for funding.

  4. High-pressure sapphire cell for phase equilibria measurements of CO2/organic/water systems.

    PubMed

    Pollet, Pamela; Ethier, Amy L; Senter, James C; Eckert, Charles A; Liotta, Charles L

    2014-01-24

    The high pressure sapphire cell apparatus was constructed to visually determine the composition of multiphase systems without physical sampling. Specifically, the sapphire cell enables visual data collection from multiple loadings to solve a set of material balances to precisely determine phase composition. Ternary phase diagrams can then be established to determine the proportion of each component in each phase at a given condition. In principle, any ternary system can be studied although ternary systems (gas-liquid-liquid) are the specific examples discussed herein. For instance, the ternary THF-Water-CO2 system was studied at 25 and 40 °C and is described herein. Of key importance, this technique does not require sampling. Circumventing the possible disturbance of the system equilibrium upon sampling, inherent measurement errors, and technical difficulties of physically sampling under pressure is a significant benefit of this technique. Perhaps as important, the sapphire cell also enables the direct visual observation of the phase behavior. In fact, as the CO2 pressure is increased, the homogeneous THF-Water solution phase splits at about 2 MPa. With this technique, it was possible to easily and clearly observe the cloud point and determine the composition of the newly formed phases as a function of pressure. The data acquired with the sapphire cell technique can be used for many applications. In our case, we measured swelling and composition for tunable solvents, like gas-expanded liquids, gas-expanded ionic liquids and Organic Aqueous Tunable Systems (OATS)(1-4). For the latest system, OATS, the high-pressure sapphire cell enabled the study of (1) phase behavior as a function of pressure and temperature, (2) composition of each phase (gas-liquid-liquid) as a function of pressure and temperature and (3) catalyst partitioning in the two liquid phases as a function of pressure and composition. Finally, the sapphire cell is an especially effective tool to gather

  5. Removal of Lattice Imperfections that Impact the Optical Quality of Ti:Sapphire using Advanced Magnetorheological Finishing Techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Menapace, J A; Schaffers, K I; Bayramian, A J

    2008-02-26

    Advanced magnetorheological finishing (MRF) techniques have been applied to Ti:sapphire crystals to compensate for sub-millimeter lattice distortions that occur during the crystal growing process. Precise optical corrections are made by imprinting topographical structure onto the crystal surfaces to cancel out the effects of the lattice distortion in the transmitted wavefront. This novel technique significantly improves the optical quality for crystals of this type and sets the stage for increasing the availability of high-quality large-aperture sapphire and Ti:sapphire optics in critical applications.

  6. Optical absorption edge of ZnO thin films: The effect of substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Clarke, D. R.

    1997-05-01

    The optical absorption edge and the near-absorption edge characteristics of undoped ZnO films grown by laser ablation on various substrates have been investigated. The band edge of films on C [(0001)] and R-plane [(1102)] sapphire, 3.29 and 3.32 eV, respectively, are found to be very close to the single crystal value of ZnO (3.3 eV) with the differences being accounted for in terms of the thermal mismatch strain using the known deformation potentials of ZnO. In contrast, films grown on fused silica consistently exhibit a band edge ˜0.1 eV lower than that predicted using the known deformation potential and the thermal mismatch strains. This behavior is attributed to the small grain size (50 nm) realized in these films and the effect of electrostatic potentials that exist at the grain boundaries. Additionally, the spread in the tail (E0) of the band edge for the different films is found to be very sensitive to the defect structure in the films. For films grown on sapphire substrates, values of E0 as low as 30 meV can be achieved on annealing in air, whereas films on fused silica always show a value >100 meV. We attribute this difference to the substantially higher density of high-angle grain boundaries in the films on fused silica.

  7. Controlling material birefringence in sapphire via self-assembled, sub-wavelength defects

    NASA Astrophysics Data System (ADS)

    Singh, Astha; Sharma, Geeta; Ranjan, Neeraj; Mittholiya, Kshitij; Bhatnagar, Anuj; Singh, B. P.; Mathur, Deepak; Vasa, Parinda

    2018-02-01

    Birefringence is the optical property of a material having a refractive index that depends on the polarization and propagation direction of light. Generally, this is an intrinsic optical property of a material and cannot be altered. Here, we report a novel technique—direct laser writing—that enables us to control the natural, material birefringence of sapphire over a broad range of wavelengths. The broadband form birefringence originating from self-assembled, periodic array of sub-wavelength (˜ 50-200 nm) defects created by laser writing, can enhance, suppress or maintain the material birefringence of sapphire without affecting its transparency range in visible or its surface quality.

  8. Thermal boundary resistance between sapphire and aluminum monocrystals at low temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahling, S.; Engert, J.; Gladun, A.

    1981-12-01

    The thermal boundary resistance at boundaries between monocrystalline sapphire and monocrystalline aluminum and between monocrystalline sapphire and polycrystalline aluminum has been measured in the temperature range from 0.1 to 6 K with aluminum in the superconducting and normal states. The ratio of the thermal boundary resistance of the aluminum monocrystals in the superconducting state to that in the normal state increases as the temperature is lowered, reaches a maximum at about 0.13 K, and decreases at still lower temperatures. At the maximum, the thermal boundary resistance in the superconducting state is two orders of magnitude larger than the resistance inmore » the normal state.« less

  9. High-Temperature Mechanical Properties of Cr(3+) Doped Sapphire Fibers

    NASA Technical Reports Server (NTRS)

    Sayir, A.; QuispeCancapa, J. J.; deArellanoLopez, A. R.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    High-temperature slow-crack growth of single crystal 10 wt% Cr2O3 - Al2O3 (nominal composition) fibers has been studied by tensile rupture experiments at 1400 C, under different stressing rates (0.5 to 41.5 MPa/s). Slow-crack growth (SCG) is less pronounced with increasing Cr2O3. Rupture stresses increased with the stressing rate from 397 MPa to 515 MPa, resulting in a SCG exponent, N=19. The Cr2O3 composition was analyzed by Energy Dispersed X-Ray Spectra (EDS) and fracture surfaces were studied by scanning electron microscopy (SEM). Results are compared with previous studies on 100-300 ppm Cr3(+) doped sapphire fibers and on commercial sapphire fibers.

  10. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  11. Alteration of architecture of MoO₃ nanostructures on arbitrary substrates: growth kinetics, spectroscopic and gas sensing properties.

    PubMed

    Illyaskutty, Navas; Sreedhar, Sreeja; Sanal Kumar, G; Kohler, Heinz; Schwotzer, Matthias; Natzeck, Carsten; Pillai, V P Mahadevan

    2014-11-21

    MoO3 nanostructures have been grown in thin film form on five different substrates by RF magnetron sputtering and subsequent annealing; non-aligned nanorods, aligned nanorods, bundled nanowires, vertical nanorods and nanoslabs are formed respectively on the glass, quartz, wafer, alumina and sapphire substrates. The nanostructures formed on these substrates are characterized by AFM, SEM, GIXRD, XPS, micro-Raman, diffuse reflectance and photoluminescence spectroscopy. A detailed growth model for morphology alteration with respect to substrates has been discussed by considering various aspects such as surface roughness, lattice parameters and the thermal expansion coefficient, of both substrates and MoO3. The present study developed a strategy for the choice of substrates to materialize different types MoO3 nanostructures for future thin film applications. The gas sensing tests point towards using these MoO3 nanostructures as principal detection elements in gas sensors.

  12. High-harmonic generation by field enhanced femtosecond pulses in metal-sapphire nanostructure

    PubMed Central

    Han, Seunghwoi; Kim, Hyunwoong; Kim, Yong Woo; Kim, Young-Jin; Kim, Seungchul; Park, In-Yong; Kim, Seung-Woo

    2016-01-01

    Plasmonic high-harmonic generation (HHG) drew attention as a means of producing coherent extreme ultraviolet (EUV) radiation by taking advantage of field enhancement occurring in metallic nanostructures. Here a metal-sapphire nanostructure is devised to provide a solid tip as the HHG emitter, replacing commonly used gaseous atoms. The fabricated solid tip is made of monocrystalline sapphire surrounded by a gold thin-film layer, and intended to produce EUV harmonics by the inter- and intra-band oscillations of electrons driven by the incident laser. The metal-sapphire nanostructure enhances the incident laser field by means of surface plasmon polaritons, triggering HHG directly from moderate femtosecond pulses of ∼0.1 TW cm−2 intensities. The measured EUV spectra exhibit odd-order harmonics up to ∼60 nm wavelengths without the plasma atomic lines typically seen when using gaseous atoms as the HHG emitter. This experimental outcome confirms that the plasmonic HHG approach is a promising way to realize coherent EUV sources for nano-scale near-field applications in spectroscopy, microscopy, lithography and atto-second physics. PMID:27721374

  13. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  14. Robust nanopatterning by laser-induced dewetting of metal nanofilms.

    PubMed

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2006-08-28

    We have observed nanopattern formation with robust and controllable spatial ordering by laser-induced dewetting in nanoscopic metal films. Pattern evolution in Co film of thickness 1≤h≤8 nm on SiO(2) was achieved under multiple pulse irradiation using a 9 ns pulse laser. Dewetting leads to the formation of cellular patterns which evolve into polygons that eventually break up into nanoparticles with unimodal size distribution and short range ordering in nearest neighbour spacing R. Spatial ordering was attributed to a hydrodynamic thin film instability and resulted in a predictable variation of R and particle diameter D with h. The length scales R and D were found to be independent of the laser energy. These results suggest that spatially ordered metal nanoparticles can be robustly assembled by laser-induced dewetting.

  15. Investigation of layered structure SAW devices fabricated using low temperature grown AlN thin film on GaN/sapphire.

    PubMed

    Lin, Hui-Feng; Wu, Chun-Te; Chien, Wei-Cheng; Chen, Sheng-Wen; Kao, Hui-Ling; Chyi, Jen-Inn; Chen, Jyh-Shin

    2005-05-01

    Epitaxial AlN films have been grown on GaN/sapphire using helicon sputtering at 300 degrees C. The surface acoustic wave (SAW) filters fabricated on AlN/GaN/sapphire exhibit more superior characteristics than those made on GaN/sapphire. This composite structure of AlN on GaN may bring about the development of high-frequency components, which integrate and use their semiconducting, optoelectronic, and piezoelectric properties.

  16. Ti:sapphire-pumped diamond Raman laser with sub-100-fs pulse duration.

    PubMed

    Murtagh, Michelle; Lin, Jipeng; Mildren, Richard P; Spence, David J

    2014-05-15

    We report a synchronously pumped femtosecond diamond Raman laser operating at 895 nm with a 33% slope efficiency. Pumped using a mode-locked Ti:sapphire laser at 800 nm with a duration of 170 fs, the bandwidth of the Stokes output is broadened and chirped to enable subsequent pulse compression to 95 fs using a prism pair. Modeling results indicate that self-phase modulation drives the broadening of the Stokes spectrum in this highly transient laser. Our results demonstrate the potential for Raman conversion to extend the wavelength coverage and pulse shorten Ti:sapphire lasers.

  17. Ti:Sapphire micro-structures by femtosecond laser inscription: Guiding and luminescence properties

    NASA Astrophysics Data System (ADS)

    Ren, Yingying; Jiao, Yang; Vázquez de Aldana, Javier R.; Chen, Feng

    2016-08-01

    We report on the fabrication of buried cladding waveguides with different diameters in a Ti:Sapphire crystal by femtosecond laser inscription. The propagation properties are studied, showing that the cladding waveguides could support near- to mid-infrared waveguiding at both TE and TM polarizations. Confocal micro-photoluminescence experiments reveal that the original fluorescence properties in the waveguide region are very well preserved, while it suffers from a strong quenching at the centers of laser induced filaments. Broadband waveguide fluorescence emissions with high efficiency are realized, indicating the application of the cladding waveguides in Ti:Sapphire as compact broadband luminescence sources in biomedical fields.

  18. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  19. JFET/SOS (Junction Field-Effect Transistor/Silicon-on-Sapphire) Devices: Gamma-Radiation-Induced Effects.

    DTIC Science & Technology

    1988-03-01

    Results, ATR-86A(8501)-1, The Aerospace Corporation: El Segundo, Calif. (20 May 1987). 3. D. Neaman , W. Shedd, and B. Buchanan, "Permanently Ionizing...Radiation Effects in Dielectrically Bounded Field-Effect Transistors," IEEE Trans.. Nucl. Sci. NS-20 [6], 158-165 (Decembe. 1973). 4. D. Neaman , W. Shedd...1974). 5. D. Neaman , W. Shedd, and B. Buchanan, "Silicon-Sapphire Interface Charge Trapping -- Effects of Sapphire Type and Epi Growth Conditions

  20. Neurosurgery contact handheld probe based on sapphire shaped crystal

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Stryukov, D. O.; Rossolenko, S. N.; Kiselev, A. M.; Kurlov, V. N.

    2017-01-01

    A handheld contact probe based on sapphire shaped crystal is developed for intraoperative spectrally-resolved optical diagnostics, laser coagulation and aspiration of malignant brain tissue. The technology was integrated into the neurosurgical workflow for intraoperative real-time identification and removing of invasive brain cancer.

  1. Mathematical modeling of a Ti:sapphire solid-state laser

    NASA Technical Reports Server (NTRS)

    Swetits, John J.

    1987-01-01

    The project initiated a study of a mathematical model of a tunable Ti:sapphire solid-state laser. A general mathematical model was developed for the purpose of identifying design parameters which will optimize the system, and serve as a useful predictor of the system's behavior.

  2. Fabrication of novel two-dimensional nanopatterned conductive PEDOT:PSS films for organic optoelectronic applications.

    PubMed

    Petti, Lucia; Rippa, Massimo; Capasso, Rossella; Nenna, Giuseppe; De Girolamo Del Mauro, Anna; Pandolfi, Giuseppe; Maglione, Maria Grazia; Minarini, Carla

    2013-06-12

    This paper presents a novel strategy to fabricate two-dimensional poly(3,4 ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) photonic crystals (PCs) combining electron beam lithography (EBL) and plasma etching (PE) processes. The surface morphology of PEDOT:PSS PCs after mild oxygen plasma treatment was investigated by scanning electron microscopy. The effects on light extraction are studied experimentally. Vertical extraction of light was found to be strongly dependent on the geometric parameters of the PCs. By changing the lattice type from triangular to square and the geometrical parameters of the photonic structures, the resonance peak could be tuned from a narrow blue emission at 445 nm up to a green emission at 525 nm with a full width at half-maximum of 20 nm, which is in good agreement with Bragg's diffraction theory and free photon band structure. Both finite-difference time-domain and plane wave expansion methods are used to calculate the resonant frequencies and the photonic band structures in the two-dimensional photonic crystals showing a very good agreement with the experiment results. A 2D nanopatterned transparent anode was also fabricated onto a flexible polyethylene terephthalate (PET) substrate and it was integrated into an organic light-emitting diode (OLED). The obtained results fully confirm the feasibility of the developed process of micro/nano patterning PEDOT:PSS. Engineered polymer electrodes prepared by this unique method are useful in a wide variety of high-performance flexible organic optoelectronics.

  3. A multiple reflection model for the investigation of infrared transmission of a graphene/substrate system

    NASA Astrophysics Data System (ADS)

    Zhang, Jie; Ding, Lan; Liang, Changneng; Xiao, Yiming; Xu, Wen

    2017-11-01

    We develop a multiple reflection model (MRM) for the examination of infrared transmission properties of a graphene/substrate system. The incident angle and the multiple reflection beams in the substrate with finite thickness are taken into consideration. The model can be applied to predict the optical responses of graphene/substrate systems or to extract the real part of the optical conductance of graphene from the experimental measurement. As an example, we calculate the relative transmittance of graphene/quartz and graphene/sapphire systems by using MRM and provide an experimental verification in the near-infrared range. The measured results show good agreement with the calculated ones. Our method can be easily extended to accurately and non-invasively identify the layer numbers of other 2D materials, and assess the quality of them.

  4. Femtosecond laser pulse distortion in Ti:sapphire multipass amplifier by atomic phase shifts

    NASA Astrophysics Data System (ADS)

    Hwang, Seungjin; Jeong, Jihoon; Cho, Seryeyohan; Lee, Jongmin; Yu, Tae Jun

    2017-11-01

    We have derived modified Frantz-Nodvik equations that simultaneously account for atomic phase shift (APS) and gain depletion as the chirped laser pulse passes through a gain medium, and have analyzed the effect of temporal pulse distortion in a Ti:sapphire multipass amplifier chain. The combination of APS and gain depletion distorted a temporal pulse and decreased the peak power. The pulse width increased from 21.3 fs to 22.8 fs and the peak power reduced to 89% for the PW class Ti:sapphire CPA laser system in the particular conditions.

  5. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses.

    PubMed

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika; Le, Tuan; Stingl, Andreas; Hasler, Karl-Heinz; Sumpf, Bernd; Erbert, Götz; Andersen, Peter E; Petersen, Paul Michael

    2011-06-20

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected.

  6. Orientation of Vanadium Dioxide Grains on Various Substrates

    NASA Astrophysics Data System (ADS)

    Rivera, Felipe; Davis, Robert; Vanfleet, Richard

    2010-10-01

    Crystalline vanadium dioxide VO2 experiences a fast and reversible semiconductor-to-metal structural phase transition near 68^oC. The changes exhibited during this phase transition comprise a well known change in resistivity of several orders of magnitude, as well as a significant drop in optical transmittance in the infrared. Due to the changes in these optical and electronic properties, vanadium dioxide shows promise as a material to be used in many applications ranging from thermochromic window coatings to optoelectronic devices. However, since there is a structural component to the phase transition of VO2, it is of interest to study the orientation of the crystalline grains deposited. Substrates such as glass, SiO2, Sapphire, and TiO2 have been used for the deposition of this material. We used orientation imaging microscopy to study and characterize the orientation of the grains deposited on several of these substrates. Here we present results on this study.

  7. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    NASA Astrophysics Data System (ADS)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  8. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  9. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    PubMed Central

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-01-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps). PMID:27444267

  10. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    NASA Astrophysics Data System (ADS)

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-07-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps).

  11. Study on dielectric and piezoelectric properties of 0.7 Pb(Mg1/3Nb2/3)O3-0.3 PbTiO3 single crystal with nano-patterned composite electrode

    PubMed Central

    Chang, Wei-Yi; Huang, Wenbin; Bagal, Abhijeet; Chang, Chih-Hao; Tian, Jian; Han, Pengdi; Jiang, Xiaoning

    2013-01-01

    Effect of nano-patterned composite electrode and backswitching poling technique on dielectric and piezoelectric properties of 0.7 Pb(Mg1/3Nb2/3)O3-0.3 PbTiO3 was studied in this paper. Composite electrode consists of Mn nano-patterns with pitch size of 200 nm, and a blanket layer of Ti/Au was fabricated using a nanolithography based lift-off process, heat treatment, and metal film sputtering. Composite electrode and backswitching poling resulted in 27% increase of d33 and 25% increase of dielectric constant, and we believe that this is attributed to regularly defined nano-domains and irreversible rhombohedral to monoclinic phase transition in crystal. The results indicate that nano-patterned composite electrode and backswitching poling has a great potential in domain engineering of relaxor single crystals for advanced devices. PMID:24170960

  12. Micro-fluidic channels on nanopatterned substrates: Monitoring protein binding to lipid bilayers with surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Banerjee, Amrita; Perez-Castillejos, R.; Hahn, D.; Smirnov, Alex I.; Grebel, H.

    2010-04-01

    We used surface-enhanced Raman spectroscopy (SERS) to detect binding events between streptavidin and biotinylated lipid bilayers. The binding events took place at the surface between micro-fluidic channels and anodized aluminum oxide (AAO) with the latter serving as substrates. The bilayers were incorporated in the substrate pores. It was revealed that non-bound molecules were easily washed away and that large suspended cells ( Salmonella enterica) are less likely to interfere with the monitoring process: when focusing to the lower surface of the channel, one may resolve mostly the bound molecules.

  13. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  14. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  15. Sapphire implant based neuro-complex for deep-lying brain tumors phototheranostics

    NASA Astrophysics Data System (ADS)

    Sharova, A. S.; Maklygina, YU S.; Yusubalieva, G. M.; Shikunova, I. A.; Kurlov, V. N.; Loschenov, V. B.

    2018-01-01

    The neuro-complex as a combination of sapphire implant optical port and osteoplastic biomaterial "Collapan" as an Aluminum phthalocyanine nanoform photosensitizer (PS) depot was developed within the framework of this study. The main goals of such neuro-complex are to provide direct access of laser radiation to the brain tissue depth and to transfer PS directly to the pathological tissue location that will allow multiple optical phototheranostics of the deep-lying tumor region without repeated surgical intervention. The developed complex spectral-optical properties research was carried out by photodiagnostics method using the model sample: a brain tissue phantom. The optical transparency of sapphire implant allows obtaining a fluorescent signal with high accuracy, comparable to direct measurement "in contact" with the tissue.

  16. Detection of beryllium treatment of natural sapphires by NRA

    NASA Astrophysics Data System (ADS)

    Gutiérrez, P. C.; Ynsa, M.-D.; Climent-Font, A.; Calligaro, T.

    2010-06-01

    Since the 1990's, artificial treatment of natural sapphires (Al 2O 3 crystals coloured by impurities) by diffusion of beryllium at high temperature has become a growing practice. This process permits to enhance the colour of these gemstones, and thus to increase their value. Detection of such a treatment - diffusion of tens of μg/g of beryllium in Al 2O 3 crystals - is usually achieved using high sensitivity techniques like laser-ablation inductively coupled plasma mass spectrometry (LA-ICP/MS) or laser-induced breakdown spectrometry (LIBS) which are unfortunately micro-destructive (leaving 50-100-μm diameter craters on the gems). The simple and non-destructive alternative method proposed in this work is based on the nuclear reaction 9Be(α, nγ) 12C with an external helium ion beam impinging on the gem directly placed in air. The 4439 keV prompt γ-ray tagging Be atoms are detected with a high efficiency bismuth germanate scintillator. Beam dose is monitored using the 2235 keV prompt γ-ray produced during irradiation by the aluminium of the sapphire matrix through the 27Al(α, pγ) 30Si nuclear reaction. The method is tested on a series of Be-treated sapphires previously analyzed by LA-ICP/MS to determine the optimal conditions to obtain a peak to background appropriate to reach the required μg/g sensitivity. Using a 2.8-MeV external He beam and a beam dose of 200 μC, beryllium concentrations from 5 to 16 μg/g have been measured in the samples, with a detection limit of 1 μg/g.

  17. Effect of Charging Electron Exposure on 1064nm Transmission Through Bare Sapphire Optics and SiO2 over HfO2 AR-Coated Sapphire Optics

    NASA Technical Reports Server (NTRS)

    Ottens, Brian P.; Connelly, Joseph; Brown, Stephen; Roeder, James; Kauder, Lonny; Cavanaugh, John

    2010-01-01

    Experiments measuring the effect of electron exposure on 1064nm transmission for optical sapphire were conducted. Detailed before and after inspections did not identify any resulting Litchenburg patterns. Pre- and post-exposure 1064nm transmission measurements are compared.

  18. Effect of Charging Electron Exposure on 1064nm Transmission through Bare Sapphire Optics and SiO2 over HfO2 AR-coated Sapphire Optics

    NASA Technical Reports Server (NTRS)

    Ottens, Brian P.; Connelly, Joseph; Brown, Stephen; Roeder, james; Kauder, Lonny; Cavanaugh, John

    2008-01-01

    Experiments measuring the effect of electron exposure on 1064nm transmission for optical sapphire were conducted. Detailed before and after inspections did not identify any resulting Litchenburg patterns. Pre- and post-exposure 1064nm transmission measurements are compared.

  19. A Century of Sapphire Crystal Growth

    DTIC Science & Technology

    2004-05-17

    should be aware that notwithstanding any other provision of law , no person shall be subject to a penalty for failing to comply with a collection of...and ruby were oxides of the elements aluminum and silicon.1 In 1817, J. L. Gay- Lussac found that pure aluminum oxide (also called alumina) could...thought to consist of Al2O3 and SiO2 •1817: Gay- Lussac : •1840: Rose: Found SiO2 in sapphire is from agate mortar used for grinding •1837-72: Gaudin

  20. The role of carbon in ion beam nano-patterning of silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharjee, S.; UGC-DAE Consortium for Scientific Research, III/LB-8, Saltlake, Kolkata 700098; Karmakar, P.

    2013-10-28

    We report a comparative study of nano-pattern formations on a carbon film and a smooth Si(100) surface following inert and chemically active ion bombardment. For the case of carbon film, patterns could be formed both by inert (Ar{sup +}) and self (C{sup +}) ion bombardment with the former producing ripples at relatively lower fluence. In contrast, bombardment by inert Ar{sup +} failed to form the nano patterns on Si surface, while bombardment by the same energy C{sup +} generated the ripples. Thus, impurity induced chemical effect seems to be crucial rather than the Bradley-Harper or Carter-Vishnyakov effects for destabilizing themore » surface for ripple formation.« less

  1. Development of a sapphire optical pressure sensor for high-temperature applications

    NASA Astrophysics Data System (ADS)

    Mills, David A.; Alexander, Dylan; Subhash, Ghatu; Sheplak, Mark

    2014-06-01

    This paper presents the fabrication, packaging, and characterization of a sapphire optical pressure sensor for hightemperature applications. Currently available instrumentation poses significant limitations on the ability to achieve realtime, continuous measurements in high-temperature environments such as those encountered in industrial gas turbines and high-speed aircraft. The fiber-optic lever design utilizes the deflection of a circular platinum-coated sapphire diaphragm to modulate the light reflected back to a single send/receive sapphire optical fiber. The 7 mm diameter, 50 μm thick diaphragm is attached using a novel thermocompression bonding process based on spark plasma sintering technology. Bonds using platinum as an intermediate layer are achieved at a temperature of 1200°C with a hold time of 5 min. Initial characterization of the bond interface using a simple tensile test indicates a bond strength in excess of 12 MPa. Analysis of the buckled diaphragm after bonding is also presented. The packaged sensor enables continuous operation up to 900°C. Room-temperature characterization reveals a first resonance of 18.2 kHz, a flat-band sensitivity of -130 dB re 1 V/Pa (0.32 μV/Pa) from 4-20 kHz, a minimum detectable pressure of 3.8 Pa, and a linear response up to 169 dB at 1.9 kHz.

  2. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    PubMed

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  3. Nanopatterning of Surfaces with Monometallic and Heterobimetallic 1D Coordination Polymers: A Molecular Tectonics Approach at the Solid/Liquid Interface.

    PubMed

    El Garah, Mohamed; Marets, Nicolas; Mauro, Matteo; Aliprandi, Alessandro; Bonacchi, Sara; De Cola, Luisa; Ciesielski, Artur; Bulach, Véronique; Hosseini, Mir Wais; Samorì, Paolo

    2015-07-08

    The self-assembly of multiple molecular components into complex supramolecular architectures is ubiquitous in nature and constitutes one of the most powerful strategies to fabricate multifunctional nanomaterials making use of the bottom-up approach. When spatial confinement in two dimensions on a solid substrate is employed, this approach can be exploited to generate periodically ordered structures from suitably designed molecular tectons. In this study we demonstrate that physisorbed directional periodic arrays of monometallic or heterobimetallic coordination polymers can be generated on a highly oriented pyrolitic graphite surface by combinations of a suitably designed directional organic tecton or metallatecton based on a porphyrin or nickel(II) metalloporphyrin backbone bearing both a pyridyl unit and a terpyridyl unit acting as coordinating sites for CoCl2. The periodic architectures were visualized at the solid/liquid interface with a submolecular resolution by scanning tunneling microscopy and corroborated by combined density functional and time-dependent density functional theory calculations. The capacity to nanopattern the surface for the first time with two distinct metallic centers exhibiting different electronic and optical properties is a key step toward the bottom-up construction of robust multicomponent and, thus, multifunctional molecular nanostructures and nanodevices.

  4. Numerical simulation of the distribution of individual gas bubbles in shaped sapphire crystals

    NASA Astrophysics Data System (ADS)

    Borodin, A. V.; Borodin, V. A.

    2017-11-01

    The simulation of the effective density of individual gas bubbles in a two-phase melt, consisting of a liquid and gas bubbles, is performed using the virtual model of the thermal unit. Based on the studies, for the first time the theoretically and experimentally grounded mechanism of individual gas bubbles formation in shaped sapphire is proposed. It is shown that the change of the melt flow pattern in crucible affects greatly the bubble density at the crystallization front, and in the crystal. The obtained results allowed reducing the number of individual gas bubbles in sapphire sheets.

  5. Understanding and controlling the substrate effect on graphene electron-transfer chemistry via reactivity imprint lithography

    NASA Astrophysics Data System (ADS)

    Wang, Qing Hua; Jin, Zhong; Kim, Ki Kang; Hilmer, Andrew J.; Paulus, Geraldine L. C.; Shih, Chih-Jen; Ham, Moon-Ho; Sanchez-Yamagishi, Javier D.; Watanabe, Kenji; Taniguchi, Takashi; Kong, Jing; Jarillo-Herrero, Pablo; Strano, Michael S.

    2012-09-01

    Graphene has exceptional electronic, optical, mechanical and thermal properties, which provide it with great potential for use in electronic, optoelectronic and sensing applications. The chemical functionalization of graphene has been investigated with a view to controlling its electronic properties and interactions with other materials. Covalent modification of graphene by organic diazonium salts has been used to achieve these goals, but because graphene comprises only a single atomic layer, it is strongly influenced by the underlying substrate. Here, we show a stark difference in the rate of electron-transfer reactions with organic diazonium salts for monolayer graphene supported on a variety of substrates. Reactions proceed rapidly for graphene supported on SiO2 and Al2O3 (sapphire), but negligibly on alkyl-terminated and hexagonal boron nitride (hBN) surfaces, as shown by Raman spectroscopy. We also develop a model of reactivity based on substrate-induced electron-hole puddles in graphene, and achieve spatial patterning of chemical reactions in graphene by patterning the substrate.

  6. Substrate-Free InGaN/GaN Nanowire Light-Emitting Diodes.

    PubMed

    Neplokh, Vladimir; Messanvi, Agnes; Zhang, Hezhi; Julien, Francois H; Babichev, Andrey; Eymery, Joel; Durand, Christophe; Tchernycheva, Maria

    2015-12-01

    We report on the demonstration of substrate-free nanowire/polydimethylsiloxane (PDMS) membrane light-emitting diodes (LEDs). Metal-organic vapour-phase epitaxy (MOVPE)-grown InGaN/GaN core-shell nanowires were encapsulated into PDMS layer. After metal deposition to p-GaN, a thick PDMS cap layer was spin-coated and the membrane was manually peeled from the sapphire substrate, flipped upside down onto a steel holder, and transparent indium tin oxide (ITO) contact to n-GaN was deposited. The fabricated LEDs demonstrate rectifying diode characteristics. For the electroluminescence (EL) measurements, the samples were manually bonded using silver paint. The EL spectra measured at different applied voltages demonstrate a blue shift with the current increase. This shift is explained by the current injection into the InGaN areas of the active region with different average indium content.

  7. Spatial inhomogeneities in Al x Ga1-x N quantum wells induced by the surface morphology of AlN/sapphire templates

    NASA Astrophysics Data System (ADS)

    Zeimer, Ute; Jeschke, Joerg; Mogilatenko, Anna; Knauer, Arne; Kueller, Viola; Hoffmann, Veit; Kuhn, Christian; Simoneit, Tino; Martens, Martin; Wernicke, Tim; Kneissl, Michael; Weyers, Markus

    2015-11-01

    The effects of the template on the optical and structural properties of Al0.75Ga0.25N/Al0.8Ga0.2N multiple quantum well (MQWs) laser active regions have been investigated. The laser structures for optical pumping were grown on planar c-plane AlN/sapphire as well as on thick epitaxially laterally overgrown (ELO) AlN layers on patterned AlN/sapphire. Two ELO AlN/sapphire templates were investigated, one with a miscut of the sapphire surface to the m-direction with an angle of 0.25°, the other with a miscut angle of 0.25° to the sapphire a-direction. The MQWs are studied by atomic force microscopy, plan-view cathodoluminescence (CL) at room temperature and 83 K as well as transmission electron microscopy using high-angle annular dark-field imaging and energy-dispersive x-ray spectroscopy. The results are compared to optical pumping measurements. It was found that the surface morphology of the templates determines the lateral wavelength distribution in the MQWs observed by spectral CL mappings. The lateral wavelength spread is largest for the laser structures grown on ELO AlN with miscut to sapphire a-direction caused by the local variation of the MQW thicknesses and the Ga incorporation at macrosteps on the ELO-AlN. A CL peak wavelength spread of up to 7 nm has been found. The MQWs grown on planar AlN/sapphire templates show a homogeneous wavelength distribution. However, due to the high threading dislocation density and the resulting strong nonradiative recombination, laser operation could not be achieved. The laser structures grown on ELO AlN/sapphire show optically pumped lasing with a record short wavelength of 237 nm.

  8. Experimental investigation of the reaction between corundum xenocrysts and alkaline basaltic host magma: Constraints on magma residence times of basalt-hosted sapphires

    NASA Astrophysics Data System (ADS)

    Baldwin, L. C.; Ballhaus, C.

    2018-03-01

    Megacrystic sapphires (Fe-Ti-rich corundum) of up to 5 cm in size are well known from alkaline mafic rocks from intra-continental rift-related magmatic fields. There is no doubt that these sapphires represent xenocrysts that were trapped from their original lithology by ascending basaltic magmas carrying them to the Earth's surface. Most studies about basalt-hosted sapphires address the question about the origin of the sapphires, but there is hardly any information available about the time the sapphires resided inside the carrier melt. Sapphires are in reaction relationship with basalt and produce spinel coronas at the sapphire-basalt interface, spatially separating the mutually incompatible phases from one another. Assuming isothermal and isobaric conditions of spinel rim formation, the rim-thickness should be a function of the reaction time with the basaltic melt. In this paper, we report time-series experiments aimed at investigating the kinetics of spinel rim formation due to igneous corrosion of corundum. Therefore, we reacted corundum fragments with alkaline basalt powder at 1250 °C and 1GPa, using a Piston Cylinder Apparatus. The width of the spinel rim was used to estimate a residence time. Extrapolating the experimentally derived reaction rates to the thickness of natural spinel rims as described from the Siebengebirge Volcanic Field, Germany, and from Changle, China, we estimated residence times in the order of a few weeks to months.

  9. Injection mode-locking Ti-sapphire laser system

    DOEpatents

    Hovater, James Curtis; Poelker, Bernard Matthew

    2002-01-01

    According to the present invention there is provided an injection modelocking Ti-sapphire laser system that produces a unidirectional laser oscillation through the application of a ring cavity laser that incorporates no intracavity devices to achieve unidirectional oscillation. An argon-ion or doubled Nd:YVO.sub.4 laser preferably serves as the pump laser and a gain-switched diode laser serves as the seed laser. A method for operating such a laser system to produce a unidirectional oscillating is also described.

  10. Optical emission of directly contacted copper/sapphire interface under shock compression of megabar

    NASA Astrophysics Data System (ADS)

    Hao, G. Y.; Liu, F. S.; Zhang, D. Y.; Zhang, M. J.

    2007-06-01

    The shock-induced optical emission histories from copper/sapphire interface were measured under two different contact conditions, which simulated the typical situations of pyrometry experiments. Results showed that the "peak" feature of the radiation, previously interpreted as the appearance of so-called high-temperature layer, was nearly diminished by finely polishing and uniformly prepressing technique, and that it is possible to directly measure the equilibrium temperature of bulk metal/window interface. Study also demonstrated that the saturated value of the apparent temperature in nonideal contact situation is related to the color temperature of the shock-induced "bright spot" in sapphire window under megabar pressures.

  11. Suitability of surface acoustic wave oscillators fabricated using low temperature-grown AlN films on GaN/sapphire as UV sensors.

    PubMed

    Chen, Tzu Chieh; Lin, Yueh Ting; Lin, Chung Yi; Chen, W C; Chen, Meei Ru; Kao, Hui-Ling; Chyi, J I; Hsu, C H

    2008-02-01

    Epitaxial AlN films were prepared on GaN/sapphire using a helicon sputtering system at the low temperature of 300 degrees C. Surface acoustic wave (SAW) devices fabricated on AlN/GaN/sapphire exhibited superior characteristics compared with those made on GaN/sapphire. An oscillator using an AlN/GaN/sapphirebased SAW device is presented. The oscillation frequency decreased when the device was illuminated by ultraviolet (UV) radiation, and the downshift of the oscillation frequency increased with the illuminating UV power density. The results showed that the AlN/GaN/sapphire-layered structure SAW oscillators are suitable for visible blind UV detection and opened up the feasibility of developing remote UV sensors for different ranges of wavelengths on the III-nitrides.

  12. The epitaxial growth of wurtzite ZnO films on LiNbO 3 (0 0 0 1) substrates

    NASA Astrophysics Data System (ADS)

    Yin, J.; Liu, Z. G.; Liu, H.; Wang, X. S.; Zhu, T.; Liu, J. M.

    2000-12-01

    ZnO epitaxial films were deposited on LiNbO 3 (0 0 0 1) substrates by pulsed laser deposition. The smaller lattice misfit (-8.5%) between ZnO along <1 0 1¯ 0>- direction and LiNbO 3 (0 0 0 1) along <1 1 2¯ 0>- direction, as compared with that in the case of normally used sapphire (0 0 0 1) substrates, favored the epitaxial growth of ZnO films. The transmittance spectra of ZnO films deposited in vacuum after annealed in pure oxygen show a sharp absorption edge at 375.6 nm (E g=3.31 eV) .

  13. High free carrier concentration in p-GaN grown on AlN substrates

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mita, Seiji; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Tweedie, James; Bryan, Isaac; Bryan, Zachary; Kirste, Ronny; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko

    2017-07-01

    A high free hole concentration in III-nitrides is important for next generation optoelectronic and high power electronic devices. The free hole concentration exceeding 1018 cm-3 and resistivity as low as 0.7 Ω cm are reported for p-GaN layers grown by metalorganic vapor phase epitaxy on single crystal AlN substrates. Temperature dependent Hall measurements confirmed a much lower activation energy, 60-80 mV, for p-GaN grown on AlN as compared to sapphire substrates; the lowering of the activation energy was due to screening of Coulomb potential by free carriers. It is also shown that a higher doping density (more than 5 × 1019 cm-3) can be achieved in p-GaN/AlN without the onset of self-compensation.

  14. Temperature and emissivity measurements at the sapphire single crystal fiber growth process

    NASA Astrophysics Data System (ADS)

    Bufetova, G. A.; Rusanov, S. Ya.; Seregin, V. F.; Pyrkov, Yu. N.; Tsvetkov, V. B.

    2017-12-01

    We present a new method for evaluation the absorption coefficient of the crystal melt around the phase transition zone for the spectral range of semitransparency. The emissivity distribution across the crystallization front of the sapphire crystal fiber was measured at the quasi-stationary laser heated pedestal growth (LHPG) process (Fejer et al., 1984; Feigelson, 1986) and the data for solid state, melt and phase transition zone (melt-solid interface) were obtained. The sapphire melt absorption coefficient was estimated to be 14 ± 2 cm-1 in the spectral range 1-1.4 μm around the melt point. It is consistent with data, obtained by different other methods. This method can be applied to determine the absorption coefficient for other materials.

  15. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  16. Comparing Yb-fiber and Ti:Sapphire lasers for depth resolved imaging of human skin (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Balu, Mihaela; Saytashev, Ilyas; Hou, Jue; Dantus, Marcos; Tromberg, Bruce J.

    2016-02-01

    We report on a direct comparison between Ti:Sapphire and Yb fiber lasers for depth-resolved label-free multimodal imaging of human skin. We found that the penetration depth achieved with the Yb laser was 80% greater than for the Ti:Sapphire. Third harmonic generation (THG) imaging with Yb laser excitation provides additional information about skin structure. Our results indicate the potential of fiber-based laser systems for moving into clinical use.

  17. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  18. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    NASA Astrophysics Data System (ADS)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  19. Generation of continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling of a Ti:sapphire laser.

    PubMed

    Cha, Yong-Ho; Ko, Kwang-Hoon; Lim, Gwon; Han, Jae-Min; Park, Hyun-Min; Kim, Taek-Soo; Jeong, Do-Young

    2010-03-20

    We have generated continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling a high-power Ti:sapphire laser in an external enhancement cavity. An LBO crystal that is Brewster-cut and antireflection coated on both ends is used for a long-term stable frequency doubling. By optimizing the input coupler's reflectivity, we could generate 1.5 W 378 nm radiation from a 5 W 756 nm Ti:sapphire laser. According to our knowledge, this is the highest CW frequency-doubled power of a Ti:sapphire laser.

  20. Design and performance of an astigmatism-compensated self-mode-locked ring-cavity Ti:sapphire laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, Y.; Dai, J.; Wang, Q.

    1996-12-31

    Based on the nonlinear ABCD matrix and the renormalized q-parameter for Gaussian-beam propagation, self-focusing in conjunction with a spatial gain profile for self-mode locking in a ring-cavity Ti:sapphire laser is analyzed. In the experiment, an astigmatism-compensated self-mode-locked ring-cavity Ti:sapphire laser is demonstrated, and self-mode-locked operation is achieved in both bidirection and unidirection with pulse durations as short as 36 fs and 32 fs, respectively. The experimental observations are in good agreement with theoretical predictions.

  1. Microscopic origin of the optical processes in blue sapphire.

    PubMed

    Bristow, Jessica K; Parker, Stephen C; Catlow, C Richard A; Woodley, Scott M; Walsh, Aron

    2013-06-11

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  2. Visualization and Analysis of Impact Damage in Sapphire

    DTIC Science & Technology

    2011-11-01

    transparent armor materials like Starphire soda - lime and borosilicate glass [8], fused silica [9] and the transparent polycrystalline ceramic AlON...conventional glass -based armor when a transparent ceramic is used as strike face on a glass -polymer laminate [1, 2, 3]. Sapphire, i.e. single crystal aluminum...materials. Since part of transparent armor consists of brittle materials, the fragmentation of the ceramic and glass layers plays a key role in the

  3. Power amplification for petawatt Ti: Sapphire lasers: New strategies for high fluence pumping

    NASA Astrophysics Data System (ADS)

    Canova, F.; Chambaret, J.-P.

    2006-06-01

    One of the major bottlenecks when we pump large Ti:Sapphire crystals, to reach Petawatt level laser amplification, is the careful control of the spatial energy distribution of Nd:Glass pump lasers. Commercially available nanosecond Nd:Glass and Nd:YAG lasers exhibit poor spatial profile quality especially in the near and in the intermediate field, which can lead to local hot spots, responsible of damages in crystals, and parasitic transverse lasing enhancement, strongly dependent on the profile of the pump beam . For these reasons, it is mandatory to keep the pump beam intensity profile as flat as possible on the pumped crystal. To guarantee the best pumping conditions we are investigating the combined use of DOE (diffractive optical elements) and optical smoothing techniques. In parallel we are starting a study on laser induced damages mechanisms in crystal. With DOE and microlens arrays we plan to guarantee to the beam a supergaussian shape. Simulation and first experiments with both optical systems show that a flat top spatial profile with less than 10% fluctuations and a 8th order supergaussian is possible with the present technology.Optical smoothing will keep the beam free of hot spots. We especially focused on the smoothing techniques involving optical fibers. This is the first time to our knowledge that this technique is applied to the pumping beams for Ti:Sapphire systems. A deep study of laser-crystal interaction will allow us to fully understand the damages created by hot spots. The knowledge of the phenomena involved in laser damages on Ti:Sapphire is mandatory to control the pumping processes and thresholds. In conclusion, mixing the advantages of these different approaches to overcome this bottleneck will allow us to amplify in a safety way femtosecond laser beams to the Petawatt level using Ti:Sapphire crystals.

  4. Development of a templated approach to fabricate diamond patterns on various substrates.

    PubMed

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  5. Vanadium-rich ruby and sapphire within Mogok Gemfield, Myanmar: implications for gem color and genesis

    NASA Astrophysics Data System (ADS)

    Zaw, Khin; Sutherland, Lin; Yui, Tzen-Fu; Meffre, Sebastien; Thu, Kyaw

    2015-01-01

    Rubies and sapphires are of both scientific and commercial interest. These gemstones are corundum colored by transition elements within the alumina crystal lattice: Cr3+ yields red in ruby and Fe2+, Fe3+, and Ti4+ ionic interactions color sapphires. A minor ion, V3+ induces slate to purple colors and color change in some sapphires, but its role in coloring rubies remains enigmatic. Trace element and oxygen isotope composition provide genetic signatures for natural corundum and assist geographic typing. Here, we show that V can dominate chromophore contents in Mogok ruby suites. This raises implications for their color quality, enhancement treatments, geographic origin, exploration and exploitation and their comparison with rubies elsewhere. Precise LA-ICP-MS analysis of ruby and sapphire from Mogok placer and in situ deposits reveal that V can exceed 5,000 ppm, giving V/Cr, V/Fe and V/Ti ratios up to 26, 78, and 97 respectively. Such values significantly exceed those found elsewhere suggesting a localized geological control on V-rich ruby distribution. Our results demonstrate that detailed geochemical studies of ruby suites reveal that V is a potential ruby tracer, encourage comparisons of V/Cr-variation between ruby suites and widen the scope for geographic typing and genesis of ruby. This will allow more precise comparison of Asian and other ruby fields and assist confirmation of Mogok sources for rubies in historical and contemporary gems and jewelry.

  6. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    NASA Astrophysics Data System (ADS)

    Fenwick, William Edward

    into the subsequent GaN layer was studied in more detail. Several approaches were investigated---for example, transition layers such as Al2O3 and Al xGa1-xN/GaN---to minimize diffusion of these impurities into the GaN layer. Silicon, due to its prevalence, is the most promising material for the development of an inexpensive, large-area substrate technology. The challenge in MOCVD growth of GaN on Si is the tensile strain induced by the lattice and thermal mismatch between GaN and Si and the formation of anti-phase boundaries. Typical approaches to solve these problems involve complicated and multiple buffer layer structures, which lead to relatively slow growth rates. In this work, a thin atomic layer deposition (ALD)-grown Al2O3 interlayer was employed to relieve strain and increase material quality while also simplifying the growth process. While some residual strain was still observed in the GaN material by XRD and PL, the use of this oxide interlayer leads to an improvement in thin film quality as seen by a reduction in both crack density (<1 mm-2) on ALD-Al2O3/Si) and screw dislocation density (from 3x109cm-2 on bare Si to 2x108cm-2 on ALD-Al 2O3/Si) in the GaN films. A side-by-side comparison of GaN-based multiple quantum well LEDs grown on sapphire and on Al2O3/Si shows similar performance characteristic for both device structures. A redshift in peak emission wavelength was also observed on silicon compared to sapphire, and this is attributed to higher indium content due to the slight tensile strain in the layers on silicon. IQE of the devices on silicon is ˜32% as measured by LT-PL, compared to ˜37% on sapphire, but this difference can be assigned to the difference in indium compositions. These results show a great promise toward an inexpensive, large-area, silicon-based substrate technology for MOCVD growth of the next generation of GaN-based optoelectronic devices for SSL and other applications.

  7. Simulation and optimization of silicon-on-sapphire pressure sensor

    NASA Astrophysics Data System (ADS)

    Kulesh, N. A.; Kudyukov, E. V.; Balymov, K. G.; Beloyshov, A. A.

    2017-09-01

    In this paper, finite element analysis software COMSOL Multiphysics was used to simulate the performance of silicon-on-sapphire piezoresistive pressure sensor, aiming to elaborate a flexible model suitable for further optimization and customization of the currently produced pressure sensors. The base model was built around the cylindrical pressure cell made of titanium alloy having a circular diaphragm with monocrystalline sapphire layer attached. The monocrystalline piezoresistive elements were placed on top of the double-layer diaphragm and electrically connected to form the Wheatstone bridge. Verification of the model and parametric study included three main areas: geometrical parameters of the cell, position of the elements on the diaphragm, and operation at elevated temperature. Optimization of the cell geometry included variation of bossed titanium diaphragm parameters as well as rounding-off radiuses near the edges of the diaphragm. Influence of the temperature was considered separately for thermal expansion of the mechanical components and for the changes of electrical and piezoresistive properties of the piezoresistive elements. In conclusion, the simulation results were compared to the experimental data obtained for three different constructions of the commercial pressure sensors produced by SPA of Automatics named after Academician N.A. Semikhatov.

  8. Wetting, adhesion and friction of superhydrophobic and hydrophilic leaves and fabricated micro/nanopatterned surfaces

    NASA Astrophysics Data System (ADS)

    Bhushan, Bharat; Jung, Yong Chae

    2008-06-01

    Superhydrophobic surfaces have considerable technological potential for various applications due to their extreme water-repellent properties. When two hydrophilic bodies are brought into contact, any liquid present at the interface forms menisci, which increases adhesion/friction and the magnitude is dependent upon the contact angle. Certain plant leaves are known to be superhydrophobic in nature due to their roughness and the presence of a thin wax film on the leaf surface. Various leaf surfaces on the microscale and nanoscale have been characterized in order to separate out the effects of the microbumps and nanobumps and the wax on the hydrophobicity. The next logical step in realizing superhydrophobic surfaces that can be produced is to design surfaces based on understanding of the leaves. The effect of micropatterning and nanopatterning on the hydrophobicity was investigated for two different polymers with micropatterns and nanopatterns. Scale dependence on adhesion was also studied using atomic force microscope tips of various radii. Studies on silicon surfaces patterned with pillars of varying diameter, height and pitch values and deposited with a hydrophobic coating were performed to demonstrate how the contact angles vary with the pitch. The effect of droplet size on contact angle was studied by droplet evaporation and a transition criterion was developed to predict when air pockets cease to exist. Finally, an environmental scanning electron microscope study on the effect of droplet size of about 20 µm radius on the contact angle of patterned surfaces is presented. The importance of hierarchical roughness structure on destabilization of air pockets is discussed.

  9. Effect of Ti:sapphire laser on shear bond strength of orthodontic brackets to ceramic surfaces.

    PubMed

    Erdur, Emire Aybuke; Basciftci, Faruk Ayhan

    2015-08-01

    With increasing demand for orthodontic treatments in adults, orthodontists continue to debate the optimal way to prepare ceramic surfaces for bonding. This study evaluated the effects of a Ti:sapphire laser on the shear bond strength (SBS) of orthodontic brackets bonded to two ceramic surfaces (feldspathic and IPS Empress e-Max) and the results were compared with those using two other lasers (Er:YAG and Nd:YAG) and 'conventional' techniques, i.e., sandblasting (50 µm) and hydrofluoric (HF) acid. In total, 150 ceramic discs were prepared and divided into two groups. In each group, the following five subgroups were prepared: Ti:sapphire laser, Nd:YAG laser, Er:YAG laser, sandblasting, and HF acid. Mandibular incisor brackets were bonded using a light-cured adhesive. The samples were stored in distilled water for 24 hours at 37°C and then thermocycled. Extra samples were prepared and examined using scanning electron microscopy (SEM). SBS testing was performed and failure modes were classified. ANOVA and Tukey's HSD tests were used to compare SBS among the five subgroups (P < 0.05). Feldspathic and IPS Empress e-Max ceramics had similar SBS values. The Ti:sapphire femtosecond laser (16.76 ± 1.37 MPa) produced the highest mean bond strength, followed by sandblasting (12.79 ± 1.42 MPa) and HF acid (11.28 ± 1.26 MPa). The Er:YAG (5.43 ± 1.21 MPa) and Nd:YAG laser (5.36 ± 1.04 MPa) groups were similar and had the lowest SBS values. More homogeneous and regular surfaces were observed in the ablation pattern with the Ti:sapphire laser than with the other treatments by SEM analysis. Within the limitations of this in vitro study, Ti:sapphire laser- treated surfaces had the highest SBS values. Therefore, this technique may be useful for the pretreatment of ceramic surfaces as an alternative to 'conventional' techniques. © 2015 Wiley Periodicals, Inc.

  10. Nanopatterned submicron pores as a shield for nonspecific binding in surface plasmon resonance-based sensing.

    PubMed

    Raz, Sabina Rebe; Marchesini, Gerardo R; Bremer, Maria G E G; Colpo, Pascal; Garcia, Cesar Pascual; Guidetti, Guido; Norde, Willem; Rossi, Francois

    2012-11-21

    We present a novel approach to tackle the most common drawback of using surface plasmon resonance for analyte screening in complex biological matrices--the nonspecific binding to the sensor chip surface. By using a perforated membrane supported by a polymeric gel structure at the evanescent wave penetration depth, we have fabricated a non-fouling sieve above the sensing region. The sieve shields the evanescent wave from nonspecific interactions which interfere with SPR sensing by minimizing the fouled area of the polymeric gel and preventing the translocation of large particles, e.g. micelles or aggregates. The nanopatterned macropores were fabricated by means of colloidal lithography and plasma enhanced chemical vapor deposition of a polyethylene oxide-like film on top of a polymeric gel matrix commonly used in surface plasmon resonance analysis. The sieve was characterized using surface plasmon resonance imaging, contact angle, atomic force microscopy and scanning electron microscopy. The performance of the sieve was studied using an immunoassay for detection of antibiotic residues in full fat milk and porcine serum. The non-fouling membrane presented pores in the 92-138 nm range organized in a hexagonal crystal lattice with a clearance of about 5% of the total surface. Functionally, the membrane with the nanopatterned macropores showed significant improvements in immunoassay robustness and sensitivity in untreated complex samples. The utilization of the sensor built-in sieve for measurements in complex matrices offers reduction in pre-analytical sample preparation steps and thus shortens the total analysis time.

  11. Doping and compensation in Al-rich AlGaN grown on single crystal AlN and sapphire by MOCVD

    NASA Astrophysics Data System (ADS)

    Bryan, Isaac; Bryan, Zachary; Washiyama, Shun; Reddy, Pramod; Gaddy, Benjamin; Sarkar, Biplab; Breckenridge, M. Hayden; Guo, Qiang; Bobea, Milena; Tweedie, James; Mita, Seiji; Irving, Douglas; Collazo, Ramon; Sitar, Zlatko

    2018-02-01

    In order to understand the influence of dislocations on doping and compensation in Al-rich AlGaN, thin films were grown by metal organic chemical vapor deposition (MOCVD) on different templates on sapphire and low dislocation density single crystalline AlN. AlGaN grown on AlN exhibited the highest conductivity, carrier concentration, and mobility for any doping concentration due to low threading dislocation related compensation and reduced self-compensation. The onset of self-compensation, i.e., the "knee behavior" in conductivity, was found to depend only on the chemical potential of silicon, strongly indicating the cation vacancy complex with Si as the source of self-compensation. However, the magnitude of self-compensation was found to increase with an increase in dislocation density, and consequently, AlGaN grown on AlN substrates demonstrated higher conductivity over the entire doping range.

  12. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  13. Design and analysis of large-core single-mode windmill single crystal sapphire optical fiber

    DOE PAGES

    Cheng, Yujie; Hill, Cary; Liu, Bo; ...

    2016-06-01

    We present a large-core single-mode “windmill” single crystal sapphire optical fiber (SCSF) design, which exhibits single-mode operation by stripping off the higher-order modes (HOMs) while maintaining the fundamental mode. The “windmill” SCSF design was analyzed using the finite element analysis method, in which all the HOMs are leaky. The numerical simulation results show single-mode operation in the spectral range from 0.4 to 2 μm in the windmill SCSF, with an effective core diameter as large as 14 μm. Such fiber is expected to improve the performance of many of the current sapphire fiber optic sensor structures.

  14. Sapphire reinforced alumina matrix composites

    NASA Technical Reports Server (NTRS)

    Jaskowiak, Martha H.; Setlock, John A.

    1994-01-01

    Unidirectionally reinforced A1203 matrix composites have been fabricated by hot pressing. Approximately 30 volume % of either coated or uncoated sapphire fiber was used as reinforcement. Unstabilized ZrO2 was applied as the fiber coating. Composite mechanical behavior was analyzed both after fabrication and after additional heat treatment. The results of composite tensile tests were correlated with fiber-matrix interfacial shear strengths determined from fiber push-out tests. Substantially higher strength and greater fiber pull-out were observed for the coated fiber composites for all processing conditions studied. The coated fiber composites retained up to 95% and 87% of their as-fabricated strength when heat treated at 14000C for 8 or 24 hours, respectively. Electron microscopy analysis of the fracture surfaces revealed extensive fiber pull-out both before and after heat treatment.

  15. Characterization of sapphire: For its material properties at high temperatures

    NASA Astrophysics Data System (ADS)

    Bal, Harman Singh

    There are numerous needs for sensing, one of which is in pressure sensing for high temperature application such as combustion related process and embedded in aircraft wings for reusable space vehicles. Currently, silicon based MEMS technology is used for pressure sensing. However, due to material properties the sensors have a limited range of approximately 600 °C which is capable of being pushed towards 1000 °C with active cooling. This can introduce reliability issues when you add more parts and high flow rates to remove large amounts of heat. To overcome this challenge, sapphire is investigated for optical based pressure transducers at temperatures approaching 1400 °C. Due to its hardness and chemical inertness, traditional cutting and etching methods used in MEMS technology are not applicable. A method that is being investigated as a possible alternative is laser machining using a picosecond laser. In this research, we study the material property changes that occur from laser machining and quantify the changes with the experimental results obtained by testing sapphire at high-temperature with a standard 4-point bending set-up.

  16. Ultrafast third-harmonic generation from textured aluminum nitride-sapphire interfaces

    NASA Astrophysics Data System (ADS)

    Stoker, D. S.; Baek, J.; Wang, W.; Kovar, D.; Becker, M. F.; Keto, J. W.

    2006-05-01

    We measured and modeled third-harmonic generation (THG) from an AlN thin film on sapphire using a time-domain approach appropriate for ultrafast lasers. Second-harmonic measurements indicated that polycrystalline AlN contains long-range crystal texture. An interface model for third-harmonic generation enabled an analytical representation of scanning THG ( z -scan) experiments. Using it and accounting for Fresnel reflections, we measured the AlN -sapphire susceptibility ratio and estimated the susceptibility for aluminum nitride, χxxxx(3)(3ω;ω,ω,ω)=1.52±0.25×10-13esu . The third-harmonic (TH) spectrum strongly depended on the laser focus position and sample thickness. The amplitude and phase of the frequency-domain interference were fit to the Fourier transform of the calculated time-domain field to improve the accuracy of several experimental parameters. We verified that the model works well for explaining TH signal amplitudes and spectral phase. Some anomalous features in the TH spectrum were observed, which we attributed to nonparaxial effects.

  17. Nanopatterning reconfigurable magnetic landscapes via thermally assisted scanning probe lithography

    NASA Astrophysics Data System (ADS)

    Albisetti, E.; Petti, D.; Pancaldi, M.; Madami, M.; Tacchi, S.; Curtis, J.; King, W. P.; Papp, A.; Csaba, G.; Porod, W.; Vavassori, P.; Riedo, E.; Bertacco, R.

    2016-06-01

    The search for novel tools to control magnetism at the nanoscale is crucial for the development of new paradigms in optics, electronics and spintronics. So far, the fabrication of magnetic nanostructures has been achieved mainly through irreversible structural or chemical modifications. Here, we propose a new concept for creating reconfigurable magnetic nanopatterns by crafting, at the nanoscale, the magnetic anisotropy landscape of a ferromagnetic layer exchange-coupled to an antiferromagnetic layer. By performing localized field cooling with the hot tip of a scanning probe microscope, magnetic structures, with arbitrarily oriented magnetization and tunable unidirectional anisotropy, are reversibly patterned without modifying the film chemistry and topography. This opens unforeseen possibilities for the development of novel metamaterials with finely tuned magnetic properties, such as reconfigurable magneto-plasmonic and magnonic crystals. In this context, we experimentally demonstrate spatially controlled spin wave excitation and propagation in magnetic structures patterned with the proposed method.

  18. Nanopatterning reconfigurable magnetic landscapes via thermally assisted scanning probe lithography.

    PubMed

    Albisetti, E; Petti, D; Pancaldi, M; Madami, M; Tacchi, S; Curtis, J; King, W P; Papp, A; Csaba, G; Porod, W; Vavassori, P; Riedo, E; Bertacco, R

    2016-06-01

    The search for novel tools to control magnetism at the nanoscale is crucial for the development of new paradigms in optics, electronics and spintronics. So far, the fabrication of magnetic nanostructures has been achieved mainly through irreversible structural or chemical modifications. Here, we propose a new concept for creating reconfigurable magnetic nanopatterns by crafting, at the nanoscale, the magnetic anisotropy landscape of a ferromagnetic layer exchange-coupled to an antiferromagnetic layer. By performing localized field cooling with the hot tip of a scanning probe microscope, magnetic structures, with arbitrarily oriented magnetization and tunable unidirectional anisotropy, are reversibly patterned without modifying the film chemistry and topography. This opens unforeseen possibilities for the development of novel metamaterials with finely tuned magnetic properties, such as reconfigurable magneto-plasmonic and magnonic crystals. In this context, we experimentally demonstrate spatially controlled spin wave excitation and propagation in magnetic structures patterned with the proposed method.

  19. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  20. Channel Temperature Determination for AlGaN/GaN HEMTs on SiC and Sapphire

    NASA Technical Reports Server (NTRS)

    Freeman, Jon C.; Mueller, Wolfgang

    2008-01-01

    Numerical simulation results (with emphasis on channel temperature) for a single gate AlGaN/GaN High Electron Mobility Transistor (HEMT) with either a sapphire or SiC substrate are presented. The static I-V characteristics, with concomitant channel temperatures (T(sub ch)) are calculated using the software package ATLAS, from Silvaco, Inc. An in-depth study of analytical (and previous numerical) methods for the determination of T(sub ch) in both single and multiple gate devices is also included. We develop a method for calculating T(sub ch) for the single gate device with the temperature dependence of the thermal conductivity of all material layers included. We also present a new method for determining the temperature on each gate in a multi-gate array. These models are compared with experimental results, and show good agreement. We demonstrate that one may obtain the channel temperature within an accuracy of +/-10 C in some cases. Comparisons between different approaches are given to show the limits, sensitivities, and needed approximations, for reasonable agreement with measurements.

  1. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  2. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    NASA Technical Reports Server (NTRS)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  3. Electroform replication of smooth mirrors from sapphire masters

    NASA Technical Reports Server (NTRS)

    Altkorn, R.; Chang, J.; Haidle, R.; Takacs, P. Z.; Ulmer, M. P.

    1992-01-01

    A sapphire master was used to produce mirrors that exhibit mid-to-high-frequency roughness as low as 3 A. The fabrication procedure and potential applications in X-ray astronomy are discussed. It is shown that foils replicated from flat smooth mandrels should offer at least equivalent HF roughness and significantly lower mid-frequency ripple than those coated with lacquer. A ceramic-surface mandrel could also be expected to last far longer without the need for repolishing than electroless nickel-coated mandrels.

  4. Energy output reduction and surface alteration of quartz and sapphire tips following Er:YAG laser contact irradiation for tooth enamel ablation.

    PubMed

    Eguro, Toru; Aoki, Akira; Maeda, Toru; Takasaki, Aristeo Atsushi; Hasegawa, Mitsuru; Ogawa, Masaaki; Suzuki, Takanori; Yonemoto, Kazuaki; Ishikawa, Isao; Izumi, Yuichi; Katsuumi, Ichiroh

    2009-10-01

    Despite the recent increase in application of Er:YAG laser for various dental treatments, limited information is available regarding the contact tips. This study examined the changes in energy output and surface condition of quartz and sapphire contact tips after Er:YAG laser contact irradiation for tooth enamel ablation. Ten sets of unused quartz or sapphire contact tips were employed for contact irradiation to sound enamel of extracted teeth. The teeth were irradiated with Er:YAG laser at approximately 75 J/cm(2)/pulse and 20 Hz under water spray for 60 minutes. The energy output was measured before and every 5 minutes after irradiation, and the changes in morphology and chemical composition of the contact surface were analyzed. The energy output significantly decreased with time in both tips. The energy output from the sapphire tips was generally higher on average than that of the quartz. The contact surfaces of all the used quartz tips were concave and irregular. Most of the sapphire tips also appeared rough with crater formation and fractures, except for a few tips in which a high energy output and the original smooth surface were maintained. Spots of melted tooth substances were seen attached to the surface of both tips. In contact enamel ablation, the sapphire tip appeared to be more resistant than the quartz tip. The quartz tips showed similar patterns of energy reduction and surface alteration, whereas the sapphire tips revealed a wider and more characteristic variation among tips. Lasers Surg. Med. 41:595-604, 2009. (c) 2009 Wiley-Liss, Inc.

  5. Time dependent temperature distribution in pulsed Ti:sapphire lasers

    NASA Technical Reports Server (NTRS)

    Buoncristiani, A. Martin; Byvik, Charles E.; Farrukh, Usamah O.

    1988-01-01

    An expression is derived for the time dependent temperature distribution in a finite solid state laser rod for an end-pumped beam of arbitrary shape. The specific case of end pumping by circular (constant) or Gaussian beam is described. The temperature profile for a single pump pulse and for repetitive pulse operation is discussed. The particular case of the temperature distribution in a pulsed titanium:sapphire rod is considered.

  6. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Substrate Temperature effect on the transition characteristics of Vanadium (IV) oxide

    NASA Astrophysics Data System (ADS)

    Yang, Tsung-Han; Wei, Wei; Jin, Chunming; Narayan, Jay

    2008-10-01

    One of the semiconductor to metal transition material (SMT) is Vanadium Oxide (VO2) which has a very sharp transition temperature close to 340 K as the crystal structure changes from monoclinic phase (semiconductor) into tetragonal phase (metal phase). We have grown high-quality epitaxial vanadium oxide (VO2) films on sapphire (0001) substrates by pulsed laser deposition for oxygen pressure 10-2torr and obtained interesting results without further annealing treatments. The epitaxial growth via domain matching epitaxy, where integral multiples of planes matched across the film-substrate interface. We were able to control the transition characteristics such as the sharpness (T), amplitude (A) of SMT transition and the width of thermal hysteresis (H) by altering the substrate temperature from 300 ^oC, 400 ^oC, 500 ^oC, and 600 ^oC. We use the XRD to identify the microstructure of film and measure the optical properties of film. Finally the transition characteristics is observed by the resistance with the increase of temperature by Van Der Pauw method from 25 to 100 ^oC to measure the electrical resistivity hystersis loop during the transition temperature.

  8. Dip-pen nanopatterning of photosensitive conducting polymer using a monomer ink

    NASA Astrophysics Data System (ADS)

    Su, Ming; Aslam, Mohammed; Fu, Lei; Wu, Nianqiang; Dravid, Vinayak P.

    2004-05-01

    Controlled patterning of conducting polymers at a micro- or nanoscale is the first step towards the fabrication of miniaturized functional devices. Here, we introduce an approach for the nanopatterning of conducting polymers using an improved monomer "ink" in dip-pen nanolithography (DPN). The nominal monomer "ink" is converted, in situ, to its conducting solid-state polymeric form after patterned. Proof-of-concept experiments have been performed with acid-promoted polymerization of pyrrole in a less reactive environment (tetrahydrofuran). The ratios of reactants are optimized to give an appropriate rate to match the operation of DPN. A similar synthesis process for the same polymer in its bulk form shows a high conductance and crystalline structure. The miniaturized conducting polymer sensors with light detection ability are fabricated by DPN using the improved ink formula, and exhibit excellent response, recovery, and sensitivity parameters.

  9. Antibacterial titanium nano-patterned arrays inspired by dragonfly wings

    NASA Astrophysics Data System (ADS)

    Bhadra, Chris M.; Khanh Truong, Vi; Pham, Vy T. H.; Al Kobaisi, Mohammad; Seniutinas, Gediminas; Wang, James Y.; Juodkazis, Saulius; Crawford, Russell J.; Ivanova, Elena P.

    2015-11-01

    Titanium and its alloys remain the most popular choice as a medical implant material because of its desirable properties. The successful osseointegration of titanium implants is, however, adversely affected by the presence of bacterial biofilms that can form on the surface, and hence methods for preventing the formation of surface biofilms have been the subject of intensive research over the past few years. In this study, we report the response of bacteria and primary human fibroblasts to the antibacterial nanoarrays fabricated on titanium surfaces using a simple hydrothermal etching process. These fabricated titanium surfaces were shown to possess selective bactericidal activity, eliminating almost 50% of Pseudomonas aeruginosa cells and about 20% of the Staphylococcus aureus cells coming into contact with the surface. These nano-patterned surfaces were also shown to enhance the aligned attachment behavior and proliferation of primary human fibroblasts over 10 days of growth. These antibacterial surfaces, which are capable of exhibiting differential responses to bacterial and eukaryotic cells, represent surfaces that have excellent prospects for biomedical applications.

  10. Antibacterial titanium nano-patterned arrays inspired by dragonfly wings

    PubMed Central

    Bhadra, Chris M.; Khanh Truong, Vi; Pham, Vy T. H.; Al Kobaisi, Mohammad; Seniutinas, Gediminas; Wang, James Y.; Juodkazis, Saulius; Crawford, Russell J.; Ivanova, Elena P.

    2015-01-01

    Titanium and its alloys remain the most popular choice as a medical implant material because of its desirable properties. The successful osseointegration of titanium implants is, however, adversely affected by the presence of bacterial biofilms that can form on the surface, and hence methods for preventing the formation of surface biofilms have been the subject of intensive research over the past few years. In this study, we report the response of bacteria and primary human fibroblasts to the antibacterial nanoarrays fabricated on titanium surfaces using a simple hydrothermal etching process. These fabricated titanium surfaces were shown to possess selective bactericidal activity, eliminating almost 50% of Pseudomonas aeruginosa cells and about 20% of the Staphylococcus aureus cells coming into contact with the surface. These nano-patterned surfaces were also shown to enhance the aligned attachment behavior and proliferation of primary human fibroblasts over 10 days of growth. These antibacterial surfaces, which are capable of exhibiting differential responses to bacterial and eukaryotic cells, represent surfaces that have excellent prospects for biomedical applications. PMID:26576662

  11. Diverse set of Turing nanopatterns coat corneae across insect lineages

    PubMed Central

    Blagodatski, Artem; Sergeev, Anton; Kryuchkov, Mikhail; Lopatina, Yuliya; Katanaev, Vladimir L.

    2015-01-01

    Nipple-like nanostructures covering the corneal surfaces of moths, butterflies, and Drosophila have been studied by electron and atomic force microscopy, and their antireflective properties have been described. In contrast, corneal nanostructures of the majority of other insect orders have either been unexamined or examined by methods that did not allow precise morphological characterization. Here we provide a comprehensive analysis of corneal surfaces in 23 insect orders, revealing a rich diversity of insect corneal nanocoatings. These nanocoatings are categorized into four major morphological patterns and various transitions between them, many, to our knowledge, never described before. Remarkably, this unexpectedly diverse range of the corneal nanostructures replicates the complete set of Turing patterns, thus likely being a result of processes similar to those modeled by Alan Turing in his famous reaction−diffusion system. These findings reveal a beautiful diversity of insect corneal nanostructures and shed light on their molecular origin and evolutionary diversification. They may also be the first-ever biological example of Turing nanopatterns. PMID:26307762

  12. Electrodeposition in capillaries: bottom-up micro- and nanopatterning of functional materials on conductive substrates.

    PubMed

    George, Antony; Maijenburg, A Wouter; Maas, Michiel G; Blank, Dave H A; Ten Elshof, Johan E

    2011-09-01

    A cost-effective and versatile methodology for bottom-up patterned growth of inorganic and metallic materials on the micro- and nanoscale is presented. Pulsed electrodeposition was employed to deposit arbitrary patterns of Ni, ZnO, and FeO(OH) of high quality, with lateral feature sizes down to 200-290 nm. The pattern was defined by an oxygen plasma-treated patterned PDMS mold in conformal contact with a conducting substrate and immersed in an electrolyte solution, so that the solid phases were deposited from the solution in the channels of the patterned mold. It is important that the distance between the entrance of the channels, and the location where deposition is needed, is kept limited. The as-formed patterns were characterized by high resolution scanning electron microscope, energy-dispersive X-ray analysis, atomic force microscopy, and X-ray diffraction.

  13. Study on the temperature field of large-sized sapphire single crystal furnace

    NASA Astrophysics Data System (ADS)

    Zhai, J. P.; Jiang, J. W.; Liu, K. G.; Peng, X. B.; Jian, D. L.; Li, I. L.

    2018-01-01

    In this paper, the temperature field of large-sized (120kg, 200kg and 300kg grade) sapphire single crystal furnace was simulated. By keeping the crucible diameter ratio and the insulation system unchanged, the power consumption, axial and radial temperature gradient, solid-liquid surface shape, stress distribution and melt flow were studied. The simulation results showed that with the increase of the single crystal furnace size, the power consumption increased, the temperature field insulation effect became worse, the growth stress value increased and the stress concentration phenomenon occurred. To solve these problems, the middle and bottom insulation system should be enhanced during designing the large-sized sapphire single crystal furnace. The appropriate radial and axial temperature gradient was favorable to reduce the crystal stress and prevent the occurrence of cracking. Expanding the interface between the seed and crystal was propitious to avoid the stress accumulation phenomenon.

  14. Solvent influence upon structure & throughput of poly vinyledene fluoride thin film nano-patterns by imprint lithography

    NASA Astrophysics Data System (ADS)

    Sankar, M. S. Ravi; Gangineni, R. B.

    2018-04-01

    This work aims at understanding the solvent influence upon the throughput and structure of poly vinyledene fluoride (PVDF)nano-patterned films. The PVDF thin films are deposited by spin coating method using Dimethylsulfoxide (DMSO), Tetrahydrofuran (THF) and 2-butanone solvents. The nano-patterns are realized by imprinting SONY 700 MB CD aluminum constructions on PVDF thin filmsusing imprint lithography technique under ambient annealing temperature and pressure. Surface morphology &imprint pattern transfer quality is evaluated with Atomic force microscopy (AFM). Raman spectroscopy is used for evaluating the structural evolutions with respect to solvent & patterning.

  15. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  16. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study

    PubMed Central

    Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-01-01

    Introduction Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. Aim The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Materials and Methods Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. Results The light absorption values obtained from spectrofluorometeric study were 3300000–3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000–6500000 cps for Group 2

  17. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study.

    PubMed

    Mohamed, Jauhar P; Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-08-01

    Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. The light absorption values obtained from spectrofluorometeric study were 3300000-3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000-6500000 cps for Group 2 (polycrystalline ceramic brackets) and 2700000 -3000000 cps for

  18. Ruby and sapphire from Jegdalek, Afghanistan

    USGS Publications Warehouse

    Bowersox, G.W.; Foord, E.E.; Laurs, B.M.; Shigley, J.E.; Smith, C.P.

    2000-01-01

    This study provides detailed mining and gemological information on the Jegdalek deposit, in east-central Afghanistan, which is hosted by elongate beds of corundum-bearing marble. Some facet-grade ruby has been recovered, but most of the material consists of semitransparent pink sapphire of cabochon or carving quality. The most common internal features are dense concentrations of healed and nonhealed fracture planes and lamellar twin planes. Color zoning is common, and calcite, apatite, zircon, mica, iron sulfide minerals, graphite, rutile, aluminum hydroxide, and other minerals are also present in some samples. Although the reserves appear to be large, future potential will depend on the establishment of a stable government and the introduction of modern mining and exploration techniques. ?? 2000 Gemological Institute of America.

  19. Low propagation loss silicon-on-sapphire waveguides for the mid-infrared.

    PubMed

    Li, Fangxin; Jackson, Stuart D; Grillet, Christian; Magi, Eric; Hudson, Darren; Madden, Steven J; Moghe, Yashodhan; O'Brien, Christopher; Read, Andrew; Duvall, Steven G; Atanackovic, Peter; Eggleton, Benjamin J; Moss, David J

    2011-08-01

    We report record low loss silicon-on-sapphire nanowires for applications to mid infrared optics. We achieve propagation losses as low as 0.8 dB/cm at λ = 1550 nm, ~1.1 to 1.4 dB/cm at λ = 2080 nm and < 2dB/cm at λ = 5.18 μm.

  20. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    NASA Astrophysics Data System (ADS)

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J. W.; Amsalem, Patrick; Koch, Norbert

    2018-04-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron-hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  1. Removal of Lattice Imperfections that Impact the Optical Quality of Ti:Sapphire using Advanced Magnetorheological Finishing Techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Menapace, J A; Schaffers, K I; Bayramian, A J

    2007-10-09

    Ti:sapphire has become the premier lasing medium material for use in solid-state femtosecond high-peak power laser systems because of its wide wavelength tuning range. With a tuneable range from 680 to 1100 nm, peaking at 800 nm, Ti:sapphire lasing crystals can easily be tuned to the required pump wavelength and provide very high pump brightness due to their good beam quality and high output power of typically several watts. Femtosecond lasers are used for precision cutting and machining of materials ranging from steel to tooth enamel to delicate heart tissue and high explosives. These ultra-short pulses are too brief tomore » transfer heat or shock to the material being cut, which means that cutting, drilling, and machining occur with virtually no damage to surrounding material. Furthermore, these lasers can cut with high precision, making hairline cuts of less than 100 microns in thick materials along a computer-generated path. Extension of laser output to higher energies is limited by the size of the amplification medium. Yields of high quality large diameter crystals have been constrained by lattice distortions that may appear in the boule limiting the usable area from which high quality optics can be harvested. Lattice distortions affect the transmitted wavefront of these optics which ultimately limits the high-end power output and efficiency of the laser system, particularly when operated in multi-pass mode. To make matters even more complicated, Ti:sapphire is extremely hard (Mohs hardness of 9 with diamond being 10) which makes it extremely difficult to accurately polish using conventional methods without subsurface damage or significant wavefront error. In this presentation, we demonstrate for the first time that Magnetorheological finishing (MRF) can be used to compensate for the lattice distortions in Ti:sapphire by perturbing the transmitted wavefront. The advanced MRF techniques developed allow for precise polishing of the optical inverse of lattice

  2. MPS Li-Ion Batteries Qualified to Fly on Canadian Sapphire Spacecraft

    NASA Astrophysics Data System (ADS)

    Remy, S.; Carre, A.; Kimber, R.; Alcindor, P.; Krabel, E.

    2014-08-01

    Saft Li-ion 8S3P MPS (Medium Prismatic cell for Space Battery) autonomous battery has been designed and qualified primarily to meet LEO power requirements. It has been available for more than 8 years, the original battery concept qualification program being successfully carried-out with CNES support in year 2005. This module has been selected for the first time by the UK satellite manufacturer SSTL for the Sapphire spacecraft platform, on behalf of the spacecraft prime MDA Systems Ltd (MDA) and customer the Canadian DND. Due to the high mechanical load demand in the specifications, a delta qualification campaign was launched to make sure that the MPS battery was able to cope with this requirement. A partner approach between Saft and SSTL led Saft to build some dedicated representative 5S packs, which have been step by step tested by SSTL shaker. Based on the results, the battery was made and finally installed inside the Sapphire spacecraft which was successfully launched on February 25th 2013 after battery storage of about 3.5 years.

  3. A Completely Solid-State Tunable Ti:Sapphire Laser System

    NASA Technical Reports Server (NTRS)

    Guerra, David V.; Coyle, D. Barry; Krebs, Danny J.

    1994-01-01

    Compact, completely solid-state tunable pulsed laser system passively cooled developed for potential employment in aircraft and sounding-rocket lidar experiments. Ti:sapphire based laser system pumped with frequency-doubled diode-pumped Nd:YAG. Rugged, self-contained system extremely flexible and provides pulsed output at specific frequencies with low input-power requirements. In-situ measurements enables scientists to study upper-atmosphere dynamics. Tuning range easily extended to bands between 650-950 nm in order to study other atmospheric constituents.

  4. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  5. Nanopatterning as a Probe of Unstable Growth on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Cosert, Krista; Lin, Chuan-Fu; Hammouda, Ajmi; Kan, Hung-Chih; Subrumaniam, Kanakaraju; Richardson, Chris; Phaneuf, Ray

    2009-03-01

    We report on observations of unstable growth on nanopatterned GaAs(001) surfaces. For growth at 500^oC, 1 ML/sec and an As2/Ga beam equivalent pressure ratio of 10:1, we find that grooves oriented at right angles to [110] produce a build up of ridges of GaAs at the upper edges, while for grooves oriented at right angles to [110] no ridges form; instead cusps evolve at the bottoms of such grooves [1]. The cusp-forming grooves show a pronounced initial amplification of depth during growth which changes with length/width ratio, and become more narrow. The ridge-forming grooves instead broaden during growth. We compare these experimental observations with kinetic Monte Carlo simulations in which a small anisotropic Ehrlich-Schwoebel barrier is included. [1] T. Tadayyon-Eslami, H.-C. Kan, L. C. Calhoun and R. J. Phaneuf, Phys. Rev. Lett. 97, 126101 (2006)

  6. Thiolated polyethylene oxide as a non-fouling element for nano-patterned bio-devices

    NASA Astrophysics Data System (ADS)

    Lisboa, Patrícia; Valsesia, Andrea; Colpo, Pascal; Gilliland, Douglas; Ceccone, Giacomo; Papadopoulou-Bouraoui, Andri; Rauscher, Hubert; Reniero, Fabiano; Guillou, Claude; Rossi, François

    2007-03-01

    This work describes the synthesis of a thiolated polyethylene oxide that self-assembles on gold to create a non-fouling surface. Thiolated polyethylene oxide was synthesised by reacting 16-mercaptohexadecanoic acid with polyethylene glycol mono methyl ether. The coverage of the thiolated polyethylene oxide on gold was studied by cyclic voltammetry, and the modified surfaces were characterised by X-ray photoelectron spectroscopy and ellipsometry. Protein resistance was assessed using quartz crystal microbalance. Results showed a non-fouling character produced by the thiolated polyethylene oxide. The synthesised product was used as the passivation layer on nano-patterned surfaces consisting of arrayed nano-spots, fabricated by plasma based colloidal lithography. The specific adsorption of anti-bovine serum albumin in the mercaptohexadecanoic acid spots was verified by atomic force microscopy.

  7. Sequential and selective localized optical heating in water via on-chip dielectric nanopatterning.

    PubMed

    Morsy, Ahmed M; Biswas, Roshni; Povinelli, Michelle L

    2017-07-24

    We study the use of nanopatterned silicon membranes to obtain optically-induced heating in water. We show that by varying the detuning between an absorptive optical resonance of the patterned membrane and an illumination laser, both the magnitude and response time of the temperature rise can be controlled. This allows for either sequential or selective heating of different patterned areas on chip. We obtain a steady-state temperature of approximately 100 °C for a 805.5nm CW laser power density of 66 µW/μm 2 and observe microbubble formation. The ability to spatially and temporally control temperature on the microscale should enable the study of heat-induced effects in a variety of chemical and biological lab-on-chip applications.

  8. Numerical investigation of thermal and residual stress of sapphire during c-axis vertical Bridgman growth process considering the solidification history effect

    NASA Astrophysics Data System (ADS)

    Hwang, Ji Hoon; Lee, Young Cheol; Lee, Wook Jin

    2018-01-01

    Sapphire single crystals have been highlighted for epitaxial of gallium nitride films in high-power laser and light emitting diode industries. In this study, the evolution of thermally induced stress in sapphire during the vertical Bridgman crystal growth process was investigated using a finite element model that simplified the real Bridgman process. A vertical Bridgman process of cylindrical sapphire crystal with a diameter of 50 mm was considered for the model. The solidification history effect during the growth was modeled by the quite element technique. The effects of temperature gradient, seeding interface shape and seeding position on the thermal stress during the process were discussed based on the finite element analysis results.

  9. Kilohertz Pulse Repetition Frequency Slab Ti:sapphire Lasers with High Average Power (10 W)

    NASA Astrophysics Data System (ADS)

    Wadsworth, William J.; Coutts, David W.; Webb, Colin E.

    1999-11-01

    High-average-power broadband 780-nm slab Ti:sapphire lasers, pumped by a kilohertz pulse repetition frequency copper vapor laser (CVL), were demonstrated. These lasers are designed for damage-free power scaling when pumped by CVL s configured for maximum output power (of order 100 W) but with poor beam quality ( M 2 300 ). A simple Brewster-angled slab laser side pumped by a CVL produced 10-W average power (1.25-mJ pulses at 8 kHz) with 4.2-ns FWHM pulse duration at an absolute efficiency of 15% (68-W pump power). Thermal lensing in the Brewster slab laser resulted in multitransverse mode output, and pump absorption was limited to 72% by the maximum doping level for commercially available Ti:sapphire (0.25%). A slab laser with a multiply folded zigzag path was therefore designed and implemented that produced high-beam-quality (TEM 00 -mode) output when operated with cryogenic cooling and provided a longer absorption path for the pump. Excessive scattering of the Ti:sapphire beam at the crystal surfaces limited the efficiency of operation for the zigzag laser, but fluorescence diagnostic techniques, gain measurement, and modeling suggest that efficient power extraction ( 15 W TEM 00 , 23% efficiency) from this laser would be possible for crystals with an optical quality surface polish.

  10. High-temperature sapphire optical sensor fiber coatings

    NASA Astrophysics Data System (ADS)

    Desu, Seshu B.; Claus, Richard O.; Raheem, Ruby; Murphy, Kent A.

    1990-10-01

    the filter. These modes may be attributed to a number of material degradation mechanisms, such as thermal shock, oxidation corrosion of the material, mechanical loads, or phase changes in the filter material. Development of high temperature optical fiber (sapphire) sensors embedded in the CXF filters would be very valuable for both monitoring the integrity of the filter during its use and understanding the mechanisms of degradation such that durable filter development will be facilitated. Since the filter operating environment is very harsh, the high temperature sapphire optical fibers need to be protected and for some sensing techniques the fiber must also be coated with low refractive index film (cladding). The objective of the present study is to identify materials and develop process technologies for the application of claddings and protective coatings that are stable and compatible with sapphire fibers at both high temperatures and pressures.

  11. Development of High Temperature Superconducting Josephson Junction Device Technology

    DTIC Science & Technology

    1998-07-09

    neodymium gallate , cerium oxide-buffered sapphire, and lanthanum aluminate, are not ideal for an in situ thallium cuprate junction technology. Moreover...determined that the standard HTS substrates, neodymium gallate , cerium oxide-buffered sapphire, and lanthanum aluminate, are not ideal for an in situ...2.2.1. Deposition Uniformity 10 2.2.2. Radiative Element 12 2.3. SUBSTRATES 13 2.3.1. Neodymium gallate 14 2.3.2. Cerium Oxide-Buffered Sapphire 16

  12. Numerical Study of Damage Propagation and Dynamic Fracture in Sapphire

    DTIC Science & Technology

    2016-08-30

    NOTICES Disclaimers The findings in this report are not to be construed as an official Department of the Army position unless so...package by using three- dimensional, 180 degrees (reflective) modeling and simulation. This study reports on the ability and the modification of...existing strength and failure material models of Al2O3 to be used as sapphire models for duplication of the experimental fracture and wave propagation in

  13. Microsecond MD Simulations of Nano-patterned Polymer Brushes on Self-Assembled Monolayers

    NASA Astrophysics Data System (ADS)

    Buie, Creighton; Qiu, Liming; Cheng, Kwan; Park, Soyeun

    2010-03-01

    Nano-patterned polymer brushes end-grafted onto self-assembled monolayers have gained increasing research interests due to their unique thermodynamic properties and their chemical and biomedical applications in colloids, biosensing and tissue engineering. So far, the interactions between the polymer brushes with the surrounding environments such as the floor and solvent at the nanometer length scale and microsecond time scale are still difficult to obtained experimentally and computationally. Using a Coarse-Grained MD approach, polymer brushes of different monomeric lengths, grafting density and hydrophobicity of the monomers grafted on self-assembled monolayers and in explicit solvent were studied. Molecular level information, such as lateral diffusion, transverse height and volume contour of the brushes, were calculated from our microsecond-MD simulations. Our results demonstrated the significance of the hydration of the polymer in controlling the conformational arrangement of the polymer brushes.

  14. Atomistic minimal model for estimating profile of electrodeposited nanopatterns

    NASA Astrophysics Data System (ADS)

    Asgharpour Hassankiadeh, Somayeh; Sadeghi, Ali

    2018-06-01

    We develop a computationally efficient and methodologically simple approach to realize molecular dynamics simulations of electrodeposition. Our minimal model takes into account the nontrivial electric field due a sharp electrode tip to perform simulations of the controllable coating of a thin layer on a surface with an atomic precision. On the atomic scale a highly site-selective electrodeposition of ions and charged particles by means of the sharp tip of a scanning probe microscope is possible. A better understanding of the microscopic process, obtained mainly from atomistic simulations, helps us to enhance the quality of this nanopatterning technique and to make it applicable in fabrication of nanowires and nanocontacts. In the limit of screened inter-particle interactions, it is feasible to run very fast simulations of the electrodeposition process within the framework of the proposed model and thus to investigate how the shape of the overlayer depends on the tip-sample geometry and dielectric properties, electrolyte viscosity, etc. Our calculation results reveal that the sharpness of the profile of a nano-scale deposited overlayer is dictated by the normal-to-sample surface component of the electric field underneath the tip.

  15. Design of Hybrid Nanostructural Arrays to Manipulate SERS-Active Substrates by Nanosphere Lithography.

    PubMed

    Zhao, Xiaoyu; Wen, Jiahong; Zhang, Mengning; Wang, Dunhui; Wang, Yaxin; Chen, Lei; Zhang, Yongjun; Yang, Jinghai; Du, Youwei

    2017-03-01

    An easy-handling and low-cost method is utilized to controllably fabricate nanopattern arrays as the surface-enhanced Raman scattering (SERS) active substrates with high density of SERS-active areas (hot spots). A hybrid silver array of nanocaps and nanotriangles are prepared by combining magnetron sputtering and plasma etching. By adjusting the etching time of polystyrene (PS) colloid spheres array in silver nanobowls, the morphology of the arrays can be easily manipulated to control the formation and distribution of hot spots. The experimental results show that the hybrid nanostructural arrays have large enhancement factor, which is estimated to be seven times larger than that in the array of nanocaps and three times larger than that in the array of nanorings and nanoparticles. According to the results of finite-difference time-domain simulation, the excellent SERS performance of this array is ascribed to the high density of hot spots and enhanced electromagnetic field.

  16. "You Hafta Push": Using Sapphire's Novel to Teach Introduction to American Government

    ERIC Educational Resources Information Center

    Pappas, Christine

    2007-01-01

    Using fiction in the classroom can dramatize public policy issues and political science concepts, therefore, making them more real and relevant to students. Sapphire's 1996 novel "Push" puts a face on welfare, rape, incest, child abuse, educational inequalities, homophobia, and AIDS. I also use this novel to discuss the public policy process,…

  17. Flexible Near-Field Nanopatterning with Ultrathin, Conformal Phase Masks on Nonplanar Substrates for Biomimetic Hierarchical Photonic Structures.

    PubMed

    Kwon, Young Woo; Park, Junyong; Kim, Taehoon; Kang, Seok Hee; Kim, Hyowook; Shin, Jonghwa; Jeon, Seokwoo; Hong, Suck Won

    2016-04-26

    Multilevel hierarchical platforms that combine nano- and microstructures have been intensively explored to mimic superior properties found in nature. However, unless directly replicated from biological samples, desirable multiscale structures have been challenging to efficiently produce to date. Departing from conventional wafer-based technology, new and efficient techniques suitable for fabricating bioinspired structures are highly desired to produce three-dimensional architectures even on nonplanar substrates. Here, we report a facile approach to realize functional nanostructures on uneven microstructured platforms via scalable optical fabrication techniques. The ultrathin form (∼3 μm) of a phase grating composed of poly(vinyl alcohol) makes the material physically flexible and enables full-conformal contact with rough surfaces. The near-field optical effect can be identically generated on highly curved surfaces as a result of superior conformality. Densely packed nanodots with submicron periodicity are uniformly formed on microlens arrays with a radius of curvature that is as low as ∼28 μm. Increasing the size of the gratings causes the production area to be successfully expanded by up to 16 in(2). The "nano-on-micro" structures mimicking real compound eyes are transferred to flexible and stretchable substrates by sequential imprinting, facilitating multifunctional optical films applicable to antireflective diffusers for large-area sheet-illumination displays.

  18. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  19. Ring resonator optical modes in InGaN/GaN structures grown on micro-cone-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kazanov, D. R.; Pozina, G.; Jmerik, V. N.; Shubina, T. V.

    2018-03-01

    Molecular beam epitaxy (MBE) of III-nitride compounds on specially prepared cone-shaped patterned substrates is being actively developed nowadays, especially for nanophotonic applications. This type of substrates enables the successful growth of hexagonal nanorods (NRs). The insertion of an active quantum-sized region of InGaN inside a GaN NR allows us to enhance the rate of optical transitions by coupling them with resonant optical modes in the NR. However, we have observed the enhancement of emission not only from the NR but also around the circumference region of the cone-shaped base. We have studied this specific feature and demonstrated its impact on the output signal.

  20. Green-diode-pumped femtosecond Ti:Sapphire laser with up to 450 mW average power.

    PubMed

    Gürel, K; Wittwer, V J; Hoffmann, M; Saraceno, C J; Hakobyan, S; Resan, B; Rohrbacher, A; Weingarten, K; Schilt, S; Südmeyer, T

    2015-11-16

    We investigate power-scaling of green-diode-pumped Ti:Sapphire lasers in continuous-wave (CW) and mode-locked operation. In a first configuration with a total pump power of up to 2 W incident onto the crystal, we achieved a CW power of up to 440 mW and self-starting mode-locking with up to 200 mW average power in 68-fs pulses using semiconductor saturable absorber mirror (SESAM) as saturable absorber. In a second configuration with up to 3 W of pump power incident onto the crystal, we achieved up to 650 mW in CW operation and up to 450 mW in 58-fs pulses using Kerr-lens mode-locking (KLM). The shortest pulse duration was 39 fs, which was achieved at 350 mW average power using KLM. The mode-locked laser generates a pulse train at repetition rates around 400 MHz. No complex cooling system is required: neither the SESAM nor the Ti:Sapphire crystal is actively cooled, only air cooling is applied to the pump diodes using a small fan. Because of mass production for laser displays, we expect that prices for green laser diodes will become very favorable in the near future, opening the door for low-cost Ti:Sapphire lasers. This will be highly attractive for potential mass applications such as biomedical imaging and sensing.