Sample records for nanosphere lithography nsl

  1. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  2. Recent developments in the fabrication of ordered nanostructure arrays based on nanosphere lithography.

    PubMed

    Wei, Xueyong

    2010-11-01

    Since it was invented two decades ago, Nanosphere Lithography (NSL) has been widely studied as a low cost and flexible technique to fabricate nanostructures. Based on the registered patents and some selected papers, this review will discuss recent developments of different NSL strategies for the fabrication of ordered nanostructure arrays. The mechanism of self-assembly process and the techniques for preparing the self-assembled nanosphere template are first briefly introduced. The nanosphere templates are used either as shadow masks or as moulds for pattern transfer. Much more work now combines NSL with other lithographic techniques and material growth methods to form novel nanostructures of complex shape or various materials. Hence, this review finally gives a discussion on some future directions in NSL study.

  3. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  4. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Nannan; Institute of Electronic Engineering, Chinese Academy of Engineering Physics, Mianyang, 621900; Pang, Shucai

    2015-04-15

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided amore » new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics.« less

  5. Effect of the Fabrication Parameters of the Nanosphere Lithography Method on the Properties of the Deposited Au-Ag Nanoparticle Arrays

    PubMed Central

    Liu, Jing; Chen, Chaoyang; Yang, Guangsong; Chen, Yushan; Yang, Cheng-Fu

    2017-01-01

    The nanosphere lithography (NSL) method can be developed to deposit the Au-Ag triangle hexagonal nanoparticle arrays for the generation of localized surface plasmon resonance. Previously, we have found that the parameters used to form the NSL masks and the physical methods required to deposit the Au-Ag thin films had large effects on the geometry properties of the nanoparticle arrays. Considering this, the different parameters used to grow the Au-Ag triangle hexagonal nanoparticle arrays were investigated. A single-layer NSL mask was formed by using self-assembly nano-scale polystyrene (PS) nanospheres with an average radius of 265 nm. At first, the concentration of the nano-scale PS nanospheres in the solution was set at 6 wt %. Two coating methods, drop-coating and spin-coating, were used to coat the nano-scale PS nanospheres as a single-layer NSL mask. From the observations of scanning electronic microscopy (SEM), we found that the matrixes of the PS nanosphere masks fabricated by using the drop-coating method were more uniform and exhibited a smaller gap than those fabricated by the spin-coating method. Next, the drop-coating method was used to form the single-layer NSL mask and the concentration of nano-scale PS nanospheres in a solution that was changed from 4 to 10 wt %, for further study. The SEM images showed that when the concentrations of PS nanospheres in the solution were 6 and 8 wt %, the matrixes of the PS nanosphere masks were more uniform than those of 4 and 10 wt %. The effects of the one-side lifting angle of substrates and the vaporization temperature for the solvent of one-layer self-assembly PS nanosphere thin films, were also investigated. Finally, the concentration of the nano-scale PS nanospheres in the solution was set at 8 wt % to form the PS nanosphere masks by the drop-coating method. Three different physical deposition methods, including thermal evaporation, radio-frequency magnetron sputtering, and e-gun deposition, were used to

  6. Effect of the Fabrication Parameters of the Nanosphere Lithography Method on the Properties of the Deposited Au-Ag Nanoparticle Arrays.

    PubMed

    Liu, Jing; Chen, Chaoyang; Yang, Guangsong; Chen, Yushan; Yang, Cheng-Fu

    2017-04-03

    The nanosphere lithography (NSL) method can be developed to deposit the Au-Ag triangle hexagonal nanoparticle arrays for the generation of localized surface plasmon resonance. Previously, we have found that the parameters used to form the NSL masks and the physical methods required to deposit the Au-Ag thin films had large effects on the geometry properties of the nanoparticle arrays. Considering this, the different parameters used to grow the Au-Ag triangle hexagonal nanoparticle arrays were investigated. A single-layer NSL mask was formed by using self-assembly nano-scale polystyrene (PS) nanospheres with an average radius of 265 nm. At first, the concentration of the nano-scale PS nanospheres in the solution was set at 6 wt %. Two coating methods, drop-coating and spin-coating, were used to coat the nano-scale PS nanospheres as a single-layer NSL mask. From the observations of scanning electronic microscopy (SEM), we found that the matrixes of the PS nanosphere masks fabricated by using the drop-coating method were more uniform and exhibited a smaller gap than those fabricated by the spin-coating method. Next, the drop-coating method was used to form the single-layer NSL mask and the concentration of nano-scale PS nanospheres in a solution that was changed from 4 to 10 wt %, for further study. The SEM images showed that when the concentrations of PS nanospheres in the solution were 6 and 8 wt %, the matrixes of the PS nanosphere masks were more uniform than those of 4 and 10 wt %. The effects of the one-side lifting angle of substrates and the vaporization temperature for the solvent of one-layer self-assembly PS nanosphere thin films, were also investigated. Finally, the concentration of the nano-scale PS nanospheres in the solution was set at 8 wt % to form the PS nanosphere masks by the drop-coating method. Three different physical deposition methods, including thermal evaporation, radio-frequency magnetron sputtering, and e-gun deposition, were used to

  7. Fabrication of highly ordered 2D metallic arrays with disc-in-hole binary nanostructures via a newly developed nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Yang, Xi; Guo, Wei; Wang, Xixi; Liao, Mingdun; Gao, Pingqi; Ye, Jichun

    2017-11-01

    2D metallic arrays with binary nanostructures derived from a nanosphere lithography (NSL) method have been rarely reported. Here, we demonstrate a novel NSL strategy to fabricate highly ordered 2D gold arrays with disc-in-hole binary (DIHB) nanostructures in large scale by employing a sacrificing layer combined with a three-step lift-off process. The structural parameters of the resultant DIHB arrays, such as periodicity, hole diameter, disc diameter and thicknesses can be facilely controlled by tuning the nanospheres size, etching condition, deposition angle and duration, respectively. Due to the intimate interactions between two subcomponents, the DIHB arrays exhibit both an extraordinary high surface-enhanced Raman scattering enhancement factor up to 5 × 108 and a low sheet resistance down to 1.7 Ω/sq. Moreover, the DIHB array can also be used as a metal catalyzed chemical etching catalytic pattern to create vertically-aligned Si nano-tube arrays for anti-reflectance application. This strategy provides a universal route for synthesizing other diverse binary nanostructures with controlled morphology, and thus expands the applications of the NSL to prepare ordered nanostructures with multi-function.

  8. Large-area Co-silicide nanodot arrays produced by colloidal nanosphere lithography and thermal annealing.

    PubMed

    Cheng, S L; Wong, S L; Lu, S W; Chen, H

    2008-09-01

    We report here the successful fabrication of large-area size-tunable periodic arrays of cobalt and Co-silicide nanodots on silicon substrates by employing the colloidal nanosphere lithography (NSL) technique and heat treatments. The growth of low-resistivity epitaxial CoSi(2) was found to be more favorable for the samples with smaller Co nanodot sizes. The sizes of the epitaxial CoSi(2) nanodots can be tuned from 50 to 100 nm by varying the diameter of the colloidal spheres and annealing temperatures. The epitaxial CoSi(2) nanodots were found to grow with an epitaxial orientation with respect to the (001)Si substrates: [001]CoSi(2)//[001]Si and (200)CoSi(2)//(400)Si. From the results of planview HRTEM, XTEM, and SAED analysis, the epitaxial CoSi(2) nanodots were identified to be inverse pyramids in shape, and the average sizes of the faceted silicide nanodots were measured to decrease with annealing temperature. The observed results present the exciting prospect that with appropriate controls, the colloidal NSL technique promises to facilitate the growth of a variety of well-ordered silicide nanodots with selected shape, size, and periodicity.

  9. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  10. Nanosphere lithography applied to magnetic thin films

    NASA Astrophysics Data System (ADS)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  11. Nanomesh of Cu fabricated by combining nanosphere lithography and high power pulsed magnetron sputtering and a preliminary study about its function

    NASA Astrophysics Data System (ADS)

    Xie, Wanchuan; Chen, Jiang; Jiang, Lang; Yang, Ping; Sun, Hong; Huang, Nan

    2013-10-01

    The Cu nanomesh was obtained by a combination of nanosphere lithography (NSL) and high power pulsed magnetron sputtering (HiPPMS). A deposition mask was formed on TiO2 substrates by the self-assembly of polystyrene latex spheres with a diameter of 1 μm, then Cu nanomesh structure was produced on the substrate using sputtering. The structures were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). The results show the increase of temperature of the polystyrene mask caused by the thermal radiation from the target and the bombardment of sputtering particles would affect the quality of the final nanopattern. The tests of photocatalytic degradation, platelet adhesion and human umbilical artery smooth muscle cells (HUASMCs) culture show Cu deposition could promote the photocatalytic efficiency of TiO2, affect platelet adhesion and inhibit smooth muscle cell adhesion and proliferation. It is highlighted that these findings may serve as a guide for the research of multifunctional surface structure.

  12. Advancing semiconductor–electrocatalyst systems: application of surface transformation films and nanosphere lithography

    DOE PAGES

    Brinkert, Katharina; Richter, Matthias H.; Akay, Ömer; ...

    2018-01-01

    We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties.

  13. Self-assembly and nanosphere lithography for large-area plasmonic patterns on graphene.

    PubMed

    Lotito, Valeria; Zambelli, Tomaso

    2015-06-01

    Plasmonic structures on graphene can tailor its optical properties, which is essential for sensing and optoelectronic applications, e.g. for the enhancement of photoresponsivity of graphene photodetectors. Control over their structural and, hence, spectral properties can be attained by using electron beam lithography, which is not a viable solution for the definition of patterns over large areas. For the fabrication of large-area plasmonic nanostructures, we propose to use self-assembled monolayers of nanospheres as a mask for metal evaporation and etching processes. An optimized approach based on self-assembly at air/water interface with a properly designed apparatus allows the attainment of monolayers of hexagonally closely packed patterns with high long-range order and large area coverage; special strategies are devised in order to protect graphene against damage resulting from surface treatment and further processing steps such as reactive ion etching, which could potentially impair graphene properties. Therefore we demonstrate that nanosphere lithography is a cost-effective solution to create plasmonic patterns on graphene. Copyright © 2014 Elsevier Inc. All rights reserved.

  14. Nanosphere Lithography on Fiber: Towards Engineered Lab-On-Fiber SERS Optrodes

    PubMed Central

    Quero, Giuseppe; Zito, Gianluigi; Cusano, Andrea

    2018-01-01

    In this paper we report on the engineering of repeatable surface enhanced Raman scattering (SERS) optical fiber sensor devices (optrodes), as realized through nanosphere lithography. The Lab-on-Fiber SERS optrode consists of polystyrene nanospheres in a close-packed arrays configuration covered by a thin film of gold on the optical fiber tip. The SERS surfaces were fabricated by using a nanosphere lithography approach that is already demonstrated as able to produce highly repeatable patterns on the fiber tip. In order to engineer and optimize the SERS probes, we first evaluated and compared the SERS performances in terms of Enhancement Factor (EF) pertaining to different patterns with different nanosphere diameters and gold thicknesses. To this aim, the EF of SERS surfaces with a pitch of 500, 750 and 1000 nm, and gold films of 20, 30 and 40 nm have been retrieved, adopting the SERS signal of a monolayer of biphenyl-4-thiol (BPT) as a reliable benchmark. The analysis allowed us to identify of the most promising SERS platform: for the samples with nanospheres diameter of 500 nm and gold thickness of 30 nm, we measured values of EF of 4 × 105, which is comparable with state-of-the-art SERS EF achievable with highly performing colloidal gold nanoparticles. The reproducibility of the SERS enhancement was thoroughly evaluated. In particular, the SERS intensity revealed intra-sample (i.e., between different spatial regions of a selected substrate) and inter-sample (i.e., between regions of different substrates) repeatability, with a relative standard deviation lower than 9 and 15%, respectively. Finally, in order to determine the most suitable optical fiber probe, in terms of excitation/collection efficiency and Raman background, we selected several commercially available optical fibers and tested them with a BPT solution used as benchmark. A fiber probe with a pure silica core of 200 µm diameter and high numerical aperture (i.e., 0.5) was found to be the most

  15. The NSL Complex Regulates Housekeeping Genes in Drosophila

    PubMed Central

    Raja, Sunil Jayaramaiah; Holz, Herbert; Luscombe, Nicholas M.; Manke, Thomas; Akhtar, Asifa

    2012-01-01

    MOF is the major histone H4 lysine 16-specific (H4K16) acetyltransferase in mammals and Drosophila. In flies, it is involved in the regulation of X-chromosomal and autosomal genes as part of the MSL and the NSL complexes, respectively. While the function of the MSL complex as a dosage compensation regulator is fairly well understood, the role of the NSL complex in gene regulation is still poorly characterized. Here we report a comprehensive ChIP–seq analysis of four NSL complex members (NSL1, NSL3, MBD-R2, and MCRS2) throughout the Drosophila melanogaster genome. Strikingly, the majority (85.5%) of NSL-bound genes are constitutively expressed across different cell types. We find that an increased abundance of the histone modifications H4K16ac, H3K4me2, H3K4me3, and H3K9ac in gene promoter regions is characteristic of NSL-targeted genes. Furthermore, we show that these genes have a well-defined nucleosome free region and broad transcription initiation patterns. Finally, by performing ChIP–seq analyses of RNA polymerase II (Pol II) in NSL1- and NSL3-depleted cells, we demonstrate that both NSL proteins are required for efficient recruitment of Pol II to NSL target gene promoters. The observed Pol II reduction coincides with compromised binding of TBP and TFIIB to target promoters, indicating that the NSL complex is required for optimal recruitment of the pre-initiation complex on target genes. Moreover, genes that undergo the most dramatic loss of Pol II upon NSL knockdowns tend to be enriched in DNA Replication–related Element (DRE). Taken together, our findings show that the MOF-containing NSL complex acts as a major regulator of housekeeping genes in flies by modulating initiation of Pol II transcription. PMID:22723752

  16. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  17. High-Throughput Nanofabrication of Infra-red and Chiral Metamaterials using Nanospherical-Lens Lithography

    PubMed Central

    Chang, Yun-Chorng; Lu, Sih-Chen; Chung, Hsin-Chan; Wang, Shih-Ming; Tsai, Tzung-Da; Guo, Tzung-Fang

    2013-01-01

    Various infra-red and planar chiral metamaterials were fabricated using the modified Nanospherical-Lens Lithography. By replacing the light source with a hand-held ultraviolet lamp, its asymmetric light emission pattern produces the elliptical-shaped photoresist holes after passing through the spheres. The long axis of the ellipse is parallel to the lamp direction. The fabricated ellipse arrays exhibit localized surface plasmon resonance in mid-infra-red and are ideal platforms for surface enhanced infra-red absorption (SEIRA). We also demonstrate a way to design and fabricate complicated patterns by tuning parameters in each exposure step. This method is both high-throughput and low-cost, which is a powerful tool for future infra-red metamaterials applications. PMID:24284941

  18. Nano-patterned SU-8 surface using nanosphere-lithography for enhanced neuronal cell growth

    NASA Astrophysics Data System (ADS)

    Kim, Eunhee; Yoo, Seung-Jun; Kim, Eunjung; Kwon, Tae-Hwan; Zhang, Li; Moon, Cheil; Choi, Hongsoo

    2016-04-01

    Mimicking the nanoscale surface texture of the extracellular matrix can affect the regulation of cellular behavior, including adhesion, differentiation, and neurite outgrowth. In this study, SU-8-based polymer surfaces with well-ordered nanowell arrays were fabricated using nanosphere lithography with polystyrene nanoparticles. We show that the SU-8 surface with nanowells resulted in similar neuronal development of rat pheochromocytoma (PC12) cells compared with an unpatterned poly-L-lysine (PLL)-coated SU-8 surface. Additionally, even after soaking the substrate in cell culture medium for two weeks, cells on the nanowell SU-8 surface showed long-term neurite outgrowth compared to cells on the PLL-coated SU-8 surface. The topographical surface modification of the nanowell array demonstrates potential as a replacement for cell adhesive material coatings such as PLL, for applications requiring long-term use of polymer-based implantable devices.

  19. Wavelength tunable InGaN/GaN nano-ring LEDs via nano-sphere lithography

    PubMed Central

    Wang, Sheng-Wen; Hong, Kuo-Bin; Tsai, Yu-Lin; Teng, Chu-Hsiang; Tzou, An-Jye; Chu, You-Chen; Lee, Po-Tsung; Ku, Pei-Cheng; Lin, Chien-Chung; Kuo, Hao-Chung

    2017-01-01

    In this research, nano-ring light-emitting diodes (NRLEDs) with different wall width (120 nm, 80 nm and 40 nm) were fabricated by specialized nano-sphere lithography technology. Through the thinned wall, the effective bandgaps of nano-ring LEDs can be precisely tuned by reducing the strain inside the active region. Photoluminescence (PL) and time-resolved PL measurements indicated the lattice-mismatch induced strain inside the active region was relaxed when the wall width is reduced. Through the simulation, we can understand the strain distribution of active region inside NRLEDs. The simulation results not only revealed the exact distribution of strain but also predicted the trend of wavelength-shifted behavior of NRLEDs. Finally, the NRLEDs devices with four-color emission on the same wafer were demonstrated. PMID:28256529

  20. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  1. Visible near-infrared light scattering of single silver split-ring structure made by nanosphere lithography.

    PubMed

    Okamoto, Toshihiro; Fukuta, Tetsuya; Sato, Shuji; Haraguchi, Masanobu; Fukui, Masuo

    2011-04-11

    We succeeded in making a silver split-ring (SR) structure of approximately 130 nm in diameter on a glass substrate using a nanosphere lithography technique. The light scattering spectrum in visible near-infrared region of a single, isolated SR was measured using a microscope spectroscopy optical system. The electromagnetic field enhancement spectrum and distribution of the SR structure were simulated by the finite-difference time-domain method, and the excitation modes were clarified. The long wavelength peak in the light scattering spectra corresponded to a fundamental LC resonance mode excited by an incident electric field. It was shown that a single SR structure fabricated as abovementioned can operate as a resonator and generate a magnetic dipole. © 2011 Optical Society of America

  2. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    PubMed

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  3. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  4. Ag-Cu mixed phase plasmonic nanostructures fabricated by shadow nanosphere lithography and glancing angle co-deposition

    NASA Astrophysics Data System (ADS)

    Ingram, Whitney; Larson, Steven; Carlson, Daniel; Zhao, Yiping

    2017-01-01

    By combining shadow nanosphere lithography with a glancing angle co-deposition technique, mixed-phase Ag-Cu triangular nanopatterns and films were fabricated. They were prepared at different compositions with respect to Ag from 100% to 0% by changing the relative deposition ratio of each metal. Characterizations by ellipsometry, energy dispersive x-ray spectroscopy, and x-ray diffraction revealed that the thin films and nanopatterns were composed of small, well-mixed Ag and Cu nano-grains with a diameter less than 20 nm, and their optical properties could be described by an effective medium theory. All compositions of the nanopattern had the same shape, but showed tunable localized surface plasmon resonance (LSPR) properties. In general, the LSPR of the nanopatterns redshifted with decreasing composition. Such a relation could be fitted by an empirical model based on the bulk theory of alloy plasmonics. By changing the colloidal template and the material deposited, this fabrication technique can be used to produce other alloy plasmonic nanostructures with predicted LSPR wavelengths.

  5. Ag-Cu mixed phase plasmonic nanostructures fabricated by shadow nanosphere lithography and glancing angle co-deposition.

    PubMed

    Ingram, Whitney; Larson, Steven; Carlson, Daniel; Zhao, Yiping

    2017-01-06

    By combining shadow nanosphere lithography with a glancing angle co-deposition technique, mixed-phase Ag-Cu triangular nanopatterns and films were fabricated. They were prepared at different compositions with respect to Ag from 100% to 0% by changing the relative deposition ratio of each metal. Characterizations by ellipsometry, energy dispersive x-ray spectroscopy, and x-ray diffraction revealed that the thin films and nanopatterns were composed of small, well-mixed Ag and Cu nano-grains with a diameter less than 20 nm, and their optical properties could be described by an effective medium theory. All compositions of the nanopattern had the same shape, but showed tunable localized surface plasmon resonance (LSPR) properties. In general, the LSPR of the nanopatterns redshifted with decreasing composition. Such a relation could be fitted by an empirical model based on the bulk theory of alloy plasmonics. By changing the colloidal template and the material deposited, this fabrication technique can be used to produce other alloy plasmonic nanostructures with predicted LSPR wavelengths.

  6. Close-packed monolayer self-assembly of silica nanospheres assisted by infrared irradiation

    NASA Astrophysics Data System (ADS)

    Minh, Nguyen Van; Hue, Nguyen Thi; Lien, Nghiem Thi Ha; Hoang, Chu Manh

    2018-01-01

    In this paper, we report on a fast and cost-effective drop coating technique for the self-assembly of silica nano-spheres from a mono-dispersed colloidal suspension into close-packed monolayer (CMP) on hydrophilic single-crystal silicon substrate. The technique includes the self-assembly of silica nano-spheres on slanted silicon substrate and infrared irradiation during evaporation process of the coated droplet. The influence of the substrate slant angle and infrared irradiation on the formation of silica nano-sphere monolayer is investigated. This achievement is promising for various applications, such as a mask layer for nano-sphere lithography that is employed for producing fundamental elements in photonics, plasmonics, and solar cell. [Figure not available: see fulltext.

  7. The in vitro and in vivo pharmacological profiles of a platelet glycoprotein IIb/IIIa antagonist, NSL-9403.

    PubMed

    Katada, J; Takiguchi, Y; Muramatsu, M; Fujiyoshi, T; Uno, I

    1997-10-01

    The in vitro and in vivo pharmacological profiles of NSL-9403 [orotyl-serylarginyl-glycyl-asparatyl-tryptophane], a platelet glycoprotein IIb/IIIa (GpIIb/IIIa) antagonist, has been studied. NSL-9403 inhibited platelet aggregation of human platelet-rich plasma (PRP) with IC50 values of 4.3 +/- 0.4 microM (collagen) and 1.8 +/- 0.3 microM (ADP), which was about 100 times more potent than RGDS. It also inhibited the binding of fibrinogen to activated platelets. Ex vivo collagen and ADP-induced platelet aggregation in a guinea pig was inhibited after a bolus intravenous administration of NSL-9403 at 1.25 mg/kg and above. NSL-9403 had an anti-thrombotic effect in in vivo thrombosis models. In a platelet agonist-induced pulmonary embolic sudden death model, where a bolus injection of collagen and epinephrine induced sudden death in mice, intravenous administration of NSL-9403 before an injection of collagen and epinephrine inhibited this platelet-agonist induced death in a dose dependent manner. In an arterio-venous shunt, infusion of NSL-9403 at 3 mg/kg/hour prevented an increase in circulation pressure due to thrombus formation in the shunt circuit and platelet loss. Infusion of NSL-9403 at 1 to 10 mg/kg/hour produced a complete inhibition of platelet-dependent arterial thrombosis in a dog femoral arterial thrombosis model. Thus NSL-9403 is a potent inhibitor or platelet aggregation in vitro and a potent anti-thrombotic agent in vivo with a relatively short duration of action.

  8. Full-wafer fabrication by nanostencil lithography of micro/nanomechanical mass sensors monolithically integrated with CMOS.

    PubMed

    Arcamone, J; van den Boogaart, M A F; Serra-Graells, F; Fraxedas, J; Brugger, J; Pérez-Murano, F

    2008-07-30

    Wafer-scale nanostencil lithography (nSL) is used to define several types of silicon mechanical resonators, whose dimensions range from 20 µm down to 200 nm, monolithically integrated with CMOS circuits. We demonstrate the simultaneous patterning by nSL of ∼2000 nanodevices per wafer by post-processing standard CMOS substrates using one single metal evaporation, pattern transfer to silicon and subsequent etch of the sacrificial layer. Resonance frequencies in the MHz range were measured in air and vacuum. As proof-of-concept towards an application as high performance sensors, CMOS integrated nano/micromechanical resonators are successfully implemented as ultra-sensitive areal mass sensors. These devices demonstrate the ability to monitor the deposition of gold layers whose average thickness is smaller than a monolayer. Their areal mass sensitivity is in the range of 10(-11) g cm(-2) Hz(-1), and their thickness resolution corresponds to approximately a thousandth of a monolayer.

  9. Enhanced optical power of GaN-based light-emitting diode with compound photonic crystals by multiple-exposure nanosphere-lens lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Xiong, Zhuo

    2014-07-07

    The light-emitting diodes (LEDs) with single, twin, triple, and quadruple photonic crystals (PCs) on p-GaN are fabricated by multiple-exposure nanosphere-lens lithography (MENLL) process utilizing the focusing behavior of polystyrene spheres. Such a technique is easy and economical for use in fabricating compound nano-patterns. The optimized tilted angle is decided to be 26.6° through mathematic calculation to try to avoid the overlay of patterns. The results of scanning electron microscopy and simulations reveal that the pattern produced by MENLL is a combination of multiple ovals. Compared to planar-LED, the light output power of LEDs with single, twin, triple, and quadruple PCsmore » is increased by 14.78%, 36.03%, 53.68%, and 44.85% under a drive current 350 mA, respectively. Furthermore, all PC-structures result in no degradation of the electrical properties. The stimulated results indicate that the highest light extraction efficiency of LED with the clover-shape triple PC is due to the largest scattering effect on propagation of light from GaN into air.« less

  10. Reusable three-dimensional nanostructured substrates for surface-enhanced Raman scattering.

    PubMed

    Zhu, Zhendong; Li, Qunqing; Bai, Benfeng; Fan, Shoushan

    2014-01-13

    To date, fabricating three-dimensional (3D) nanostructured substrate with small nanogap was a laborious challenge by conventional fabrication techniques. In this article, we address a simple, low-cost, large-area, and spatially controllable method to fabricate 3D nanostructures, involving hemisphere, hemiellipsoid, and pyramidal pits based on nanosphere lithography (NSL). These 3D nanostructures were used as surface-enhanced Raman scattering (SERS) substrates of single Rhodamine 6G (R6G) molecule. The average SERS enhancement factor achieved up to 1011. The inevitably negative influence of the adhesion-promoting intermediate layer of Cr or Ti was resolved by using such kind of 3D nanostructures. The nanostructured quartz substrate is a free platform as a SERS substrate and is nondestructive when altering with different metal films and is recyclable, which avoids the laborious and complicated fabricating procedures.

  11. Reusable three-dimensional nanostructured substrates for surface-enhanced Raman scattering

    PubMed Central

    2014-01-01

    To date, fabricating three-dimensional (3D) nanostructured substrate with small nanogap was a laborious challenge by conventional fabrication techniques. In this article, we address a simple, low-cost, large-area, and spatially controllable method to fabricate 3D nanostructures, involving hemisphere, hemiellipsoid, and pyramidal pits based on nanosphere lithography (NSL). These 3D nanostructures were used as surface-enhanced Raman scattering (SERS) substrates of single Rhodamine 6G (R6G) molecule. The average SERS enhancement factor achieved up to 1011. The inevitably negative influence of the adhesion-promoting intermediate layer of Cr or Ti was resolved by using such kind of 3D nanostructures. The nanostructured quartz substrate is a free platform as a SERS substrate and is nondestructive when altering with different metal films and is recyclable, which avoids the laborious and complicated fabricating procedures. PMID:24417892

  12. Microfluidic preparation of polymer nanospheres

    NASA Astrophysics Data System (ADS)

    Kucuk, Israfil; Edirisinghe, Mohan

    2014-12-01

    In this work, solid polymer nanospheres with their surface tailored for drug adhesion were prepared using a V-shaped microfluidic junction. The biocompatible polymer solutions were infused using two channels of the microfluidic junction which was also simultaneously fed with a volatile liquid, perfluorohexane using the other channel. The mechanism by which the nanospheres are generated is explained using high speed camera imaging. The polymer concentration (5-50 wt%) and flow rates of the feeds (50-300 µl min-1) were important parameters in controlling the nanosphere diameter. The diameter of the polymer nanospheres was found to be in the range of 80-920 nm with a polydispersity index of 11-19 %. The interior structure and surfaces of the nanospheres prepared were studied using advanced microscopy and showed the presence of fine pores and cracks on surface which can be used as drug entrapment locations.

  13. Controlled evaluation of silver nanoparticle dissolution using atomic force microscopy.

    PubMed

    Kent, Ronald D; Vikesland, Peter J

    2012-07-03

    Incorporation of silver nanoparticles (AgNPs) into an increasing number of consumer products has led to concern over the potential ecological impacts of their unintended release to the environment. Dissolution is an important environmental transformation that affects the form and concentration of AgNPs in natural waters; however, studies on AgNP dissolution kinetics are complicated by nanoparticle aggregation. Herein, nanosphere lithography (NSL) was used to fabricate uniform arrays of AgNPs immobilized on glass substrates. Nanoparticle immobilization enabled controlled evaluation of AgNP dissolution in an air-saturated phosphate buffer (pH 7.0, 25 °C) under variable NaCl concentrations in the absence of aggregation. Atomic force microscopy (AFM) was used to monitor changes in particle morphology and dissolution. Over the first day of exposure to ≥10 mM NaCl, the in-plane AgNP shape changed from triangular to circular, the sidewalls steepened, the in-plane radius decreased by 5-11 nm, and the height increased by 6-12 nm. Subsequently, particle height and in-plane radius decreased at a constant rate over a 2-week period. Dissolution rates varied linearly from 0.4 to 2.2 nm/d over the 10-550 mM NaCl concentration range tested. NaCl-catalyzed dissolution of AgNPs may play an important role in AgNP fate in saline waters and biological media. This study demonstrates the utility of NSL and AFM for the direct investigation of unaggregated AgNP dissolution.

  14. Porous and non-porous water soluble polymer nanospheres

    NASA Astrophysics Data System (ADS)

    Henselwood, Fred William

    Water soluble polymer nanospheres have been prepared from the photo-cross-linking of diblock copolymer micelles formed either in water or in N,N-dimethylformamide/water mixtures. The diblock copolymers utilized in this study were poly(2-cinnamoyl-ethyl methacrylate)-block-poly(acrylic acid), poly ((2-cinnamoylethyl methacrylate)-random-(2-octanoylethyl methacrylate)) -block-poly(acrylic acid), and poly ((2-cinnamoyl-ethyl methacrylate)-random-(2-oleoylethyl methacrylate)) -block-poly(acrylic acid). These polymers were synthesized by the functionalization of diblock copolymers prepared by anionic polymerization. The photo-cross-linking was achieved through the dimerization of cinnamoyl groups by ultraviolet irradiation. Transmission electron microscopy confirmed that the polymer nanospheres had an inner core region formed by the cinnamoyl containing polymer blocks, and an outer shell layer formed by the acrylic acid polymer blocks. The hydrodynamic radius of the polymer nanospheres in water was approximately 50 to 75 nm as determined by dynamic light scattering. It has been found that the polymer nanospheres, when in water, could be readily impregnated with organic molecules. Fluorescence measurements showed that the polymer nanospheres could uptake polyaromatic hydrocarbons by the direct mixing of polyaromatic hydrocarbons with the polymer nanospheres in water. Perylene was found to be between 2.0 × 10sp5 and 4.0 × 10sp5 times more soluble in the core region of the polymer nanospheres than in water. The addition of divalent cations was shown to induce aggregation of the polymer nanospheres and resulted in the precipitation of the polymer nanospheres along with any captured perylene. This suggests that the polymer nanospheres may be useful in water remediation. Porous polymer nanospheres were prepared by the incorporation of low molecular weight polymeric porogens within the core region of the polymer nanospheres. Following photo-cross-linking the polymeric

  15. Localized surface plasmon resonance nanosensor: a high-resolution distance-dependence study using atomic layer deposition.

    PubMed

    Whitney, Alyson V; Elam, Jeffrey W; Zou, Shengli; Zinovev, Alex V; Stair, Peter C; Schatz, George C; Van Duyne, Richard P

    2005-11-03

    Atomic layer deposition (ALD) is used to deposit 1-600 monolayers of Al(2)O(3) on Ag nanotriangles fabricated by nanosphere lithography (NSL). Each monolayer of Al(2)O(3) has a thickness of 1.1 A. It is demonstrated that the localized surface plasmon resonance (LSPR) nanosensor can detect Al(2)O(3) film growth with atomic spatial resolution normal to the nanoparticle surface. This is approximately 10 times greater spatial resolution than that in our previous long-range distance-dependence study using multilayer self-assembled monolayer shells. The use of ALD enables the study of both the long- and short-range distance dependence of the LSPR nanosensor in a single unified experiment. Ag nanoparticles with fixed in-plane widths and decreasing heights yield larger sensing distances. X-ray photoelectron spectroscopy, variable angle spectroscopic ellipsometry, and quartz crystal microbalance measurements are used to study the growth mechanism. It is proposed that the growth of Al(2)O(3) is initiated by the decomposition of trimethylaluminum on Ag. Semiquantitative theoretical calculations were compared with the experimental results and yield excellent agreement.

  16. Broadband electromagnetic dipole scattering by coupled multiple nanospheres

    NASA Astrophysics Data System (ADS)

    Jing, Xufeng; Ye, Qiufeng; Hong, Zhi; Zhu, Dongshuo; Shi, Guohua

    2017-11-01

    With the development of nanotechnology, the ability to manipulate light at the nanoscale is critical to future optical functional devices. The use of high refractive index dielectric single silicon nanoparticle can achieve electromagnetic dipole resonant properties. Compared with single nanosphere, the use of dimer and trimer introduces an additional dimension (gap size) for improving the performance of dielectric optical devices through the coupling between closely connected silicon nanospheres. When changing the gap size between the nanospheres, the interaction between the particles can be from weak to strong. Compared with single nanospheres, dimerized or trimeric nanospheres exhibit more pronounced broadband scattering properties. In addition, by introducing more complex interaction, the trimericed silicon nanospheres exhibit a more significant increase in bandwidth than expected. In addition, the presence of the substrate will also contribute to the increase in the bandwidth of the nanospheres. The broadband response in dielectric nanostructures can be effectively applied to broadband applications such as dielectric nanoantennas or solar cells.

  17. Mesoporous block-copolymer nanospheres prepared by selective swelling.

    PubMed

    Mei, Shilin; Jin, Zhaoxia

    2013-01-28

    Block-copolymer (BCP) nanospheres with hierarchical inner structure are of great interest and importance due to their possible applications in nanotechnology and biomedical engineering. Mesoporous BCP nanospheres with multilayered inner channels are considered as potential drug-delivery systems and templates for multifunctional nanomaterials. Selective swelling is a facile pore-making strategy for BCP materials. Herein, the selective swelling-induced reconstruction of BCP nanospheres is reported. Two poly(styrene-block-2-vinylpyridine) (PS-b-P2VP) samples with different compositions (PS(23600)-b-P2VP(10400) and PS(27700)-b-P2VP(4300)) are used as model systems. The swelling reconstruction of PS-b-P2VP in ethanol, 1-pyrenebutyric acid (PBA)/ethanol, or HCl/ethanol (pH = 2.61) is characterized by scanning electron microscopy and transmission electron microscopy. It is observed that the length of the swellable block in BCP is a critical factor in determining the behavior and nanostructures of mesoporous BCP nanospheres in selective swelling. Moreover, it is demonstrated that the addition of PBA modifies the swelling structure of PS(23600)-b-P2VP(10400) through the interaction between PBA and P2VP blocks, which results in BCP nanospheres with patterned pores of controllable size. The patterned pores can be reversibly closed by annealing the mesoporous BCP nanospheres in different selective solvents. The controllable and reversible open/closed reconstruction of BCP nanospheres can be used to enclose functional nanoparticles or drugs inside the nanospheres. These mesoporous BCP nanospheres are further decorated with gold nanoparticles by UV photoreduction. The enlarged decoration area in mesoporous BCP nanospheres will enhance their activity and sensitivity as a catalyst and electrochemical sensor. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Core-shell carbon nanosphere-TiO2 composite and hollow TiO2 nanospheres prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Bakos, L. P.; Justh, N.; Hernádi, K.; Kiss, G.; Réti, B.; Erdélyi, Z.; Parditka, B.; Szilágyi, I. M.

    2016-10-01

    Core-shell carbon-TiO2 composite and hollow TiO2 nanospheres were prepared using carbon nanospheres as hard-templates, coating them with TiO2 using atomic layer deposition, and subsequent burning out of the carbon cores. The bare carbon, the composite carbon-TiO2 and the hollow TiO2 nanospheres were characterized with TG/DTA-MS, FTIR, XRD and SEM-EDX.

  19. Increase in stability of cellulase immobilized on functionalized magnetic nanospheres

    NASA Astrophysics Data System (ADS)

    Zhang, Wenjuan; Qiu, Jianhui; Feng, Huixia; Zang, Limin; Sakai, Eiichi

    2015-02-01

    Functionalized magnetic nanospheres were prepared by co-condensation of tetraethylorthosilicate with three different amino-silanes: 3-(2-aminoethylamino propyl)-triethoxysilane (AEAPTES), 3-(2-aminoethylamino propyl)-trimethoxysilane (AEAPTMES) and 3-aminopropyltriethoxysilane (APTES). Then three functionalized magnetic nanospheres were used as supports for immobilization of cellulase. The three functionalized magnetic nanospheres with core-shell morphologies exhibited higher capacity for cellulase immobilization than unfunctionalized magnetic nanospheres. The increasing of surface charge of functionalized magnetic nanospheres leads to an enhancement of the capacity of cellulase immobilization. Particularly, AEAPTMES with methoxy groups was favored to be hydrolyzed and grafted on unfunctionalized magnetic nanospheres than the others. AEAPTMES functionalized magnetic nanospheres with the highest zeta potential (29 mV) exhibited 87% activity recovery and the maximum amount of immobilized cellulase was 112 mg/g support at concentration of initial cellulase of 8 mg/mL. Immobilized cellulase on AEAPTMES functionalized magnetic nanospheres had higher temperature stability and broader pH stability than other immobilized cellulases and free cellulase. In particular, it can be used in about 40 °C, demonstrating the potential of biofuel production using this immobilized cellulase.

  20. Hydrophilic/hydrophobic surface modification impact on colloid lithography: Schottky-like defects, dislocation, and ideal distribution

    NASA Astrophysics Data System (ADS)

    Burtsev, Vasilii; Marchuk, Valentina; Kugaevskiy, Artem; Guselnikova, Olga; Elashnikov, Roman; Miliutina, Elena; Postnikov, Pavel; Svorcik, Vaclav; Lyutakov, Oleksiy

    2018-03-01

    Nano-spheres lithography is actually considered as a powerful tool to manufacture various periodic structures with a wide potential in the field of nano- and micro-fabrication. However, during self-assembling of colloid microspheres, various defects and mismatches can appear. In this work the size and quality of single-domains of closed-packed polystyrene (PS), grown up on thin Au layers modified by hydrophilic or hydrophobic functional groups via diazonium chemistry was studied. The effects of the surface modification on the quality and single-domain size of polystyrene (PS) microspheres array were investigated and discussed. Modified surfaces were characterized using the AFM and wettability tests. PS colloidal suspension was deposited using the drop evaporation method. Resulted PS microspheres array was characterized using the SEM, AFM and confocal microscopy technique.

  1. Phenylalanine containing hydrophobic nanospheres for antibody purification.

    PubMed

    Türkmen, Deniz; Denizli, Adil; Oztürk, Nevra; Akgöl, Sinan; Elkak, Assem

    2008-01-01

    In this study, novel hydrophobic nanospheres with an average size of 158 nm utilizing N-methacryloyl-(L)-phenylalanine methyl ester (MAPA) as a hydrophobic monomer were produced by surfactant free emulsion polymerization of 2-hydroxyethyl methacrylate (HEMA) and MAPA conducted in an aqueous dispersion medium. MAPA was synthesized using methacryloyl chloride and L-phenylalanine methyl ester. Specific surface area of the nonporous nanospheres was found to be 1874 m2/g. Poly(HEMA-MAPA) nanospheres were characterized by Fourier transform infrared spectroscopy (FTIR) and scanning electron microscopy (SEM). Average particle size, size distribution, and surface charge measurements were also performed. Elemental analysis of MAPA for nitrogen was estimated as 0.42 mmol/g polymer. Then, poly(HEMA-MAPA) nanospheres were used in the adsorption of immunoglobulin G (IgG) in batch system. Higher adsorption values (780 mg/g) were obtained when the poly (HEMA-MAPA) nanospheres were used from both aqueous solutions and human plasma. The adsorption phenomena appeared to follow a typical Langmuir isotherm. It was observed that IgG could be repeatedly adsorbed and desorbed without significant loss in adsorption amount. These findings show considerable promise for this material as a hydrophobic support in industrial processes.

  2. Hydroxide ion-mediated synthesis of monodisperse dopamine-melanin nanospheres.

    PubMed

    Cho, Soojeong; Kim, Shin-Hyun

    2015-11-15

    Dopamine-melanin nanospheres are promising materials for photoprotection, structural coloration, and thermoregulation due to their unusual optical and chemical properties. Here, we report the experimental parameters which influence size of dopamine-melanin nanospheres and uniformity. Dopamine precursors are oxidatively polymerized in basic aqueous medium. Therefore, concentration of hydroxide ions significantly influences reaction rate and size of nanospheres. To investigate the effect of hydroxide ions, we adjust three different parameters which affect pH of medium: concentration of sodium hydroxide and dopamine hydrochloride, and reaction temperature. At constant temperature, concentration of hydroxide ions is linearly proportional to initial reaction rates which determine the number of nuclei for nanosphere growth. Temperature alters not only initial reaction rate but also diffusivity of molecules, leading to deviation from the relation between the reaction rate and the number of nuclei. The diameter of dopamine-melanin nanospheres can be readily controlled in a range of 80-490nm through adjusting concentration of dopamine precursor, while maintaining uniform-size distribution and dispersion stability. The synthesized nanospheres are analyzed to confirm the chemical structure, which is composed of approximately 6 indole units. Moreover, surface and chemical properties of the nanospheres are characterized to provide valuable information for surface modification and application. Copyright © 2015 Elsevier Inc. All rights reserved.

  3. Size Dependent Mechanical Properties of Monolayer Densely Arranged Polystyrene Nanospheres.

    PubMed

    Huang, Peng; Zhang, Lijing; Yan, Qingfeng; Guo, Dan; Xie, Guoxin

    2016-12-13

    In contrast to macroscopic materials, the mechanical properties of polymer nanospheres show fascinating scientific and application values. However, the experimental measurements of individual nanospheres and quantitative analysis of theoretical mechanisms remain less well performed and understood. We provide a highly efficient and accurate method with monolayer densely arranged honeycomb polystyrene (PS) nanospheres for the quantitatively mechanical characterization of individual nanospheres on the basis of atomic force microscopy (AFM) nanoindentation. The efficiency is improved by 1-2 orders, and the accuracy is also enhanced almost by half-order. The elastic modulus measured in the experiments increases with decreasing radius to the smallest nanospheres (25-35 nm in radius). A core-shell model is introduced to predict the size dependent elasticity of PS nanospheres, and the theoretical prediction agrees reasonably well with the experimental results and also shows a peak modulus value.

  4. A bacterial process for selenium nanosphere assembly

    PubMed Central

    Debieux, Charles M.; Dridge, Elizabeth J.; Mueller, Claudia M.; Splatt, Peter; Paszkiewicz, Konrad; Knight, Iona; Florance, Hannah; Love, John; Titball, Richard W.; Lewis, Richard J.; Richardson, David J.; Butler, Clive S.

    2011-01-01

    During selenate respiration by Thauera selenatis, the reduction of selenate results in the formation of intracellular selenium (Se) deposits that are ultimately secreted as Se nanospheres of approximately 150 nm in diameter. We report that the Se nanospheres are associated with a protein of approximately 95 kDa. Subsequent experiments to investigate the expression and secretion profile of this protein have demonstrated that it is up-regulated and secreted in response to increasing selenite concentrations. The protein was purified from Se nanospheres, and peptide fragments from a tryptic digest were used to identify the gene in the draft T. selenatis genome. A matched open reading frame was located, encoding a protein with a calculated mass of 94.5 kDa. N-terminal sequence analysis of the mature protein revealed no cleavable signal peptide, suggesting that the protein is exported directly from the cytoplasm. The protein has been called Se factor A (SefA), and homologues of known function have not been reported previously. The sefA gene was cloned and expressed in Escherichia coli, and the recombinant His-tagged SefA purified. In vivo experiments demonstrate that SefA forms larger (approximately 300 nm) Se nanospheres in E. coli when treated with selenite, and these are retained within the cell. In vitro assays demonstrate that the formation of Se nanospheres upon the reduction of selenite by glutathione are stabilized by the presence of SefA. The role of SefA in selenium nanosphere assembly has potential for exploitation in bionanomaterial fabrication. PMID:21808043

  5. Magnetic polymer nanospheres for anticancer drug targeting

    NASA Astrophysics Data System (ADS)

    Juríková, A.; Csach, K.; Koneracká, M.; Závišová, V.; Múčková, M.; Tomašovičová, N.; Lancz, G.; Kopčanský, P.; Timko, M.; Miškuf, J.

    2010-01-01

    Poly(D,L-lactide-co-glycolide) polymer (PLGA) nanospheres loaded with biocom-patible magnetic fluid as a magnetic carrier and anticancer drug Taxol were prepared by the modified nanoprecipitation method with size of 200-250 nm in diameter. The PLGA polymer was utilized as a capsulation material due to its biodegradability and biocompatibility. Taxol as an important anticancer drug was chosen for its significant role against a wide range of tumours. Thermal properties of the drug-polymer system were characterized using thermal analysis methods. It was determined the solubility of Taxol in PLGA nanospheres. Magnetic properties investigated using SQUID magnetometry showed superparamagnetism of the prepared magnetic polymer nanospheres.

  6. Biodegradable polycaprolactone (PCL) nanosphere encapsulating superoxide dismutase and catalase enzymes.

    PubMed

    Singh, Sushant; Singh, Abhay Narayan; Verma, Anil; Dubey, Vikash Kumar

    2013-12-01

    Biodegradable polycaprolactone (PCL) nanosphere encapsulating superoxide dismutase (SOD) and catalase (CAT) were successfully synthesized using double emulsion (w/o/w) solvent evaporation technique. Characterization of the nanosphere using dynamic light scattering, field emission scanning electron microscope, and Fourier transform infrared spectroscopy revealed a spherical-shaped nanosphere in a size range of 812 ± 64 nm with moderate protein encapsulation efficiency of 55.42 ± 3.7 % and high in vitro protein release. Human skin HaCat cells were used for analyzing antioxidative properties of SOD- and CAT-encapsulated PCL nanospheres. Oxidative stress condition in HaCat cells was optimized with exposure to hydrogen peroxide (H2O2; 1 mM) as external stress factor and verified through reactive oxygen species (ROS) analysis using H2DCFDA dye. PCL nanosphere encapsulating SOD and CAT together indicated better antioxidative defense against H2O2-induced oxidative stress in human skin HaCat cells in comparison to PCL encapsulating either SOD or CAT alone as well as against direct supplement of SOD and CAT protein solution. Increase in HaCat cells SOD and CAT activities after treatment hints toward uptake of PCL nanosphere into the human skin HaCat cells. The result signifies the role of PCL-encapsulating SOD and CAT nanosphere in alleviating oxidative stress.

  7. Calculation of noncontact forces between silica nanospheres.

    PubMed

    Sun, Weifu; Zeng, Qinghua; Yu, Aibing

    2013-02-19

    Quantification of the interactions between nanoparticles is important in understanding their dynamic behaviors and many related phenomena. In this study, molecular dynamics simulation is used to calculate the interaction potentials (i.e., van der Waals attraction, Born repulsion, and electrostatic interaction) between two silica nanospheres of equal radius in the range of 0.975 to 5.137 nm. The results are compared with those obtained from the conventional Hamaker approach, leading to the development of modified formulas to calculate the van der Waals attraction and Born repulsion between nanospheres, respectively. Moreover, Coulomb's law is found to be valid for calculating the electrostatic potential between nanospheres. The developed formulas should be useful in the study of the dynamic behaviors of nanoparticle systems under different conditions.

  8. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  9. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  10. Sustained Ocular Delivery of Ciprofloxacin Using Nanospheres and Conventional Contact Lens Materials

    PubMed Central

    Garhwal, Rahul; Shady, Sally F.; Ellis, Edward J.; Ellis, Jeanne Y.; Leahy, Charles D.; McCarthy, Stephen P.; Crawford, Kathryn S.

    2012-01-01

    Purpose. To formulate conventional contact lenses that incorporate nanosphere-encapsulated antibiotic and demonstrate that the lenses provide for sustained antibacterial activity. Methods. A copolymer composed of pullulan and polycaprolactone (PCL) was used to synthesize core-shell nanospheres that encapsulated ciprofloxacin. Bactericidal activity of the nanosphere-encapsulated ciprofloxacin (nanosphere/cipro) was tested by using liquid cultures of either Staphylococcus aureus or Pseudomonas aeruginosa. Nanosphere/cipro was then incorporated into HEMA-based contact lenses that were tested for growth inhibition of S. aureus or P. aeruginosa in liquid cultures inoculated daily with fresh bacteria. Lens designs included thin or thick lenses incorporating nanosphere/cipro and ciprofloxacin-HCl-soaked Acuvue lenses (Acuvue; Johnson & Johnson Vision Care, Inc., Jacksonville, FL). Results. Less than 2 μg/mL of nanosphere/cipro effectively inhibited the proliferation of cultures inoculated with 107 or 108 bacteria/mL of S. aureus and P. aeruginosa, respectively. HEMA-based contact lenses polymerized with nanosphere/cipro were transparent, effectively inhibited the proliferation of greater than 107/mL of bacteria added daily over 3 days of culture, and killed up to 5 × 109 total microbes in a single inoculation. A thicker lens design provided additional inhibition of bacterial growth for up to 96 hours. Conclusions. Core-shell nanospheres loaded with an antibiotic can be incorporated into a conventional, transparent contact lens and provide for sustained and effective bactericidal activity and thereby provide a new drug delivery platform for widespread use in treating ocular disorders. PMID:22266514

  11. Bi-Component Nanostructured Arrays of Co Dots Embedded in Ni80Fe20 Antidot Matrix: Synthesis by Self-Assembling of Polystyrene Nanospheres and Magnetic Properties.

    PubMed

    Coïsson, Marco; Celegato, Federica; Barrera, Gabriele; Conta, Gianluca; Magni, Alessandro; Tiberto, Paola

    2017-08-23

    A bi-component nanostructured system composed by a Co dot array embedded in a Ni 80 Fe 20 antidot matrix has been prepared by means of the self-assembling polystyrene nanospheres lithography technique. Reference samples constituted by the sole Co dots or Ni 80 Fe 20 antidots have also been prepared, in order to compare their properties with those of the bi-component material. The coupling between the two ferromagnetic elements has been studied by means of magnetic and magneto-transport measurements. The Ni 80 Fe 20 matrix turned out to affect the vortex nucleation field of the Co dots, which in turn modifies the magneto-resistance behaviour of the system and its spinwave properties.

  12. Highly Monodisperse Microporous Polymeric and Carbonaceous Nanospheres with Multifunctional Properties

    PubMed Central

    Ouyang, Yi; Shi, Huimin; Fu, Ruowen; Wu, Dingcai

    2013-01-01

    Fabrication of monodisperse porous polymeric nanospheres with diameters below 500 nm remains a great challenge, due to serious crosslinking between neighboring nanospheres during pore-making process. Here we show how a versatile hypercrosslinking strategy can be used to prepare monodisperse microporous polystyrene nanospheres (MMPNSs) with diameters as low as ca. 190 nm. In our approach, an unreactive crosslinked PS outer skin as protective layer can be in-situ formed at the very beginning of hypercrosslinking treatment to minimize the undesired inter-sphere crosslinking. The as-prepared MMPNSs with a well-developed microporous network demonstrate unusual multifunctional properties, including remarkable colloidal stability in aqueous solution, good adsorption-release property for drug, and large adsorption capacity toward organic vapors. Surprisingly, MMPNSs can be directly transformed into high-surface-area monodisperse carbon nanospheres with good colloidal stability via a facile hydrothermal-assisted carbonization procedure. These findings provide a new benchmark for fabricating well-defined porous nanospheres with great promise for various applications. PMID:23478487

  13. Ultra-small and anionic starch nanospheres: formation and vitro thrombolytic behavior study.

    PubMed

    Huang, Yinjuan; Ding, Shenglong; Liu, Mingzhu; Gao, Chunmei; Yang, Jinlong; Zhang, Xinjie; Ding, Bin

    2013-07-25

    This paper is considered as the first report on the investigation of nattokinase (NK) release from anionic starch nanospheres. The ultra-small and anionic starch nanospheres were prepared by the method of reverse micro-emulsion crosslinking in this work. Starch nanospheres were characterized through Fourier transform infrared (FTIR) spectroscopy, scanning electron microscopy (SEM), transmission electron microscopy (TEM) and dynamic light scattering (DLS). Effects of preparation conditions on particle size were studied. The cytotoxicity, biodegradable and vitro thrombolytic behaviors of nattokinase (NK) loaded anionic starch nanospheres were also studied. The results showed that the anionic starch nanospheres are non-toxic, biocompatible and biodegradable. Moreover, the anionic starch nanospheres can protect NK from fast biodegradation hence prolongs the circulation in vivo and can reduce the risk of acute hemorrhage complication by decreasing the thrombolysis rate. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. Characterization of polylactic co-glycolic acid nanospheres modified with PVA and DDAB

    NASA Astrophysics Data System (ADS)

    Mulia, Kamarza; Satyapertiwi, Dwiantari; Devina, Ranee; Krisanti, Elsa

    2017-02-01

    The common treatment for diabetic retinopathy is corticosteroids intravitreal injection that sometimes lead to complications. Dexamethasone-loaded polylactic co-glycolic acid (PLGA) nanospheres, modified with dioctadecyldimethylammonium bromide (DDAB) as the cationic surfactant, is expected to prolong drug retention time. Zeta potential of the PLGA nanospheres prepared using non-ionic surfactant PVA and DDAB confirmed the cationic surfactant increase the surface charge of the PLGA nanospheres. The optimal formulation based on the particle size and high positive surface charge was the PLGA-DDAB nanospheres. SEM analysis showed spherical morphology of the nanospheres having diameter 626.9 ± 98.01 nm positive zeta potential of +22.5 mV.

  15. Silica-Coated Core-Shell Structured Polystyrene Nanospheres and Their Size-Dependent Mechanical Properties.

    PubMed

    Cao, Xu; Pan, Guoshun; Huang, Peng; Guo, Dan; Xie, Guoxin

    2017-08-22

    The core-shell structured PS/SiO 2 composite nanospheres were synthesized on the basis of a modified Stöber method. The mechanical properties of monodisperse nanospheres were characterized with nanoindentation on the basis of the atomic force microscopy (AFM). The surface morphologies of PS/SiO 2 composite nanospheres was scanned with the tapping mode of AFM, and the force-distance curves were measured with the contact mode of AFM. Different contact models were compared for the analyses of experimental data. The elastic moduli of PS/SiO 2 composite nanosphere (4-40 GPa) and PS nanosphere (∼3.4 GPa) were obtained with the Hertz and Johnson-Kendall-Roberts (JKR) models, respectively, and the JKR model was proven to be more appropriate for calculating the elastic modulus of PS/SiO 2 nanospheres. The elastic modulus of SiO 2 shell gradually approached a constant value (∼46 GPa) with the increase of SiO 2 shell thickness. A core-shell model was proposed for describing the relationship between PS/SiO 2 composite nanosphere's elastic modulus and shell thickness. The mechanical properties of the composite nanospheres were reasonably explained on the basis of the growth mechanism of PS/SiO 2 composite nanospheres, in particular the SiO 2 shell's formation process. Available research data of PS/SiO 2 composite nanospheres in this work can provide valuable guidance for their effective application in surface engineering, micro/nanomanufacturing, lubrication, and so on.

  16. Functionalization of Recombinant Amelogenin Nanospheres Allows Their Binding to Cellulose Materials.

    PubMed

    Butler, Samuel J; Bülow, Leif; Bonde, Johan

    2016-10-01

    Protein engineering to functionalize the self-assembling enamel matrix protein amelogenin with a cellulose binding domain (CBD) is used. The purpose is to examine the binding of the engineered protein, rh174CBD, to cellulose materials, and the possibility to immobilize self-assembled amelogenin nanospheres on cellulose. rh174CBD assembled to nanospheres ≈35 nm in hydrodynamic diameter, very similar in size to wild type amelogenin (rh174). Uniform particles are formed at pH 10 for both rh174 and rh174CBD, but only rh174CBD nanospheres showes significant binding to cellulose (Avicel). Cellulose binding of rh174CBD is promoted when the protein is self-assembled to nanospheres, compared to being in a monomeric form, suggesting a synergistic effect of the multiple CBDs on the nanospheres. The amount of bound rh174CBD nanospheres reached ≈15 mg/g Avicel, which corresponds to 4.2 to 6.3 × 10 -7 mole/m 2 . By mixing rh174 and rh174CBD, and then inducing self-assembly, composite nanospheres with a high degree of cellulose binding can be formed, despite a lower proportion of rh174CBD. This demonstrates that amelogenin variants like rh174 can be incorporated into the nanospheres, and still retain most of the binding to cellulose. Engineered amelogenin nanoparticles can thus be utilized to construct a range of new cellulose based hybrid materials, e.g. for wound treatment. Copyright © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Hollow Nanospheres Array Fabrication via Nano-Conglutination Technology.

    PubMed

    Zhang, Man; Deng, Qiling; Xia, Liangping; Shi, Lifang; Cao, Axiu; Pang, Hui; Hu, Song

    2015-09-01

    Hollow nanospheres array is a special nanostructure with great applications in photonics, electronics and biochemistry. The nanofabrication technique with high resolution is crucial to nanosciences and nano-technology. This paper presents a novel nonconventional nano-conglutination technology combining polystyrenes spheres (PSs) self-assembly, conglutination and a lift-off process to fabricate the hollow nanospheres array with nanoholes. A self-assembly monolayer of PSs was stuck off from the quartz wafer by the thiol-ene adhesive material, and then the PSs was removed via a lift-off process and the hollow nanospheres embedded into the thiol-ene substrate was obtained. Thiolene polymer is a UV-curable material via "click chemistry" reaction at ambient conditions without the oxygen inhibition, which has excellent chemical and physical properties to be attractive as the adhesive material in nano-conglutination technology. Using the technique, a hollow nanospheres array with the nanoholes at the diameter of 200 nm embedded into the rigid thiol-ene substrate was fabricated, which has great potential to serve as a reaction container, catalyst and surface enhanced Raman scattering substrate.

  18. Microwave assisted synthesis of amorphous magnesium phosphate nanospheres.

    PubMed

    Zhou, Huan; Luchini, Timothy J F; Bhaduri, Sarit B

    2012-12-01

    Magnesium phosphate (MgP) materials have been investigated in recent years for tissue engineering applications, attributed to their biocompatibility and biodegradability. This paper describes a novel microwave assisted approach to produce amorphous magnesium phosphate (AMP) in a nanospherical form from an aqueous solution containing Mg(2+) and HPO(4) (2-)/PO(4) (3-). Some synthesis parameters such as pH, Mg/P ratio, solution composition were studied and the mechanism of AMP precursors was also demonstrated. The as-produced AMP nanospheres were characterized and tested in vitro. The results proved these AMP nanospheres can self-assemble into mature MgP materials and support cell proliferation. It is expected such AMP has potential in biomedical applications.

  19. Detoxification of blood using injectable magnetic nanospheres: A conceptual technology description

    NASA Astrophysics Data System (ADS)

    Kaminski, Michael D.; Rosengart, Axel J.

    2005-05-01

    We describe injectable magnetic nanospheres as a vehicle for selective detoxification of blood borne toxins. Surface receptors on the freely circulating nanospheres bind to toxins. A hand-held extracorporeal magnetic filter separates the toxin-loaded nanospheres from the clean blood, which is returned to the patient. Details of the technology concept are given and include a state-of-knowledge and research needs.

  20. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  1. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  2. Polymeric nanospheres as a displacement fluid in enhanced oil recovery

    NASA Astrophysics Data System (ADS)

    Hendraningrat, Luky; Zhang, Julien

    2015-12-01

    This paper presents the investigation of using nanoscale polyacrylamide-based spheres (nanospheres) as a displacement fluid in enhanced oil recovery (EOR). Coreflood experiments were conducted to evaluate the impact of nanospheres and its concentration dispersed in model formation water on oil recovery during a tertiary oil recovery process. The coreflood results showed that nanospheres can enhance residual oil recovery in the sandstone rock samples and its concentration showed a significant impact into incremental oil. By evaluating the contact angle, it was observed that wettability alteration also might be involved in the possible oil displacement mechanism in this process together with fluid behavior and permeability to water that might divert injected fluid into unswept oil areas and enhance the residual oil recovery. These investigations promote nanospheres aqueous disperse solution as a potential displacement fluid in EOR.

  3. Fabrication of Periodic Gold Nanocup Arrays Using Colloidal Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeVetter, Brent M.; Bernacki, Bruce E.; Bennett, Wendy D.

    Within recent years, the field of plasmonics has exploded as researchers have demonstrated exciting applications related to chemical and optical sensing in combination with new nanofabrication techniques. A plasmon is a quantum of charge density oscillation that lends nanoscale metals such as gold and silver unique optical properties. In particular, gold and silver nanoparticles exhibit localized surface plasmon resonances—collective charge density oscillations on the surface of the nanoparticle—in the visible spectrum. Here, we focus on the fabrication of periodic arrays of anisotropic plasmonic nanostructures. These half-shell (or nanocup) structures can exhibit additional unique light-bending and polarization dependent optical properties thatmore » simple isotropic nanostructures cannot. Researchers are interested in the fabrication of periodic arrays of nanocups for a wide variety of applications such as low-cost optical devices, surface-enhanced Raman scattering, and tamper indication. We present a scalable technique based on colloidal lithography in which it is possible to easily fabricate large periodic arrays of nanocups using spin-coating and self-assembled commercially available polymeric nanospheres. Electron microscopy and optical spectroscopy from the visible to near-IR was performed to confirm successful nanocup fabrication. We conclude with a demonstration of the transfer of nanocups to a flexible, conformal adhesive film.« less

  4. Controlled positioning of analytes and cells on a plasmonic platform for glycan sensing using surface enhanced Raman spectroscopy.

    PubMed

    Tabatabaei, Mohammadali; Wallace, Gregory Q; Caetano, Fabiana A; Gillies, Elizabeth R; Ferguson, Stephen S G; Lagugné-Labarthet, François

    2016-01-01

    The rise of molecular plasmonics and its application to ultrasensitive spectroscopic measurements has been enabled by the rational design and fabrication of a variety of metallic nanostructures. Advanced nano and microfabrication methods are key to the development of such structures, allowing one to tailor optical fields at the sub-wavelength scale, thereby optimizing excitation conditions for ultrasensitive detection. In this work, the control of both analyte and cell positioning on a plasmonic platform is enabled using nanofabrication methods involving patterning of fluorocarbon (FC) polymer (C 4 F 8 ) thin films on a plasmonic platform fabricated by nanosphere lithography (NSL). This provides the possibility to probe biomolecules of interest in the vicinity of cells using plasmon-mediated surface enhanced spectroscopies. In this context, we demonstrate the surface enhanced biosensing of glycan expression in different cell lines by surface enhanced Raman spectroscopy (SERS) on these plasmonic platforms functionalized with 4-mercaptophenylboronic acid (4-MPBA) as the Raman reporter. These cell lines include human embryonic kidney (HEK 293), C2C12 mouse myoblasts, and HeLa (Henrietta Lacks) cervical cancer cells. A distinct glycan expression is observed for cancer cells compared to other cell lines by confocal SERS mapping. This suggests the potential application of these versatile SERS platforms for differentiating cancerous from non-cancerous cells.

  5. Effect of Refractive Index of Substrate on Fabrication and Optical Properties of Hybrid Au-Ag Triangular Nanoparticle Arrays

    PubMed Central

    Liu, Jing; Chen, Yushan; Cai, Haoyuan; Chen, Xiaoyi; Li, Changwei; Yang, Cheng-Fu

    2015-01-01

    In this study, the nanosphere lithography (NSL) method was used to fabricate hybrid Au-Ag triangular periodic nanoparticle arrays. The Au-Ag triangular periodic arrays were grown on different substrates, and the effect of the refractive index of substrates on fabrication and optical properties was systematically investigated. At first, the optical spectrum was simulated by the discrete dipole approximation (DDA) numerical method as a function of refractive indexes of substrates and mediums. Simulation results showed that as the substrates had the refractive indexes of 1.43 (quartz) and 1.68 (SF5 glass), the nanoparticle arrays would have better refractive index sensitivity (RIS) and figure of merit (FOM). Simulation results also showed that the peak wavelength of the extinction spectra had a red shift when the medium’s refractive index n increased. The experimental results also demonstrated that when refractive indexes of substrates were 1.43 and 1.68, the nanoparticle arrays and substrate had better adhesive ability. Meanwhile, we found the nanoparticles formed a large-scale monolayer array with the hexagonally close-packed structure. Finally, the hybrid Au-Ag triangular nanoparticle arrays were fabricated on quartz and SF5 glass substrates and their experiment extinction spectra were compared with the simulated results.

  6. K+-selective nanospheres: maximising response range and minimising response time.

    PubMed

    Ruedas-Rama, Maria Jose; Hall, Elizabeth A H

    2006-12-01

    Cross-linked K(+) ion-selective copolymer nanospheres have been prepared by free-radical photo-initiated polymerization of n-butyl acrylate (nBA) with hexanedioldiacrylate (HDDA). Nanospheres (<200 nm) containing H(+)-chromoionophore (ETH 5294) and lipophilic salt (KTClPB) for H(+)-sensors, or ETH 5294, a K(+)-selective ionophore (valinomycin) and anionic sites for K(+)-sensors were compared, and the effect of varying the normalised concentrations for beta (R(T)(-)/L(T)) and gamma (C(m)(T)/L(T)) was studied. Experimental data were fitted to theoretical curves for the dynamic response range, based on the effect of changes in the concentration of these lipophilic sensing components incorporated into the spheres, and conditions identified for maximising the response range. A complex valinomycin-K(+) formation constant, log K(IL) = 13.13 +/- 2.22, was obtained in the nBA matrix, and from the calibration curves the apparent acid-dissociation equilibrium constant (pK(a) = 12.92 +/- 0.03) was extracted for the H(+)-sensing system, and the equilibrium exchange constant (pK(exch) = 6.16 +/- 0.03, at pH 7) calculated for the K(+)-sensing nanospheres. A basis for establishing optimum performance was identified, whereby response range and response time were balanced with maximum fluorescence yield. Parameters for achieving nanospheres with a response time <5 minutes, covering 2-3 orders of magnitude change in activity were identified, demanding nanospheres with radius <300 nm and beta(crit) approximately 0.6. An RSD(%) approximately 3% was obtained in a study of the reproducibility of the response of the proposed nanospheres, and selectivity was also evaluated for a K(+)-selective nanosensor using several cations as interfering agents. In most cases, the fluorescent emission spectra showed no response to the cations tested, confirming the selectivity of nanospheres to potassium ion. The nanosensors were satisfactorily applied to the determination of K(+) in samples mimicking

  7. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  8. Dye-attached magnetic poly(hydroxyethyl methacrylate) nanospheres for albumin depletion from human plasma.

    PubMed

    Gökay, Öznur; Karakoç, Veyis; Andaç, Müge; Türkmen, Deniz; Denizli, Adil

    2015-02-01

    The selective binding of albumin on dye-affinity nanospheres was combined with magnetic properties as an alternative approach for albumin depletion from human plasma. Magnetic poly(hydroxyethyl methacrylate) (mPHEMA) nanospheres were synthesized using mini-emulsion polymerization method in the presence of magnetite powder. The specific surface area of the mPHEMA nanospheres was found to be 1302 m(2)/g. Subsequent to Cibacron Blue F3GA (CB) immobilization onto mPHEMA nanospheres, a serial characterization processing was implemented. The quantity of immobilized CB was calculated as 800 μmol/g. Ultimately, albumin adsorption performance of the CB-attached mPHEMA nanospheres from both aqueous dissolving medium and human plasma were explored.

  9. Electrospun Nanofibrous Silk Fibroin Membranes Containing Gelatin Nanospheres for Controlled Delivery of Biomolecules.

    PubMed

    Song, Jiankang; Klymov, Alexey; Shao, Jinlong; Zhang, Yang; Ji, Wei; Kolwijck, Eva; Jansen, John A; Leeuwenburgh, Sander C G; Yang, Fang

    2017-07-01

    Development of novel and effective drug delivery systems for controlled release of bioactive molecules is of critical importance in the field of regenerative medicine. Here, oppositely charged gelatin nanospheres are incorporated into silk fibroin nanofibers through a colloidal electrospinning technique. A novel fibrous nano-in-nano drug delivery system is fabricated without the use of any organic solvent. The distribution of fluorescently labeled gelatin A and B nanospheres inside the nanofibers can be fine-tuned by simple adjustment of the weight ratio between the nanospheres and the relative feeding rate of core and shell solutions containing nanospheres by using single and coaxial nozzle electrospinning, respectively. Incorporation of vancomycin-loaded gelatin B nanospheres into the silk fibroin nanofibrous membranes results in a more sustained release of vancomycin, compared to the gelatin nanospheres free membranes. In addition, these membranes exhibit excellent and prolonged antibacterial effects against Staphylococcus aureus. Moreover, these membranes support the attachment, spreading, and proliferation of periodontal ligament cells. These results suggest that the beneficial properties of gelatin nanospheres can be exploited to improve the biological functionality of electrospun nanofibrous silk fibroin membranes. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Large-deformation and high-strength amorphous porous carbon nanospheres

    NASA Astrophysics Data System (ADS)

    Yang, Weizhu; Mao, Shimin; Yang, Jia; Shang, Tao; Song, Hongguang; Mabon, James; Swiech, Wacek; Vance, John R.; Yue, Zhufeng; Dillon, Shen J.; Xu, Hangxun; Xu, Baoxing

    2016-04-01

    Carbon is one of the most important materials extensively used in industry and our daily life. Crystalline carbon materials such as carbon nanotubes and graphene possess ultrahigh strength and toughness. In contrast, amorphous carbon is known to be very brittle and can sustain little compressive deformation. Inspired by biological shells and honeycomb-like cellular structures in nature, we introduce a class of hybrid structural designs and demonstrate that amorphous porous carbon nanospheres with a thin outer shell can simultaneously achieve high strength and sustain large deformation. The amorphous carbon nanospheres were synthesized via a low-cost, scalable and structure-controllable ultrasonic spray pyrolysis approach using energetic carbon precursors. In situ compression experiments on individual nanospheres show that the amorphous carbon nanospheres with an optimized structure can sustain beyond 50% compressive strain. Both experiments and finite element analyses reveal that the buckling deformation of the outer spherical shell dominates the improvement of strength while the collapse of inner nanoscale pores driven by twisting, rotation, buckling and bending of pore walls contributes to the large deformation.

  11. Titania nanospheres from supercritical fluids.

    PubMed

    Darr, J A; Kellici, S; Rehman, I U

    2005-06-01

    Surfactant-coated amorphous titania nanospheres have been synthesised using templating 'water-in-supercritical carbon dioxide' emulsion droplets; the process represents a clean and controlled method for the manufacture of high-purity nanoparticles.

  12. Polyethyleneglycol diacrylate hydrogels with plasmonic gold nanospheres incorporated via functional group optimization

    NASA Astrophysics Data System (ADS)

    Ponnuvelu, Dinesh Veeran; Kim, Seokbeom; Lee, Jungchul

    2017-12-01

    We present a facile method for the preparation of polyethyleneglycol diacrylate (PEG-DA) hydrogels with plasmonic gold (Au) nanospheres incorporated for various biological and chemical sensing applications. Plasmonic Au nanospheres were prepared ex situ using the standard citrate reduction method with an average diameter of 3.5 nm and a standard deviation of 0.5 nm, and evaluated for their surface functionalization process intended for uniform dispersion in polymer matrices. UV-Visible spectroscopy reveals the existence of plasmonic properties for pristine Au nanospheres, functionalized Au nanospheres, and PEG-DA with uniformly dispersed functionalized Au nanospheres (hybrid Au/PEG-DA hydrogels). Hybrid Au/PEG-DA hydrogels examined by using Fourier transform infra-red spectroscopy (FT-IR) exhibit the characteristic bands at 1635, 1732 and 2882 cm-1 corresponding to reaction products of OH- originating from oxidized product of citrate, -C=O stretching from ester bond, and C-H stretching of PEG-DA, respectively. Thermal studies of hybrid Au/PEG-DA hydrogels show three-stage decomposition with their stabilities up to 500 °C. Optical properties and thermal stabilities associated with the uniform dispersion of Au nanospheres within hydrogels reported herein will facilitate various biological and chemical sensing applications.

  13. Micro-emulsion-assisted synthesis of ZnS nanospheres and their photocatalytic activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li Yao; He Xiaoyan; Cao Minhua

    2008-11-03

    ZnS nanospheres with rough surface were synthesized by using a micro-emulsion-assisted solvothemal process. The molar ratio of [water]/[surfactant] played an important role in controlling the size of the ZnS nanospheres. X-ray powder diffraction (XRD), transmission electron microscopy (TEM), field emission-scanning electron microscope (FE-SEM), and selected area electron diffraction (SAED) were used for the characterization of the resulting ZnS nanospheres. A possible formation mechanism was proposed. These ZnS nanospheres exhibited a good photocatalytic activity for degradation of an aqueous p-nitrophenol solution and the total organic carbon (TOC) of the degradation product has also been investigated.

  14. Amorphous Carbon Nanospheres

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    Amorphous carbon nanosphere used as the anode material for Li-intercalation in Lithium-ion energy storage. This structure was obtained through a thermal annealing process at a temperature of 3000 degree Kelvin, simulated using the LAMMPS molecular dynamics code on the LCRC Fusion resource. Science: Kah Chun Lau and Larry Curtiss Visualization: Aaron Knoll, Mark Hereld and Michael E. Papka

  15. Room temperature synthesis of Cu₂O nanospheres: optical properties and thermal behavior.

    PubMed

    Nunes, Daniela; Santos, Lídia; Duarte, Paulo; Pimentel, Ana; Pinto, Joana V; Barquinha, Pedro; Carvalho, Patrícia A; Fortunato, Elvira; Martins, Rodrigo

    2015-02-01

    The present work reports a simple and easy wet chemistry synthesis of cuprous oxide (Cu2O) nanospheres at room temperature without surfactants and using different precursors. Structural characterization was carried out by X-ray diffraction, transmission electron microscopy, and scanning electron microscopy coupled with focused ion beam and energy-dispersive X-ray spectroscopy. The optical band gaps were determined from diffuse reflectance spectroscopy. The photoluminescence behavior of the as-synthesized nanospheres showed significant differences depending on the precursors used. The Cu2O nanospheres were constituted by aggregates of nanocrystals, in which an on/off emission behavior of each individual nanocrystal was identified during transmission electron microscopy observations. The thermal behavior of the Cu2O nanospheres was investigated with in situ X-ray diffraction and differential scanning calorimetry experiments. Remarkable structural differences were observed for the nanospheres annealed in air, which turned into hollow spherical structures surrounded by outsized nanocrystals.

  16. Large surface-enhanced Raman scattering from self-assembled gold nanosphere monolayers

    NASA Astrophysics Data System (ADS)

    Fontana, Jake; Livenere, John; Bezares, Francisco J.; Caldwell, Joshua D.; Rendell, Ronald; Ratna, Banahalli R.

    2013-05-01

    We demonstrate an average surface-enhanced Raman scattering enhancement on the order of 108 from benzenethiol molecules using self-assembled, macroscopic, and tunable gold nanosphere monolayers on non-templated substrates. The self-assembly of the nanosphere monolayers uses a simple and efficient technique that allows for the creation of a high-density, chemically functionalized gold nanosphere monolayers with enhancement factors comparable to those produced using top-down fabrication techniques. These films may provide an approach for the future development of portable chemical/biological sensors.

  17. ATP-stabilized amorphous calcium carbonate nanospheres and their application in protein adsorption.

    PubMed

    Qi, Chao; Zhu, Ying-Jie; Lu, Bing-Qiang; Zhao, Xin-Yu; Zhao, Jing; Chen, Feng; Wu, Jin

    2014-05-28

    Calcium carbonate is a common substance found in rocks worldwide, and is the main biomineral formed in shells of marine organisms and snails, pearls and eggshells. Amorphous calcium carbonate (ACC) is the least stable polymorph of calcium carbonate, which is so unstable under normal conditions that it is difficult to be prepared in vitro because it rapidly crystallizes to form one of the more stable polymorphs in aqueous solution. Herein, we report the successful synthesis of highly stable ACC nanospheres in vitro using adenosine 5'-triphosphate disodium salt (ATP) as a stabilizer. The effect of ATP on the stability of ACC nanospheres is investigated. Our experiments show that ATP plays an unique role in the stabilization of ACC nanospheres in aqueous solution. Moreover, the as-prepared ACC nanospheres are highly stable in phosphate buffered saline for a relatively long period of time (12 days) even under relatively high concentrations of calcium and phosphate ions. The cytotoxicity tests show that the as-prepared highly stable ACC nanospheres have excellent biocompatibility. The highly stable ACC nanospheres have high protein adsorption capacity, implying that they are promising for applications in biomedical fields such as drug delivery and protein adsorption. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Electrochemical Glucose Biosensor of Platinum Nanospheres Connected by Carbon Nanotubes

    PubMed Central

    Claussen, Jonathan C.; Kim, Sungwon S.; Haque, Aeraj ul; Artiles, Mayra S.; Porterfield, D. Marshall; Fisher, Timothy S.

    2010-01-01

    Background Glucose biosensors comprised of nanomaterials such as carbon nanotubes (CNTs) and metallic nanoparticles offer enhanced electrochemical performance that produces highly sensitive glucose sensing. This article presents a facile biosensor fabrication and biofunctionalization procedure that utilizes CNTs electrochemically decorated with platinum (Pt) nanospheres to sense glucose amperometrically with high sensitivity. Method Carbon nanotubes are grown in situ by microwave plasma chemical vapor deposition (MPCVD) and electro-chemically decorated with Pt nanospheres to form a CNT/Pt nanosphere composite biosensor. Carbon nanotube electrodes are immobilized with fluorescently labeled bovine serum albumin (BSA) and analyzed with fluorescence microscopy to demonstrate their biocompatibility. The enzyme glucose oxidase (GOX) is immobilized onto the CNT/Pt nanosphere biosensor by a simple drop-coat method for amperometric glucose sensing. Results Fluorescence microscopy demonstrates the biofunctionalization capability of the sensor by portraying adsorption of fluorescently labeled BSA unto MPCVD-grown CNT electrodes. The subsequent GOX–CNT/Pt nanosphere biosensor demonstrates a high sensitivity toward H2O2 (7.4 μA/mM/cm2) and glucose (70 μA/mM/cm2), with a glucose detection limit and response time of 380 nM (signal-to-noise ratio = 3) and 8 s (t90%), respectively. The apparent Michaelis–Menten constant (0.64 mM) of the biosensor also reflects the improved sensitivity of the immobilized GOX/nanomaterial complexes. Conclusions The GOX–CNT/Pt nanosphere biosensor outperforms similar CNT, metallic nanoparticle, and more conventional carbon-based biosensors in terms of glucose sensitivity and detection limit. The biosensor fabrication and biofunctionalization scheme can easily be scaled and adapted for microsensors for physiological research applications that require highly sensitive glucose sensing. PMID:20307391

  19. Electrochemical glucose biosensor of platinum nanospheres connected by carbon nanotubes.

    PubMed

    Claussen, Jonathan C; Kim, Sungwon S; Haque, Aeraj Ul; Artiles, Mayra S; Porterfield, D Marshall; Fisher, Timothy S

    2010-03-01

    Glucose biosensors comprised of nanomaterials such as carbon nanotubes (CNTs) and metallic nanoparticles offer enhanced electrochemical performance that produces highly sensitive glucose sensing. This article presents a facile biosensor fabrication and biofunctionalization procedure that utilizes CNTs electrochemically decorated with platinum (Pt) nanospheres to sense glucose amperometrically with high sensitivity. Carbon nanotubes are grown in situ by microwave plasma chemical vapor deposition (MPCVD) and electro-chemically decorated with Pt nanospheres to form a CNT/Pt nanosphere composite biosensor. Carbon nanotube electrodes are immobilized with fluorescently labeled bovine serum albumin (BSA) and analyzed with fluorescence microscopy to demonstrate their biocompatibility. The enzyme glucose oxidase (GO(X)) is immobilized onto the CNT/Pt nanosphere biosensor by a simple drop-coat method for amperometric glucose sensing. Fluorescence microscopy demonstrates the biofunctionalization capability of the sensor by portraying adsorption of fluorescently labeled BSA unto MPCVD-grown CNT electrodes. The subsequent GO(X)-CNT/Pt nanosphere biosensor demonstrates a high sensitivity toward H(2)O(2) (7.4 microA/mM/cm(2)) and glucose (70 microA/mM/cm(2)), with a glucose detection limit and response time of 380 nM (signal-to-noise ratio = 3) and 8 s (t(90%)), respectively. The apparent Michaelis-Menten constant (0.64 mM) of the biosensor also reflects the improved sensitivity of the immobilized GO(X)/nanomaterial complexes. The GO(X)-CNT/Pt nanosphere biosensor outperforms similar CNT, metallic nanoparticle, and more conventional carbon-based biosensors in terms of glucose sensitivity and detection limit. The biosensor fabrication and biofunctionalization scheme can easily be scaled and adapted for microsensors for physiological research applications that require highly sensitive glucose sensing. (c) 2010 Diabetes Technology Society.

  20. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  1. Estrone specific molecularly imprinted polymeric nanospheres: synthesis, characterization and applications for electrochemical sensor development.

    PubMed

    Congur, Gulsah; Senay, Hilal; Turkcan, Ceren; Canavar, Ece; Erdem, Arzum; Akgol, Sinan

    2013-06-28

    The aim of this study is (i) to prepare estrone-imprinted nanospheres (nano-EST-MIPs) and (ii) to integrate them into the electrochemical sensor as a recognition layer. N-methacryloyl-(l)-phenylalanine (MAPA) was chosen as the complexing monomer. Firstly, estrone (EST) was complexed with MAPA and the EST-imprinted poly(2-hyroxyethylmethacrylate-co-N-methacryloyl-(l)-phenylalanine) [EST-imprinted poly(HEMA-MAPA)] nanospheres were synthesized by surfactant- free emulsion polymerization method. The specific surface area of the EST-imprinted poly(HEMA-MAPA) nanospheres was found to be 1275 m2/g with a size of 163.2 nm in diameter. According to the elemental analysis results, the nanospheres contained 95.3 mmole MAPA/g nanosphere. The application of EST specific MIP nanospheres for the development of an electrochemical biosensor was introduced for the first time in our study by using electrochemical impedance spectroscopy (EIS) technique. This nano-MIP based sensor presented a great specificity and selectivity for EST.

  2. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  3. Preparation and optimization of chlorophene-loaded nanospheres as controlled release antimicrobial delivery systems.

    PubMed

    Phuengkham, Hathaichanok; Teeranachaideekul, Veerawat; Chulasiri, Malyn; Nasongkla, Norased

    2016-01-01

    Chlorophene-loaded nanospheres with various formulation parameters were evaluated. The optimal formulation was found at 0.1% w/v of poloxamer 407, 15 mL of ethyl acetate and 20% initial chlorophene loading that provided the suitable size (179 nm), the highest loading content (19.2%), encapsulation efficiency (88.0%) and yield (91.6%). Moreover, encapsulation of chlorophene in nanospheres was able to prolong and sustain drug release over one month. Chlorophene-loaded nanospheres were effective against Staphylococcus aureus (S. aureus) and Candida albicans (C. albicans), the main cause of hospital-acquired infections. Chlorophene-loaded nanospheres were effective against S. aureus (>46 µg/mL) and C. albicans (>184 µg/mL). These nanospheres appeared to have profound effect on the time-dependent hemolytic activity due to gradual release of chlorophene. At the concentration of 46 µg/mL, nearly no HRBC hemolysis in 24 h compared to 80% of hemolysis from free drug. In conclusion, polymeric nanospheres were successfully fabricated to encapsulate chlorophene which can eliminate inherent toxicity of drugs and have potential uses in prolonged release of antimicrobial.

  4. Photocatalytic hollow TiO2 and ZnO nanospheres prepared by atomic layer deposition.

    PubMed

    Justh, Nóra; Bakos, László Péter; Hernádi, Klára; Kiss, Gabriella; Réti, Balázs; Erdélyi, Zoltán; Parditka, Bence; Szilágyi, Imre Miklós

    2017-06-28

    Carbon nanospheres (CNSs) were prepared by hydrothermal synthesis, and coated with TiO 2 and ZnO nanofilms by atomic layer deposition. Subsequently, through burning out the carbon core templates hollow metal oxide nanospheres were obtained. The substrates, the carbon-metal oxide composites and the hollow nanospheres were characterized with TG/DTA-MS, FTIR, Raman, XRD, SEM-EDX, TEM-SAED and their photocatalytic activity was also investigated. The results indicate that CNSs are not beneficial for photocatalysis, but the crystalline hollow metal oxide nanospheres have considerable photocatalytic activity.

  5. Water-in-Water Emulsion Based Synthesis of Hydrogel Nanospheres with Tunable Release Kinetics

    NASA Astrophysics Data System (ADS)

    Aydın, Derya; Kızılel, Seda

    2017-07-01

    Poly(ethylene glycol) (PEG) micro/nanospheres have several unique advantages as polymer based drug delivery systems (DDS) such as tunable size, large surface area to volume ratio, and colloidal stability. Emulsification is one of the widely used methods for facile synthesis of micro/nanospheres. Two-phase aqueous system based on polymer-polymer immiscibility is a novel approach for preparation of water-in-water (w/w) emulsions. This method is promising for the synthesis of PEG micro/nanospheres for biological systems, since the emulsion is aqueous and do not require organic solvents or surfactants. Here, we report the synthesis of nano-scale PEG hydrogel particles using w/w emulsions using phase separation of dextran and PEG prepolymer. Dynamic light scattering (DLS) and scaning electron microscopy (SEM) results demonstrated that nano-scale hydrogel spheres could be obtained with this approach. We investigated the release kinetics of a model drug, pregabalin (PGB) from PEG nanospheres and demonstrated the influence of polymerization conditions on loading and release of the drug as well as the morphology and size distribution of PEG nanospheres. The experimental drug release data was fitted to a stretched exponential function which suggested high correlation with experimental results to predict half-time and drug release rates from the model equation. The biocompatibility of nanospheres on human dermal fibroblasts using cell-survival assay suggested that PEG nanospheres with altered concentrations are non-toxic, and can be considered for controlled drug/molecule delivery.

  6. Synthesis and Characteristics of ZnS Nanospheres for Heterojunction Photovoltaic Device

    NASA Astrophysics Data System (ADS)

    Chou, Sheng-Hung; Hsiao, Yu-Jen; Fang, Te-Hua; Chou, Po-Hsun

    2015-06-01

    The synthesis of ZnS nanospheres produced using the microwave hydrothermal method was studied. The microstructure and surface and optical properties of ZnS nanospheres on glass were characterized using scanning electron microscopy, high-resolution transmission electron microscopy, x-ray diffraction, and ultraviolet-visible spectroscopy. The influence of deposition time on the transmission and photovoltaic performance was determined. The power conversion efficiency of an Al-doped ZnO/ZnS nanosphere/textured p-Si device improved from 0.93 to 1.77% when the thickness of the ZnS nanostructured film was changed from 75 to 150 nm.

  7. Quantum dots-hyperbranched polyether hybrid nanospheres towards delivery and real-time detection of nitric oxide.

    PubMed

    Liu, Shuiping; Gu, Tianxun; Fu, Jiajia; Li, Xiaoqiang; Chronakis, Ioannis S; Ge, Mingqiao

    2014-12-01

    In this work, novel hybrid nanosphere vehicles were synthesized for nitric oxide (NO) donating and real-time detection. The hybrid nanosphere vehicles consist of cadmium selenide quantum dots (CdSe QDs) as NO fluorescent probes, and the modified hyperbranched polyether (mHP)-based diazeniumdiolates as NO donors, respectively. The nanospheres have spherical outline with dimension of ~127 nm. The data of systematic characterization demonstrated that the mHP-based hybrid nanosphere vehicles (QDs-mHP-NO) can release and real-time detect NO with the low limit of 25 nM, based on fluorescence quenching mechanism. The low cell-toxicity of QDs-mHP-NO nanospheres was verified by means of MTT assay on L929 cells viability. The QDs-mHP-NO nanospheres provide perspectives for designing a new class of biocompatible NO donating and imaging systems. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Magnetic hyaluronic acid nanospheres via aqueous Diels-Alder chemistry to deliver dexamethasone for adipose tissue engineering.

    PubMed

    Jia, Yang; Fan, Ming; Chen, Huinan; Miao, Yuting; Xing, Lian; Jiang, Bohong; Cheng, Qifan; Liu, Dongwei; Bao, Weikang; Qian, Bin; Wang, Jionglu; Xing, Xiaodong; Tan, Huaping; Ling, Zhonghua; Chen, Yong

    2015-11-15

    Biopolymer-based nanospheres have great potential in the field of drug delivery and tissue regenerative medicine. In this work, we present a flexible way to conjugate a magnetic hyaluronic acid (HA) nanosphere system that are capable of vectoring delivery of adipogenic factor, e.g. dexamethasone, for adipose tissue engineering. Conjugation of nanospheres was established by aqueous Diels-Alder chemistry between furan and maleimide of HA derivatives. Simultaneously, a furan functionalized dexamethasone peptide, GQPGK, was synthesized and covalently immobilized into the nanospheres. The magnetic HA nanospheres were fabricated by encapsulating super-paramagnetic iron oxide nanoparticles, which exhibited quick magnetic sensitivity. The aqueous Diels-Alder chemistry made nanospheres high binding efficiency of dexamethasone, and the vectoring delivery of dexamethasone could be easily controlled by a external magnetic field. The potential application of the magnetic HA nanospheres on vectoring delivery of adipogenic factor was confirmed by co-culture of human adipose-derived stem cells (ASCs). In vitro cytotoxicity tests demonstrated that incorporation of dexamethasone into magnetic HA nanospheres showed high efficiency to promote ASCs viabilities, in particular under a magnetic field, which suggested a promising future for adipose regeneration applications. Copyright © 2015 Elsevier Inc. All rights reserved.

  9. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  10. Tunable optical metamaterial based on liquid crystal-gold nanosphere composite.

    PubMed

    Pratibha, R; Park, K; Smalyukh, I I; Park, W

    2009-10-26

    Effect of the surrounding anisotropic liquid crystal medium on the surface plasmon resonance (SPR) exhibited by concentrated suspensions of gold nanospheres has been investigated experimentally and compared with the Mie scattering theory. The observed polarization-sensitive SPR and the red-shift in the SPR wavelength with increasing concentration of the gold nanospheres in the liquid crystal matrix have been explained using calculations based on the Maxwell Garnet effective medium theory. Agglomeration of the gold nanospheres that could also lead to such a red-shift has been ruled out using Atomic force microscopy study of thin nanoparticle-doped smectic films obtained on solid substrates. Our study demonstrates feasibility of obtaining tunable optical bulk metamaterials based on smectic liquid crystal - nanoparticle composites.

  11. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  12. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  13. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  14. Monodisperse Carbon Nanospheres with Hierarchical Porous Structure as Electrode Material for Supercapacitor

    NASA Astrophysics Data System (ADS)

    Yang, Xiutao; Xia, Hui; Liang, Zhongguan; Li, Haiyan; Yu, Hongwen

    2017-09-01

    Carbon nanospheres with distinguishable microstructure were prepared by carbonization and subsequent KOH activation of F108/resorcinol-formaldehyde composites. The dosage of triblock copolymer Pluronic F108 is crucial to the microstructure differences. With the adding of F108, the polydisperse carbon nanospheres (PCNS) with microporous structure, monodisperse carbon nanospheres (MCNS) with hierarchical porous structure, and agglomerated carbon nanospheres (ACNS) were obtained. Their microstructure and capacitance properties were carefully compared. As a result of the synergetic effect of mono-dispersion spheres and hierarchical porous structures, the MCNS sample shows improved electrochemical performance, i.e., the highest specific capacitance of 224 F g-1 (0.2 A g-1), the best rate capability (73% retention at 20 A g-1), and the most excellent capacitance retention of 93% over 10,000 cycles, making it to be the promising electrode material for high-performance supercapacitors.

  15. Synthesis of poly(3-hydroxybutyrate) nanospheres and deposition thereof into porous thin film

    NASA Astrophysics Data System (ADS)

    Abid, S.; Raza, Z. A.; Rehman, A.

    2016-10-01

    Polymeric nanostructures have gained importance in medical science as drug delivery carriers due to their biocompatibility and biodegradability. Polyhydroxybutyrate (PHB) is one of the natural biodegradable polymers used to deliver drugs in the form of nano/microcapsules. In this study, solvent evaporation method has been used for the synthesis of PHB nanospheres using poly(vinyl) alcohol (PVA) both as emulsifier and stabilizer. The produced PHB nanospheres were analyzed using dynamic light scattering and scanning electron microscopy. The size of nanospheres decreased whereas the zeta potential increased on increasing the concentration of emulsifier. The PHB nanospheres were then deposited into porous thin film on a glass surface and characterized against bulk PHB film by using atomic force microscopy, contact angle measurement and x-ray diffraction.

  16. Synthesis and characterization of hollow magnetic nanospheres modified with Au nanoparticles for bio-encapsulation

    NASA Astrophysics Data System (ADS)

    Seisno, Satoshi; Suga, Kent; Nakagawa, Takashi; Yamamoto, Takao A.

    2017-04-01

    Hollow magnetic nanospheres modified with Au nanoparticles were successfully synthesized. Au/SiO2 nanospheres fabricated by a radiochemical process were used as templates for ferrite templating. After the ferrite plating process, Au/SiO2 templates were fully coated with magnetite nanoparticles. Dissolution of the SiO2 core lead to the formation of hollow magnetic nanospheres with Au nanoparticles inside. The hollow magnetic nanospheres consisted of Fe3O4 grains, with an average diameter of 60 nm, connected to form the sphere wall, inside which Au grains with an average diameter of 7.2 nm were encapsulated. The Au nanoparticles immobilized on the SiO2 templates contributed to the adsorption of the Fe ion precursor and/or Fe3O4 seeds. These hollow magnetic nanospheres are proposed as a new type of nanocarrier, as the Au grains could specifically immobilize biomolecules inside the hollow sphere.

  17. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  18. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  19. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  20. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  1. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  2. In vitro/in vivo evaluation of agar nanospheres for pulmonary delivery of bupropion HCl.

    PubMed

    Varshosaz, Jaleh; Minaiyan, Mohsen; Zaki, Mohammad Reza; Fathi, Milad; Jaleh, Hossein

    2016-07-01

    Bupropion HCl is an atypical antidepressant drug with rapid and high first-pass metabolism. Sustained release dosage form of this drug is suggested for reducing its side effects which are mainly seizures. The aim of the present study was to design pulmonary agar nanospheres of bupropion HCl with effective systemic absorption and extended release properties. Bupropion HCl was encapsulated in agar nanospheres by ionic gelation, and characterized for physical and release properties. Pharmacokinetic studies on nanospheres were performed on rats by intratracheal spraying of 5 mg/kg of drug in form of nanospheres compared to intravenous and pulmonary delivery of the same dose as simple solution of the drug. The optimized nanoparticles showed particle size of 320 ± 90 nm with polydispersity index of 0.85, the zeta potential of -29.6 mV, drug loading efficiency of 43.1 ± 0.28% and release efficiency of 66.7 ± 2%. The area under the serum concentration-time profile for the pulmonary nanospheres versus simple solution was 10 237.84 versus 28.8 µg/ml min, Tmax of 360 versus 60 min and the Cmax of 1927.93 versus9.93 ng/ml, respectively. The absolute bioavailability of the drug was 86.69% for nanospheres and 0.25% for pulmonary simple solution. Our results indicate that pulmonary delivery of bupropion loaded agar nanospheres achieves systemic exposure and extends serum levels of the drug.

  3. Synthesis of functional carbon nanospheres by a composite-molten-salt method and amperometric sensing of hydrogen peroxide.

    PubMed

    Wang, Xue; Hu, Chenguo; Xiong, Yufeng; Zhang, Cuiling

    2013-02-01

    Functional carbon nanospheres have been synthesized from analytically pure glucose by a composite-molten-salt (CMS) method. Field emission scanning electron microscopy, transmission electron microscopy, Raman and Fourier transformation infra-red spectroscopy indicate the carbon nanospheres are solid, bond hybridisation (sp2/sp3) and with many functional groups on their surfaces. Amperometric sensor based on the synthesized carbon nanospheres have been fabricated without pretreatment or modification. The detection of hydrogen peroxide exhibits high sensitivity and good selectivity. The electrochemical measurement of these nanospheres demonstrates much superior performance to those of the carbon nanospheres synthesized by hydrothermal method.

  4. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  5. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  6. Direct fabrication of hybrid nanofibres composed of SiO2-PMMA nanospheres via electrospinning.

    PubMed

    Zhang, Ran; Shang, Tinghua; Yang, Guang; Jia, Xiaolong; Cai, Qing; Yang, Xiaoping

    2016-08-01

    The direct fabrication of hybrid nanofibres composed of poly(methyl methacrylate)-grafted SiO2 (SiO2-PMMA) nanospheres via electrospinning was investigated in detail. SiO2-PMMA nanospheres were successfully prepared, with the SiO2 nanospheres synthesized via the Stober method, followed by in situ surface-initiated atom transfer radical polymerization of methyl methacrylate (MMA). Electrospinning was carried out with N,N-dimethylformamide (DMF) as the solvent to disperse SiO2-PMMA nanospheres. The size of the SiO2 core, the molecular weight of the PMMA shell and the concentration of the SiO2-PMMA/DMF solution all had substantial effects on the morphology and structure of electrospun nanofibres composed of SiO2-PMMA nanospheres. When these determining factors were well-tailored, it was found that one-dimensional necklace-like nanofibres were obtained, with SiO2-PMMA nanospheres aligned one by one along the fibre. The successful fabrication of nanofibres by directly electrospinning the SiO2-PMMA/DMF solution verified that polymer-grafted particles possess polymer-like characteristics, which endowed them with the ability to be processed into desirable shapes and structures. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. In vivo monitoring of nanosphere onsite delivery using fiber optic microprobe

    NASA Astrophysics Data System (ADS)

    Lo, Leu-Wei; Yang, Chung-Shi

    2005-02-01

    To recognize the information of ischemia-induced blood vessel permeability would be valuable to formulate the drugs for optimal local delivery, we constructed an implantable needle type fiber-optic microprobe for the monitoring of in vivo fluorescent substances in anesthetized rats. This fiber-optic microprobe was composed of coaxial optical fibers and catheterized using a thin wall tubing of stainless steel (~400 um O.D. and ~300 um I.D.). The central fiber, with 100 um core diameter and 20 um cladding, coated with a 30 um layer of gold, was surrounded by 10 fibers with 50 um cores. The central fiber carried the light from the 488 nm Argon laser to the tissue while the surrounding fibers collected the emitted fluorescence to the detector. When the fiber-optic microprobe was placed in the solutions containing various concentrations of fluorescent nanospheres (20 nm), either with or without 10% lipofundin as optical phantom, nanosphere concentration-dependent responses of the fluorescence intensity were observed. The microprobe was then implanted into the liver and the brain of anesthetized rats to monitor the in situ extravasation of pre-administered fluorescent nanospheres from vasculature following the ischemic insults. Both the hepatic and cerebral ischemic insults showed immediate increases of the extracellular 20 nm fluorescent nanospheres. The implantable fiber-optic microprobe constructed in present study provides itself as a minimally-invasive technique capable of investigating the vascular permeability for in vivo nanosphere delivery in both ischemic liver and brain.

  8. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  9. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  10. Comparison of FDTD numerical computations and analytical multipole expansion method for plasmonics-active nanosphere dimers.

    PubMed

    Dhawan, Anuj; Norton, Stephen J; Gerhold, Michael D; Vo-Dinh, Tuan

    2009-06-08

    This paper describes a comparative study of finite-difference time-domain (FDTD) and analytical evaluations of electromagnetic fields in the vicinity of dimers of metallic nanospheres of plasmonics-active metals. The results of these two computational methods, to determine electromagnetic field enhancement in the region often referred to as "hot spots" between the two nanospheres forming the dimer, were compared and a strong correlation observed for gold dimers. The analytical evaluation involved the use of the spherical-harmonic addition theorem to relate the multipole expansion coefficients between the two nanospheres. In these evaluations, the spacing between two nanospheres forming the dimer was varied to obtain the effect of nanoparticle spacing on the electromagnetic fields in the regions between the nanostructures. Gold and silver were the metals investigated in our work as they exhibit substantial plasmon resonance properties in the ultraviolet, visible, and near-infrared spectral regimes. The results indicate excellent correlation between the two computational methods, especially for gold nanosphere dimers with only a 5-10% difference between the two methods. The effect of varying the diameters of the nanospheres forming the dimer, on the electromagnetic field enhancement, was also studied.

  11. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  12. Sympathetic cooling of nanospheres with cold atoms

    NASA Astrophysics Data System (ADS)

    Montoya, Cris; Witherspoon, Apryl; Ranjit, Gambhir; Casey, Kirsten; Kitching, John; Geraci, Andrew

    2016-05-01

    Ground state cooling of mesoscopic mechanical structures could enable new hybrid quantum systems where mechanical oscillators act as transducers. Such systems could provide coupling between photons, spins and charges via phonons. It has recently been shown theoretically that optically trapped dielectric nanospheres could reach the ground state via sympathetic cooling with trapped cold atoms. This technique can be beneficial in cases where cryogenic operation of the oscillator is not practical. We describe experimental advances towards coupling an optically levitated dielectric nanosphere to a gas of cold Rubidium atoms. The sphere and the cold atoms are in separate vacuum chambers and are coupled using a one-dimensional optical lattice. This work is partially supported by NSF, Grant Nos. PHY-1205994,PHY-1506431.

  13. Organic Dye Degradation Under Solar Irradiation by Hydrothermally Synthesized ZnS Nanospheres

    NASA Astrophysics Data System (ADS)

    Samanta, Dhrubajyoti; Chanu, T. Inakhunbi; Basnet, Parita; Chatterjee, Somenath

    2018-02-01

    The green synthesis of ZnS nanospheres using Citrus limetta (sweet lime) juice as a capping agent through a conventional hydrothermal method was studied. The particle size, morphology, chemical composition, band gap, and optical properties of the synthesized ZnS nanospheres were characterized using x-ray diffraction spectroscopy, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and ultraviolet-visible spectroscopy. The photocatalytic activity of the ZnS nanospheres was evaluated by degradation of rhodamine B (RhB) and methyl orange (MO) under solar irradiation. Upon 150 min of solar irradiation, the extent of degradation was 94% and 77% for RhB and MO, respectively.

  14. WE-G-BRE-08: Radiosensitization by Olaparib Eluting Nanospheres

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tangutoori, S; Kumar, R; Sridhar, S

    2014-06-15

    Purpose: Permanent prostate brachytherapy often uses inert bio-absorbable spacers to achieve the desired geometric distribution of sources within the prostate. Transforming these spacers into implantable nanoplatforms for chemo-radiation therapy (INCeRT) provides a means of providing sustained in-situ release of radiosensitizers in the prostate to enhance the therapeutic ratio of the procedure. Olaparib, a PARP inhibitor, suppresses DNA repair processes present during low dose rate continuous irradiation. This work investigates the radiosensitizing/DNA damage repair inhibition by NanoOlaparib eluting nanospheres. Methods: Human cell line PC3 (from ATCC), was maintained in F12-k medium supplemented with fetal bovine serum. Clonogenic assay kit (from Fischermore » Scientific) was used to fix and stain the cells to determine the long term effects of irradiation. Nanoparticle size and zeta potential of nanospheres were determined using a Zeta particle size analyzer. The incorporation of Olaparib in nanospheres was evaluated by HPLC. Irradiation was performed in a small animal irradiator operating at 220 KeV.The long term effects of radio-sensitization with olaparib and nanoolaparib was determined using the clonogenic assay at 2 Gy and 4 Gy doses. The cells were allowed to grow for around 10 doubling cycles, The colonies were fixed and stained using clonogenic assay kit. The excess stain was washed off using DI water and the images were taken using a digital camera. Results: Radiosensitization studies were carried out in prostate cancer cell line, PC3 radiation at 0, 2 and 4Gy doses. Strongest dose response was observed with nanoolaparib treated cells compared to untreated cells. Conclusion: A two stage drug release of drug eluting nanospheres from a biodegradable spacer has been suggested for sustained in-situ release of Olaparib to suppress DNA repair processes during prostate brachytherapy. The Olaparib eluting nanospheres had the same in-vitro radiosensitizing

  15. [Preparation and release exam of magnetic chitosan nano-spheres of doxorubicin].

    PubMed

    Han, Tao; Xiao, Qingping; Zhang, Yuanming

    2010-02-01

    Magnetic chitosan (CS) nano-spheres were prepared by the modified suspension cross-linking technique. The results demonstrated that the magnetic drug nano-spheres are mainly spherical in form with a size of 200 to 800 nm, and show good magnetic responsivity. Here, Doxorubicin was used as exam drug. Glutaraldehyde connects Doxorubicin to CS by the chemical bond (-N = C-), and the drug content is in range of 1% to 15% (w/w). The chemical bond is broken depending on pH, so pH is the important factor for the release of doxorubicin. The doxorubicin release was 22.0%, 13.4%, and 4.1% in the space of 7d, when pH was 1, 2, 4. So the nano-spheres are pH-sensitive magnetic targeting drug micro-spheres.

  16. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  17. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  18. Plasmon-Polariton Properties in Metallic Nanosphere Chains

    PubMed Central

    Jacak, Witold Aleksander; Krasnyj, Jurij; Chepok, Andrej

    2015-01-01

    The propagation of collective wave type plasmonic excitations along infinite chains of metallic nanospheres has been analyzed, including near-, medium- and far-field contributions to the plasmon dipole interaction with all retardation effects taken into account. It is proven that there exist weakly-damped self-modes of plasmon-polaritons in the chain for which the propagation range is limited by relatively small Ohmic losses only. In this regime, the Lorentz friction irradiation losses on each nanosphere in the chain are ideally compensated by the energy income from the rest of the chain. The completely undamped collective waves were identified in the case of the presence of persistent external excitation of some fragment of the chain. The obtained characteristics of these excitations fit the experimental observations well. PMID:28793415

  19. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  20. Synthesis of Monodispersed Tantalum(V) oxide Nanospheres by an Ethylene Glycol Mediated Route

    EPA Science Inventory

    Tantalum(V) oxide (Ta2O5) nanospheres have been synthesized by a very simple ethylene glycol mediated route. The two-step process involves the formation of glycolate nanoparticles and their subsequent hydrolysis and calcination to generate the final Ta2O5 nanospheres. The synthes...

  1. Colloidal lithography with electrochemical nickel deposition as a unique method for improved silver decorated nanocavities in SERS applications

    NASA Astrophysics Data System (ADS)

    Petruš, Ondrej; Oriňak, Andrej; Oriňaková, Renáta; Orságová Králová, Zuzana; Múdra, Erika; Kupková, Miriam; Kovaľ, Karol

    2017-11-01

    Two types of metallised nanocavities (single and hybrid) were fabricated by colloid lithography followed by electrochemical deposition of Ni and subsequently Ag layers. Introductory Ni deposition step iniciates more homogenous decoration of nanocavities with Ag nanoparticles. Silver nanocavity decoration has been so performed with lower nucleation rate and with Ag nanoparticles homogeinity increase. By this, two step Ni and Ag deposition trough polystyrene nanospheres (100, 300, 500, 700, 900 nm), the various Ag surfaces were obtained. Ni layer formation in the first step of deposition enabled more precise controlling of Ag film deposition and thus final Ag surface morphology. Prepared substrates were tested as active surfaces in SERS application. The best SERS signal enhancement was observed at 500 nm Ag nanocavities with normalised thickness Ni layer ∼0.5. Enhancement factor has been established at value 1.078 × 1010; time stability was determined within 13 weeks; charge distribution at nanocavity Ag surfaces as well as reflection spectra were calculated by FDTD method. Newly prepared nanocavity surface can be applied in SERS analysis, predominantly.

  2. Potentiating the antibacterial effect of silver nanospheres by surface-capping with chlorhexidine gluconate

    NASA Astrophysics Data System (ADS)

    Priyadarshini, Balasankar Meera; Fawzy, Amr S.

    2017-04-01

    In this work, the commercial polyvinylpyrrolidone (PVP)-capped silver nanospheres (Ag-NSP) were surface decorated with chlorhexidine gluconate (CHXg) for potentiating the antibacterial properties of Ag-NSP. Different formulations of CHXg-loaded Ag-NSP (Ag-NSP/CHXg) were prepared by varying the incubation times (0.5, 1.5, and 3 h). A thorough characterization of Ag-NSP/CHXg nanospheres has been carried out by dynamic light scattering (DLS), transmission electron microscopy (TEM), energy-dispersive surface elemental composition spectral analysis (SEM/EDX), Fourier transform infrared spectroscopy (FTIR), percentage (%) CHXg loading efficiency (LE), in vitro CHXg and Ag+ ion release, antibacterial/biofilm inhibition assay, and human mesenchymal stem cells (hMSCs) cytotoxicity evaluation. DLS measured nanospheres to be <160 nm and indicated that CHXg treatment drastically shifted the surface charge from negative to high positive values, with homogenous distribution. TEM revealed spherical Ag-NSP/CHXg nanospheres with a clearly visible surface coating of CHXg. FTIR confirmed association of CHXg with Ag-NSP nanospheres, whereas SEM/EDX data verified presence of spectral peaks specific to silver (Ag), CHXg, and PVP. The %LE gradually increased with increasing incubation times. In vitro CHXg release exhibited a bi-phasic fashion showing maximum release of 74.83 ± 20.67% from Ag-NSP/CHXg-3h at 14 days. A slow release of Ag+ ions was detected; however, the surface decoration of Ag-NSP substantially hampered/restricted the liberation of ions. Agar well diffusion, MTS (3-(4,5-dimethylthiazol-2-yl)-5-(3-carboxymethoxyphenyl)-2-(4-sulfophenyl)-2H-tetrazolium), and crystal violet assay suggested good antibacterial/antibiofilm activity of Ag-NSP/CHXg that correlated with the increasing %LE of nanospheres. hMSCs cytotoxicity study showed low toxicity properties of all nanosphere formulations, except for Ag-NSP/CHXg-3h, affecting the cell viability at all proposed concentrations and

  3. Nanospherical-lens lithographical Ag nanodisk arrays embedded in p-GaN for localized surface plasmon-enhanced blue light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Wu, Kui; Sun, Bo

    2014-06-15

    Large-scale Ag nanodisks (NDs) arrays fabricated using nanospherical-lens lithography (NLL) are embedded in p-GaN layer of an InGaN/GaN light-emitting diode (LED) for generating localized surface plasmon (LSP) coupling with the radiating dipoles in the quantum-well (QWs). Based on the Ag NDs with the controlled surface coverage, LSP leads to the improved crystalline quality of regrowth p-GaN, increased photoluminescence (PL) intensity, reduced PL decay time, and enhanced output power of LED. Compared with the LED without Ag NDs, the optical output power at a current of 350 mA of the LSP-enhanced LEDs with Ag NDs having a distance of 20 andmore » 35 nm to QWs is increased by 26.7% and 31.1%, respectively. The electrical characteristics and optical properties of LEDs with embedded Ag NPs are dependent on the distance of between Ag NPs and QWs region. The LED with Ag NDs array structure is also found to exhibit reduced emission divergence, compared to that without Ag NDs.« less

  4. Carbon nanospheres derived from Lablab purpureus for high performance supercapacitor electrodes: a green approach.

    PubMed

    Ali, Gomaa A M; Divyashree, A; Supriya, S; Chong, Kwok Feng; Ethiraj, Anita S; Reddy, M V; Algarni, H; Hegde, Gurumurthy

    2017-10-17

    Carbon nanospheres derived from a natural source using a green approach were reported. Lablab purpureus seeds were pyrolyzed at different temperatures to produce carbon nanospheres for supercapacitor electrode materials. The synthesized carbon nanospheres were analyzed using SEM, TEM, FTIR, TGA, Raman spectroscopy, BET and XRD. They were later fabricated into electrodes for cyclic voltammetry, galvanostatic charge/discharge and electrochemical impedance spectroscopy testing. The specific capacitances were found to be 300, 265 and 175 F g -1 in 5 M KOH electrolyte for carbon nanospheres synthesized at 800, 700 and 500 °C, respectively. These are on a par with those of prior electrodes made of biologically derived carbon nanospheres but the cycle lives were remarkably higher than those of any previous efforts. The electrodes showed 94% capacitance retention even after 5200 charge/discharge cycles entailing excellent recycling durability. In addition, the practical symmetrical supercapacitor showed good electrochemical behaviour under a potential window up to 1.7 V. This brings us one step closer to fabricating a commercial green electrode which exhibits high performance for supercapacitors. This is also a waste to wealth approach based carbon material for cost effective supercapacitors with high performance for power storage devices.

  5. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  6. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  7. Encapsulation of anticancer drug and magnetic particles in biodegradable polymer nanospheres

    NASA Astrophysics Data System (ADS)

    Koneracká, M.; Múčková, M.; Závišová, V.; Tomašovičová, N.; Kopčanský, P.; Timko, M.; Juríková, A.; Csach, K.; Kavečanský, V.; Lancz, G.

    2008-05-01

    In this study, we have prepared PLGA (poly-D,L-lactide-co-glycolide) nanospheres loaded with biocompatible magnetic fluid and anticancer drug taxol by a modified nanoprecipitation technique and investigated their magnetic properties. A magnetic fluid, MF-PEG, with a biocompatible layer of polyethylene glycol (PEG), was chosen as a magnetic carrier. The PLGA, whose copolymer ratio of D,L-lactide to glycolide is 85:15, was utilized as a capsulation material. Taxol, as an important anticancer drug, was chosen for its significant role against a wide range of tumours. The morphology and particle size distributions of the prepared nanospheres were investigated by transmission electron microscopy (TEM) and scanning electron microscopy (SEM) and showed a spherical shape of prepared nanospheres with size 250 nm. Infrared spectroscopy (FTIR), differential scanning calorimetry (DSC) and thermogravimetry (TGA) analysis confirmed incorporation of magnetic particles and taxol into the PLGA polymer. The results showed good encapsulation with magnetite content 21.5 wt% and taxol 0.5 wt%. Magnetic properties of magnetic fluids and taxol within the PLGA polymer matrix were investigated by SQUID magnetometry from 4.2 to 300 K. The SQUID measurements showed superparamagnetism of prepared nanospheres with a blocking temperature of 160 K and saturation magnetization 1.4 mT.

  8. Hollow PdCo alloy nanospheres with mesoporous shells as high-performance catalysts for methanol oxidation.

    PubMed

    Sheng, Guoqing; Chen, Jiahui; Ye, Huangqing; Hu, Zhixiong; Fu, Xian-Zhu; Sun, Rong; Huang, Weixin; Wong, Ching-Ping

    2018-07-15

    Monodisperse hollow mesoporous PdCo alloy nanospheres are prepared via a simple galvanic replacement reaction. The as-prepared PdCo hollow nanospheres have small diameter, such as Pd 78 Co 22 nanospheres of diameter about 25 nm and mesoporous shells about 4-5 nm. The Pd 78 Co 22 hollow mesoporous nanospheres possess the largest electrochemical active surface areas (ECSA, 53.91 m 2  g -1 ), mass activity (1488 mA mg -1 ) and specific activity (2.76 mA cm -2 ) towards to methanol oxidation relative to the Pd 68 Co 32 , Pd 92 Co 8 hollow mesoporous nanospheres and commercial Pd/C catalysts. Moreover, the activity of Pd 78 Co 22 after long-term stability tests is still the best and even better than those of fresh Pd 68 Co 32 and commercial Pd/C catalysts. The PdCo catalysts not only effectively reduce the Pd usage by forming hollow structure, but also fully realize the Pd-Co alloying effects for enhancing the methanol oxidation catalytic performance. Copyright © 2018 Elsevier Inc. All rights reserved.

  9. Poly(hydroxyethyl methacrylate-co-methacryloylglutamic acid) nanospheres for adsorption of Cd2+ ions from aqueous solutions

    NASA Astrophysics Data System (ADS)

    Esen, Cem; Şenay, Raziye Hilal; Feyzioğlu, Esra; Akgöl, Sinan

    2014-02-01

    Poly(2-hydroxyethyl methacrylate-co- N-methacryloyl-( l)-glutamic acid) p(HEMA-MAGA) nanospheres have been synthesized, characterized, and used for the adsorption of Cd2+ ions from aqueous solutions. Nanospheres were prepared by surfactant free emulsion polymerization. The p(HEMA-MAGA) nanospheres were characterized by SEM, FTIR, zeta size, and elemental analysis. The specific surface area of nanospheres was found to be 1,779 m2/g. According to zeta size analysis results, average size of nanospheres is 147.3 nm with poly-dispersity index of 0.200. The goal of this study was to evaluate the adsorption performance of p(HEMA-MAGA) nanospheres for Cd2+ ions from aqueous solutions by a series of batch experiments. The Cd2+ concentration was determined by inductively coupled plasma-optical emission spectrometer. Equilibrium sorption experiments indicated a Cd2+ uptake capacity of 44.2 mg g-1 at pH 4.0 at 25 °C. The adsorption of Cd2+ ions increased with increasing pH and reached a plateau value at around pH 4.0. The data were successfully modeled with a Langmuir equation. A series of kinetics experiments was then carried out and a pseudo-second order equation was used to fit the experimental data. Desorption experiments which were carried out with nitric acid showed that the p(HEMA-MAGA) nanospheres could be reused without significant losses of their initial properties in consecutive adsorption and elution operations.

  10. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  11. Preparation of ultrasmall porous carbon nanospheres by reverse microemulsion-hydrothermal method

    NASA Astrophysics Data System (ADS)

    Wang, Jiasheng; Zhao, Yahong; Wang, Wan-Hui; Bao, Ming

    Porous carbon nanospheres (CNSs) have wide applications. A big challenge in materials science is synthesis of discrete ultrasmall porous carbon nanospheres. Herein, we report a facile reverse microemulsion-hydrothermal method to prepare discrete porous CNSs. The obtained CNSs possess an average diameter of 20nm and pores of 0.7nm and 3.4nm. Our work has provided a convenient method for the controllable synthesis of ultrasmall porous CNSs with potential applications.

  12. Nucleoporin Nup98 associates with Trx/MLL and NSL histone-modifying complexes and regulates Hox gene expression.

    PubMed

    Pascual-Garcia, Pau; Jeong, Jieun; Capelson, Maya

    2014-10-23

    The nuclear pore complex is a transport channel embedded in the nuclear envelope and made up of 30 different components termed nucleoporins (Nups). In addition to their classical role in transport, a subset of Nups has a conserved role in the regulation of transcription via direct binding to chromatin. The molecular details of this function remain obscure, and it is unknown how metazoan Nups are recruited to their chromatin locations or what transcription steps they regulate. Here, we demonstrate genome-wide and physical association between Nup98 and histone-modifying complexes MBD-R2/NSL [corrected] and Trx/MLL. Importantly, we identify a requirement for MBD-R2 in recruitment of Nup98 to many of its genomic target sites. Consistent with its interaction with the Trx/MLL complex, Nup98 is shown to be necessary for Hox gene expression in developing fly tissues. These findings introduce roles of Nup98 in epigenetic regulation that may underlie the basis of oncogenicity of Nup98 fusions in leukemia.

  13. Auxiliary-cavity-assisted ground-state cooling of an optically levitated nanosphere in the unresolved-sideband regime

    NASA Astrophysics Data System (ADS)

    Feng, Jin-Shan; Tan, Lei; Gu, Huai-Qiang; Liu, Wu-Ming

    2017-12-01

    We theoretically analyze the ground-state cooling of an optically levitated nanosphere in the unresolved-sideband regime by introducing a coupled high-quality-factor cavity. On account of the quantum interference stemming from the presence of the coupled cavity, the spectral density of the optical force exerting on the nanosphere gets changed and then the symmetry between the heating and the cooling processes is broken. Through adjusting the detuning of a strong-dissipative cavity mode, one obtains an enhanced net cooling rate for the nanosphere. It is illustrated that the ground-state cooling can be realized in the unresolved sideband regime even if the effective optomechanical coupling is weaker than the frequency of the nanosphere, which can be understood by the picture that the effective interplay of the nanosphere and the auxiliary cavity mode brings the system back to an effective resolved regime. Besides, the coupled cavity refines the dynamical stability of the system.

  14. Synthesis of nickel oxide nanospheres by a facile spray drying method and their application as anode materials for lithium ion batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiao, Anguo, E-mail: hixiaoanguo@126.com; Zhou, Shibiao; Zuo, Chenggang

    2015-10-15

    Graphical abstract: NiO nanospheres prepared by a facile spray drying method show high lithium ion storage performance as anode of lithium ion battery. - Highlights: • NiO nanospheres are prepared by a spray drying method. • NiO nanospheres are composed of interconnected nanoparticles. • NiO nanospheres show good lithium ion storage properties. - Abstract: Fabrication of advanced anode materials is indispensable for construction of high-performance lithium ion batteries. In this work, nickel oxide (NiO) nanospheres are fabricated by a facial one-step spray drying method. The as-prepared NiO nanospheres show diameters ranging from 100 to 600 nm and are composed ofmore » nanoparticles of 30–50 nm. As an anode for lithium ion batteries, the electrochemical properties of the NiO nanospheres are investigated by cyclic voltammetry (CV) and galvanostatic charge/discharge tests. The specific reversible capacity of NiO nanospheres is 656 mA h g{sup −1} at 0.1 C, and 476 mA h g{sup −1} at 1 C. The improvement of electrochemical properties is attributed to nanosphere structure with large surface area and short ion/electron transfer path.« less

  15. Hierarchical assembly of Sm2Co7/Co magnetic nanoparticles into highly stable and uniform nanospheres.

    PubMed

    Saravanan, P; Sreedhar, B; Mishra, D; Perumal, A; Chandrasekaran, V

    2011-04-01

    Hierarchical assembly of colloidal Sm2Co7/Co clusters in the form of nanospheres has been processed through a polyol process. The SmCo nanospheres are found to be robust, uniform ( 100 nm) and tend to self-assemble in the form of ordered superstructures. Each nanosphere consists of large number of discrete fine particles ( 6.0 nm), having two-phase structure of both Sm2Co7 and Co-phases. Upon annealing, these phases transform into Sm2Co17 phase with very high magnetization (169 emu/g). A possible mechanism on the formation of nanospheres from the individual Sm2Co2o7 and Co nanoparticles is also discussed.

  16. Synthesis and structure determination of uranyl peroxide nanospheres in the presence of organic structure directing agents

    NASA Astrophysics Data System (ADS)

    Forbes, T. Z.; Burns, P. C.

    2007-12-01

    Recently, actinyl peroxide nanoclusters containing 20, 24, 28, or 32 actinyl polyhedra have been synthesized and their structures identified with single crystal X-ray diffraction [1]. Most nanomaterials are composed of main group elements or transition metals, therefore, these actinyl nanospheres may display vastly different chemical and physical properties due to the presence of filled f-orbitals. A major goal of our research group is to create novel actinyl materials, focusing on nano- and mesoporous materials. The original nanosphere syntheses were limited to inorganic crystallization agents, such as monovalent cations. Over the last decade, the use of organic compounds and surfactants have received increased attention as structure-directing agents for the generation of novel inorganic materials. Using structure-directing organic amines we have successfully synthesized and determined the structures of uranyl nanospheres containing 40 and 50 uranyl polyhedra. The topology of the skeletal U-50 nanosphere is identical to the C50Cl10 fullerene [2]. The topographical relationship between the actinyl nanospheres and fullerene or fullerene-like material may provide additional insight into stable configurations for lower fullerenes. [1] Burns et al., Actinyl peroxide nanospheres. Angewandte Chemie, International Edition, 2005. 44(14): p. 2135. [2] Xie et al., Capturing the Labile Fullerene[50] as C50Cl10. Science, (2004) 305(5671): p. 699.

  17. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  18. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  19. Synthesis of ZnO nanosphere for picomolar level detection of bovine serum albumin.

    PubMed

    Sasmal, Milan; Maiti, Tapas Kumar; Bhattacharyya, Tarun Kanti

    2015-01-01

    In this paper, we demonstrate an electrical detection technique based on solution processed zinc oxide nanosphere for ultra-low level detection of bovine serum albumin (BSA). Our sensor device works on the basis of the variation of conductance of the ZnO nanosphere with different concentration of BSA. The morphological and structural characterizations of ZnO nanosphere were carried out by transmission electron microscopy (TEM), scanning electron microscopy (SEM), and X-ray diffraction (XRD). Circular dichroism (CD) spectroscopy was performed to investigate the chemical interaction between the BSA and zinc oxide nanosphere. Optical detection was performed using absorbance and Fourier transform infrared spectroscopy (FTIR) studies. Our device exhibits sensitivity 0.126 nA/pM, lower limit of detection (LOD) 10 pM and the fast response time around 5 s, confirming the highest sensitivity for BSA detection achieved so far. Sensing mechanism is governed on the basis of the charge transfer phenomenon between BSA and ZnO. All measurements were carried out at 1 V bias for low power operation.

  20. Template-Free Hydrothermal Synthesis, Mechanism, and Photocatalytic Properties of Core-Shell CeO2 Nanospheres

    NASA Astrophysics Data System (ADS)

    Li, Huijie; Meng, Fanming; Gong, Jinfeng; Fan, Zhenghua; Qin, Rui

    2018-03-01

    CeO2 nanospheres with the core-shell nanostructure have been successfully synthesized by a template-free hydrothermal method. The structures, morphologies and optical properties of core-shell CeO2 nanospheres were analyzed by X-ray diffraction (XRD), TG, Fourier transform infrared spectroscopy, XRD, EDS, SAED, scanning electron microscopy and transmission electron microscopy, UV-Vis diffuse reflectance spectra, Raman analyses. The degradation efficiencies of core-shell CeO2 nanospheres for methyl orange were as high as 93.49, 95.67 and 98.28% within 160 min, and the rates of photo degradation of methyl orange by core-shell CeO2 nanospheres under UV-light were 0.01693, 0.01782 and 0.02375 min-1. Methyl orange was degraded in photocatalytic oxidation processes, which mainly gave the credit to a large number of reactive species including h+, surface superoxide species ·O2 -, and ·OH radicals. The core-shell structure, small crystallite size and the conversion between Ce3+ and Ce4+ of CeO2 nanospheres were of importance for its catalytic activity. These results demonstrated the possibility of improving the efficient catalysts of the earth abundant CeO2 catalysts.

  1. Formulation, characterization and evaluation of cyclodextrin-complexed bendamustine-encapsulated PLGA nanospheres for sustained delivery in cancer treatment.

    PubMed

    Gidwani, Bina; Vyas, Amber

    2016-03-01

    PLGA nanospheres are considered to be promising drug carrier in the treatment of cancer. Inclusion complex of bendamustine (BM) with epichlorohydrin beta cyclodextrin polymer was prepared by freeze-drying method. Phase solubility study revealed formation of AL type complex with stability constant (Ks = 645 M(-1)). This inclusion complex was encapsulated into PLGA nanospheres using solid-in-oil-in-water (S/O/W) technique. The particle size and zeta potential of PLGA nanospheres loaded with cyclodextrin-complexed BM were about 151.4 ± 2.53 nm and - 31.9 ± (-3.08) mV. In-vitro release study represented biphasic release pattern with 20% burst effect and sustained slow release. DSC studies indicated that inclusion complex incorporated in PLGA nanospheres was not in a crystalline state but existed in an amorphous or molecular state. The cytotoxicity experiment was studied in Z-138 cells and IC50 value was found to be 4.3 ± 0.11 µM. Cell viability studies revealed that the PLGA nanospheres loaded with complex exerts a more pronounced effect on the cancer cells as compared to the free drug. In conclusion, PLGA nanospheres loaded with inclusion complex of BM led to sustained drug delivery. The nanospheres were stable after 3 months of storage conditions with slight change in their particle size, zeta potential and entrapment efficiency.

  2. Synthesis of honeycomb MnO2 nanospheres/carbon nanoparticles/graphene composites as electrode materials for supercapacitors

    NASA Astrophysics Data System (ADS)

    Xiong, Yachao; Zhou, Min; Chen, Hao; Feng, Lei; Wang, Zhao; Yan, Xinzhu; Guan, Shiyou

    2015-12-01

    Improving the electrochemical performance of manganese dioxide (MnO2) electrodes is of great significance for supercapacitors. In this study, a novel honeycomb MnO2 nanospheres/carbon nanoparticles/graphene composites has been fabricated through freeze-drying method. The honeycomb MnO2 nanospheres are well inserted and dispersed on the graphene. Carbon nanoparticles in the composites act as spacers to effectively prevent graphene from restacking and agglomeration, construct efficient 3D conducting architecture with graphene for honeycomb MnO2 nanospheres, and alleviate the aggregation of honeycomb MnO2 nanospheres by separating them from each other. As a result, such honeycomb MnO2 nanospheres/carbon nanoparticles/graphene composites display much improved electrochemical capacitive performance of 255 F g-1 at a current density of 0.5 A g-1, outstanding rate capability (150 F g-1 remained at a current density of 20 A g-1) and good cycling stability (83% of the initial capacitance retained after 1000 charge/discharge cycles). The strategy for the synthesis of these composites is very effective.

  3. Nanospheric Chemotherapeutic and Chemoprotective Agents

    DTIC Science & Technology

    2008-09-01

    Rutgers scientists led by Prof. Joachim Kohn and TyRx Pharma, Inc., announced the FDA’s clearance of a new medical device for hernia repair that...significant decrease of the cell metabolic activity of KB cervical carcinoma cells was detected, confirming that these nanospheres do not induce any short...term cytotoxicity. Cell viability was analyzed by MTS colorimetric assay after 3 days. Figure 11: Metabolic activity of KB cervical carcinoma cells

  4. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  5. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  6. Electrochemical durability of heat-treated carbon nanospheres as catalyst supports for proton exchange membrane fuel cells.

    PubMed

    Lv, Haifeng; Wu, Peng; Wan, Wei; Mu, Shichun

    2014-09-01

    Carbon nanospheres is wildly used to support noble metal nanocatalysts in proton exchange membrane (PEM) fuel cells, however they show a low resistance to electrochemical corrosion. In this study, the N-doped treatment of carbon nanospheres (Vulcan XC-72) is carried out in ammonia gas. The effect of heating treatment (up to 1000 degrees C) on resistances to electrochemical oxidation of the N-doped carbon nanospheres (HNC) is investigated. The resistance to electrochemical oxidation of carbon supports and stability of the catalysts are investigated with potentiostatic oxidation and accelerated durability test by simulating PEM fuel cell environment. The HNC exhibit a higher resistance to electrochemical oxidation than traditional Vulcan XC-72. The results show that the N-doped carbon nanospheres have a great potential application in PEM fuel cells.

  7. A comparative analysis of localized and propagating surface plasmon resonance sensors: the binding of concanavalin a to a monosaccharide functionalized self-assembled monolayer.

    PubMed

    Yonzon, Chanda Ranjit; Jeoung, Eunhee; Zou, Shengli; Schatz, George C; Mrksich, Milan; Van Duyne, Richard P

    2004-10-06

    A comparative analysis of the properties of two optical biosensor platforms: (1) the propagating surface plasmon resonance (SPR) sensor based on a planar, thin film gold surface and (2) the localized surface plasmon resonance (LSPR) sensor based on surface confined Ag nanoparticles fabricated by nanosphere lithography (NSL) are presented. The binding of Concanavalin A (ConA) to mannose-functionalized self-assembled monolayers (SAMs) was chosen to highlight the similarities and differences between the responses of the real-time angle shift SPR and wavelength shift LSPR biosensors. During the association phase in the real-time binding studies, both SPR and LSPR sensors exhibited qualitatively similar signal vs time curves. However, in the dissociation phase, the SPR sensor showed an approximately 5 times greater loss of signal than the LSPR sensor. A comprehensive set of nonspecific binding studies demonstrated that this signal difference was not the consequence of greater nonspecific binding to the LSPR sensor but rather a systematic function of the Ag nanoparticle's nanoscale structure. Ag nanoparticles with larger aspect ratios showed larger dissociation phase responses than those with smaller aspect ratios. A theoretical analysis based on finite element electrodynamics demonstrates that this results from the characteristic decay length of the electromagnetic fields surrounding Ag nanoparticles being of comparable dimensions to the ConA molecules. Finally, an elementary (2 x 1) multiplexed version of an LSPR carbohydrate sensing chip to probe the simultaneous binding of ConA to mannose and galactose-functionalized SAMs has been demonstrated.

  8. A novel strategy for synthesis of hollow gold nanosphere and its application in electrogenerated chemiluminescence glucose biosensor.

    PubMed

    Zhong, Xia; Chai, Ya-Qin; Yuan, Ruo

    2014-10-01

    Well-distributed hollow gold nanospheres (Aushell@GOD) (20 ± 5 nm) were synthesized using the glucose oxidase (GOD) cross-linked with glutaraldehyde as a template. A glucose biosensor was prepared based on Aushell@GOD nanospheres for catalyzing luminol electrogenerated chemiluminescence (ECL). Firstly, chitosan was modified in a glassy carbon electrode which offered an interface of abundant amino-groups to assemble Aushell@GOD nanospheres. Then, glucose oxidase was adsorbed on the surface of Aushell@GOD nanospheres via binding interactions between Aushell and amino groups of GOD to construct a glucose biosensor. The Aushell@GOD nanospheres were investigated with TEM and UV-vis. The ECL behaviors of the biosensor were also investigated. Results showed that, the obtained Aushell@GOD nanospheres exhibited excellent catalytic effect towards the ECL of luminol-H2O2 system. The response of the prepared biosensor to glucose was linear with the glucose concentration in the range of 1.0 μM to 4.3mM (R=0.9923) with a detection limit of 0.3 μM (signal to noise=3). This ECL biosensor exhibited short response time and excellent stability for glucose. At the same time the prepared ECL biosensor showed good reproducibility, sensitivity and selectivity. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  10. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  11. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  12. Facile, general and template-free construction of monodisperse yolk-shell metal@carbon nanospheres.

    PubMed

    Xu, Fei; Lu, Yuheng; Ma, Junhao; Huang, Zhike; Su, Quanfei; Fu, Ruowen; Wu, Dingcai

    2017-11-07

    Herein, we report a general and template-free protocol to construct novel yolk-shell metal@carbon nanospheres based on confined interfacial copolymerization, which greatly simplifies the synthetic route, yields uniform nanospheres with controllable diameters, and results in highly porous carbon shells. The yolk-shell Au@carbon shows improved adsorption capacity and high catalytic ability due to the synergistic effect of Au and the porous carbon shell.

  13. Size-tailored synthesis of silver quasi-nanospheres by kinetically controlled seeded growth.

    PubMed

    Liu, Xiaxia; Yin, Yadong; Gao, Chuanbo

    2013-08-20

    This paper describes a simple and convenient procedure to synthesize monodisperse silver (Ag) quasi-nanospheres with size tunable in a range of 19-140 nm through a one-step seeded growth strategy. Acetonitrile was employed as a coordinating ligand of a Ag(I) salt in order to achieve a low concentration of elemental Ag after reduction and thus suppression of new nucleation events. Since the addition of the seeds significantly accelerates the reduction reaction of Ag(I) by ascorbic acid, the reaction kinetics was further delicately balanced by tuning the reaction temperature, which proved to be critical in producing Ag quasi-nanospheres with uniform size and shape. This synthesis is highly scalable, so that it provides a simple yet very robust process for producing Ag quasi-nanospheres for many biological, analytical, and catalytic applications which often demand samples in large quantity and widely tunable particle sizes.

  14. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  15. Synthesis, characterization and adsorptive performance of MgFe2O4 nanospheres for SO2 removal.

    PubMed

    Zhao, Ling; Li, Xinyong; Zhao, Qidong; Qu, Zhenping; Yuan, Deling; Liu, Shaomin; Hu, Xijun; Chen, Guohua

    2010-12-15

    A type of uniform Mg ferrite nanospheres with excellent SO(2) adsorption capacity could be selectively synthesized via a facile solvothermal method. The size of the MgFe(2)O(4) nanospheres was controlled to be 300-400 nm in diameter. The structural, textural, and surface properties of the adsorbent have been fully characterized by a variety of techniques (Brunauer-Emmett-Teller, BET; X-ray diffraction analysis, XRD; scanning electron microscopy, SEM; and energy-dispersive X-ray spectroscopy, EDS). The valence states and the surface chemical compositions of MgFe(2)O(4) nanospheres were further identified by X-ray photoelectron spectroscopy (XPS). The behaviors of SO(2) oxidative adsorption on MgFe(2)O(4) nanospheres were studied using Fourier transform infrared spectroscopy (FTIR). Both the sulfite and sulfate species could be formed on the surface of MgFe(2)O(4). The adsorption equilibrium isotherm of SO(2) was analyzed using a volumetric method at 298 K and 473 K. The results indicate that MgFe(2)O(4) nanospheres possess a good potential as the solid-state SO(2) adsorbent for applications in hot fuel gas desulfurization. Copyright © 2010 Elsevier B.V. All rights reserved.

  16. Brillouin Study of the Quantization of Acoustic Modes in Nanospheres

    NASA Astrophysics Data System (ADS)

    Kuok, M. H.; Lim, H. S.; Ng, S. C.; Liu, N. N.; Wang, Z. K.

    2003-06-01

    The vibrational modes in three-dimensional ordered arrays of unembedded SiO2 nanospheres have been studied by Brillouin light scattering. Multiple distinct Brillouin peaks are observed whose frequencies are found to be inversely proportional to the diameter (≈200 340 nm) of the nanospheres, in agreement with Lamb’s theory. This is the first Brillouin observation of acoustic mode quantization in a nanoparticle arising from spatial confinement. The distinct spectral peaks measured afford an unambiguous assignment of seven surface and inner acoustic modes. Interestingly, the relative intensities and polarization dependence of the Brillouin spectrum do not agree with the predictions made for Raman scattering.

  17. Brillouin study of the quantization of acoustic modes in nanospheres.

    PubMed

    Kuok, M H; Lim, H S; Ng, S C; Liu, N N; Wang, Z K

    2003-06-27

    The vibrational modes in three-dimensional ordered arrays of unembedded SiO2 nanospheres have been studied by Brillouin light scattering. Multiple distinct Brillouin peaks are observed whose frequencies are found to be inversely proportional to the diameter (approximately 200-340 nm) of the nanospheres, in agreement with Lamb's theory. This is the first Brillouin observation of acoustic mode quantization in a nanoparticle arising from spatial confinement. The distinct spectral peaks measured afford an unambiguous assignment of seven surface and inner acoustic modes. Interestingly, the relative intensities and polarization dependence of the Brillouin spectrum do not agree with the predictions made for Raman scattering.

  18. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  19. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  20. Mesoporous Cu2O-CeO2 composite nanospheres with enhanced catalytic activity for 4-nitrophenol reduction

    NASA Astrophysics Data System (ADS)

    Pang, Juanjuan; Li, Wenting; Cao, Zhenhao; Xu, Jingjing; Li, Xue; Zhang, Xiaokai

    2018-05-01

    In this paper, mesoporous Cu2O-CeO2 nanospheres were fabricated via a facile, low-temperature solution route in the presence of poly(2-vinylpyridine)-b-poly(ethylene Oxide) (P2VP-b-PEO) block copolymers. The prepared mesoporous Cu2O-CeO2 nanospheres were characterized systematically by scanning electron microscopy (SEM), X-ray diffraction (XRD), transmission electron microscopy (TEM), thermogravimetric analysis (TGA), X-ray photoelectron spectroscopy (XPS) and nitrogen adsorption/desorption. The formation mechanism of mesoporous Cu2O-CeO2 nanospheres was discussed. The results show that the molar ratios of Ce3+/Cu2+ and the reaction time have an important influence on the nanostructure of Cu2O-CeO2 composite spheres. The resultant Cu2O-CeO2 nanospheres exhibit superior catalytic activities in the reduction of 4-nitrophenol to 4-aminophenol by NaBH4. The activity factor (K = k/m) for the Cu2O-CeO2 nanospheres prepared with the molar ratio of Ce3+/Cu2+ of 5/1 is 3006.6 s-1 g-1, which is much higher than reported values. This paper demonstrates a highly controllable approach to the production of mesoporous Cu2O-CeO2 nanospheres, which have potential applications in the areas of catalysis, adsorption, sensors and so on.

  1. Research on the biological activity and doxorubicin release behavior in vitro of mesoporous bioactive SiO2-CaO-P2O5 glass nanospheres

    NASA Astrophysics Data System (ADS)

    Wang, Xiang; Wang, Gen; Zhang, Ying

    2017-10-01

    Mesoporous bioactive glass (MBG) nanospheres have been synthesized by a facile method of sacrificing template using cetyl trimethyl ammonium bromide (CTAB) as surfactant. The prepared MBG nanospheres possess high specific surface area (632 m2 g-1) as well as uniform size (∼100 nm). In addition, MBG nanospheres exhibited a quick in vitro bioactive response in simulated body fluids (SBF) and excellent bioactivity of inducing hydroxyapatite (HA) forming on the surface of MBG nanospheres. Furthermore, MBG nanospheres can sustain release of doxorubicin (DOX) with a higher encapsulation efficiency (63.6%) and show distinct degradation in PBS by releasing Si and Ca ions. The encapsulation efficiency and DOX release of MBG nanospheres could be controlled by mesoporous structure and local pH environment. The greater surface area and pore volumes of prepared MBG nanospheres are conducive to bioactive response and drug release in vitro. The amino groups in DOX can be easily protonated at acidic medium to become positively charged NH+3, which allow these drug molecules to be desorbed from the surface of MBG nanospheres via electrostatic effect. Therefore, the synthesized MBG nanospheres have a pH-sensitive drug release capability. In addition, the cytotoxicity of MBG nanospheres was assessed using a cell counting kit-8 (CCK-8), and results showed that the synthesized MBG nanospheres had no significant cytotoxicity to MC3T3 cells. These all indicated that as-prepared MBG nanospheres are promising candidates for bone tissue engineering.

  2. Hyaluronic acid coated poly-epsilon-caprolactone nanospheres deliver high concentrations of cyclosporine A into the cornea.

    PubMed

    Yenice, Irem; Mocan, Mehmet C; Palaska, Erhan; Bochot, Amélie; Bilensoy, Erem; Vural, Imran; Irkeç, Murat; Hincal, A Atilla

    2008-09-01

    The objective of this study was to determine cyclosporine A (Cy A) levels in ocular tissues and fluids after topical administration of poly-epsilon-caprolactone (PCL)/benzalkonium chloride (BKC) nanospheres and hyaluronic acid (HA) coated PCL/BKC nanospheres onto healthy rabbit corneas. Nanospheres were prepared by nanoprecipitation and purified by gradient-rate centrifugation. Cy A (0.1%) in either castor oil solution (group 1), PCL/BKC nanosphere formulation (group 2) or HA coated PCL/BKC nanosphere formulation (group 3) was instilled onto rabbit corneas. Tear samples were adsorbed onto Schirmer tear strips. Cy A concentrations of fluid (blood, aqueous humor, tear) and specimen extracts (cornea, conjunctiva, iris/ciliary body) were determined by high performance liquid chromatography-mass spectrometry (LC-MS). The mean corneal Cy A concentration obtained at 0.5, 1, 2, 4, 8 and 24h following instillation of the formulations ranged between 0.12 and 1.2 ng/mg tissue for group 1, 5.9-15.5 ng/mg tissue for group 2 and 11.4-23.0 ng/mg for group 3 (one-way analysis of variance (ANOVA) and pairwise tests (SNK (Student-Newman-Keuls) and Tukey); p<0.05). Conjunctival Cy A levels of group 2 and 3 were not significantly different at any of the time points tested. However, there was a significant difference between Cy A concentration of castor oil formulation and that of PCL/BKC nanosphere formulation at 1 and 8h (p<0.05). The mean iris/ciliary body concentrations obtained with the three formulations were not significantly different at any time point with the exception of group 2 levels being higher than those of groups 1 and 3 at 1h (p<0.05). The lowest ocular tear Cy A concentrations (16-114 ng/ml) were found following the instillation of HA coated PCL/BKC nanoparticles (group 3) during the time period tested. Cy A loaded PCL/BKC and HA coated PCL/BKC nanospheres are able to achieve high levels of Cy A in the cornea that is 10-15-fold higher than that is achieved with Cy A

  3. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  4. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  5. Hydrothermal synthesis of flower-like MoS2 nanospheres for electrochemical supercapacitors.

    PubMed

    Zhou, Xiaoping; Xu, Bin; Lin, Zhengfeng; Shu, Dong; Ma, Lin

    2014-09-01

    Flower-like MoS2 nanospheres were synthesized by a hydrothermal route. The structure and surface morphology of the as-prepared MoS2 was characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The supercapacitive behavior of MoS2 in 1 M KCl electrolyte was studied by means of cyclic voltammetry (CV), constant current charge-discharge cycling (CD) and electrochemical impedance spectroscopy (EIS). The XRD results indicate that the as-prepared MoS2 has good crystallinity. SEM images show that the MoS2 nanospheres have uniform sizes with mean diameter about 300 nm. Many nanosheets growing on the surface make the MoS2 nanospheres to be a flower-like structure. The specific capacitance of MoS2 is 122 F x g(-1) at 1 A x g(-1) or 114 F x g(-1) at 2 mv s(-1). All the experimental results indicate that MoS2 is a promising electrode material for electrochemical supercapacitors.

  6. Hollow raspberry-like PdAg alloy nanospheres: High electrocatalytic activity for ethanol oxidation in alkaline media

    NASA Astrophysics Data System (ADS)

    Peng, Cheng; Hu, Yongli; Liu, Mingrui; Zheng, Yixiong

    2015-03-01

    Palladium-silver (PdAg) alloy nanospheres with unique structure were prepared using a one-pot procedure based on the galvanic replacement reaction. Their electrocatalytic activity for ethanol oxidation in alkaline media was evaluated. The morphology and crystal structure of the samples were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM) and X-ray diffraction (XRD). Electrochemical characterization techniques, including cyclic voltammetry (CV) and chronoamperometry (CA) measurements were used to analyze the electrochemical performance of the PdAg alloy nanospheres. The SEM and TEM images showed that the PdAg alloy nanospheres exhibit a hierarchical nanostructure with hollow interiors and porous walls. Compared to the commercial Pd/C catalyst, the as-prepared PdAg alloy nanospheres exhibit superior electrocatalytic activity and stability towards ethanol electro-oxidation in alkaline media, showing its potential as a new non-Pt electro-catalyst for direct alcohol fuel cells (DAFCs).

  7. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  8. Recent Advances on Carbon Nanospheres. Synthetic Routes and Applications

    DOE PAGES

    Zhang, Pengfei; Qiao, Zhenan; Dai, Sheng

    2015-04-02

    Carbon-based materials are the most popular material types in both fundamental research and industrial applications, partly because of their well-controlled nano-morphologies. In the past two decades, we have witnessed a number of breakthroughs in carbon research: fullerenes, carbon nanotubes, and more recently graphene. Nowadays, carbon nanospheres are attracting more and more attention worldwide due to their excellent performance in various fields: drug delivery, heterogeneous catalysis, encapsulation of support and electrode materials. Actually, spherical carbon is an old material, whereas controlling carbon spheres in the nanometer range is a recent story. In the past 5 years, it has become possible tomore » precisely control the particle size, surface area, pore size, chemical composition, and dispersity of carbon nanospheres. Toward this end, a number of synthetic strategies are emerging, such as hydrothermal carbonization of biomass-based resources, extended Stöber synthesis, and organic–organic self-assembly via different binding methods. In this feature article, we summarize recent routes for carbon nanospheres and briefly touch on their applications to shed light on the potential of this field. Throughout this article, a special emphasis is placed on the possible modulation of spherical structures at the nanoscale, and we wish to inspire many more designs and applications of carbon nanostructures in the near future.« less

  9. Direct Synthesis of Silicon Nanowires, Silica Nanospheres, Wire-Like Nanosphere Agglomerates, and Silica-Based Nanotubes and Nanofiber Arrays

    DTIC Science & Technology

    2001-01-01

    decades, the vapor-liquid-solid (VLS) process, ’ 2 where gold particles act as a mediating solvent on a silicon substrate, forming a molten alloy, has...34Nanocatalysis: Selective Conversion of Ethanol to Acetaldehyde Using Monoatomically Dispersed Copper on Silica Nanospheres", Journal of Catalysis, submitted. 7.Sales literature, Cabot Corporation. C5.9.8 Nanoparticles in Biology

  10. Cisplatin-tethered gold nanospheres for multimodal chemo-radiotherapy of glioblastoma

    NASA Astrophysics Data System (ADS)

    Setua, Sonali; Ouberai, Myriam; Piccirillo, Sara G.; Watts, Colin; Welland, Mark

    2014-08-01

    Glioblastoma multiforme (GBM) remains the most aggressive and challenging brain tumour to treat. We report the first successful chemo-radiotherapy on patient derived treatment resistant GBM cells using a cisplatin-tethered gold nanosphere. After intracellular uptake, the nanosphere effects DNA damage which initiates caspase-mediated apoptosis in those cells. In the presence of radiation, both gold and platinum of cisplatin, serve as high atomic number radiosensitizers leading to the emission of ionizing photoelectrons and Auger electrons. This resulted in enhanced synergy between cisplatin and radiotherapy mediated cytotoxicity, and photo/Auger electron mediated radiosensitisation leading to complete ablation of the tumour cells in an in vitro model system. This study demonstrates the potential of designed nanoparticles to target aggressive cancers in the patient derived cell lines providing a platform to move towards treatment strategies.Glioblastoma multiforme (GBM) remains the most aggressive and challenging brain tumour to treat. We report the first successful chemo-radiotherapy on patient derived treatment resistant GBM cells using a cisplatin-tethered gold nanosphere. After intracellular uptake, the nanosphere effects DNA damage which initiates caspase-mediated apoptosis in those cells. In the presence of radiation, both gold and platinum of cisplatin, serve as high atomic number radiosensitizers leading to the emission of ionizing photoelectrons and Auger electrons. This resulted in enhanced synergy between cisplatin and radiotherapy mediated cytotoxicity, and photo/Auger electron mediated radiosensitisation leading to complete ablation of the tumour cells in an in vitro model system. This study demonstrates the potential of designed nanoparticles to target aggressive cancers in the patient derived cell lines providing a platform to move towards treatment strategies. Electronic supplementary information (ESI) available: Additional figures. See DOI: 10.1039/c

  11. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  12. Facile synthesis of Fe3O4@C hollow nanospheres and their application in polluted water treatment

    NASA Astrophysics Data System (ADS)

    Zhang, Yuanguang; Xu, Shihao; Xia, Hongyu; Zheng, Fangcai

    2016-11-01

    Nanostructured carbon-based materials, such as carbon nanotube arrays have shown respectable removal ability for heavy metal ions and organic dyes in aqueous solution. Although the carbon-based materials exhibited excellent removal ability, the separation of them from the aqueous solution is difficult and time-consuming. Here we demonstrated a novel and facile route for the large-scale fabrication of Fe3O4@C hollow nanospheres, with using ferrocene as a single reagent and SiO2 as a template. The as-prepared Fe3O4@C hollow nanospheres exhibited adsorption ability for heavy metal ions and organic dyes from aqueous solution, and can be easily separated by an external magnet. When the as-prepared Fe3O4@C hollow nanospheres were mixed with the aqueous solution of Hg2+ within 15 min, the removal efficiency was 90.3%. The as-prepared Fe3O4@C hollow nanospheres were also exhibited a high adsorption capacity (100%) as the adsorbent for methylene blue (MB). In addition, the as-prepared Fe3O4@C hollow nanospheres can be used as the recyclable sorbent for water treatment via a simple magnetic separation.

  13. Synthesis and characterization of carbon nanospheres obtained by hydrothermal carbonization of wood-derived and other saccharides

    Treesearch

    Qiangu Yan; Rui Li; Hossein Toghiani; Zhiyong Cai; Jilei Zhang

    2015-01-01

    Carbon nanospheres were synthesized by hydrothermal carbonization (HTC) of four different carbon sources: xylose, glucose, sucrose, and pine wood derived saccharides. The obtained carbon nanospheres were characterized for particle morphology and size, and surface functional groups. Morphological and structural differences among these saccharides derived HTC carbons...

  14. Periodically Arranged Arrays of Dendritic Pt Nanospheres Using Cage-Type Mesoporous Silica as a Hard Template.

    PubMed

    Kani, Kenya; Malgras, Victor; Jiang, Bo; Hossain, Md Shahriar A; Alshehri, Saad M; Ahamad, Tansir; Salunkhe, Rahul R; Huang, Zhenguo; Yamauchi, Yusuke

    2018-01-04

    Dendritic Pt nanospheres of 20 nm diameter are synthesized by using a highly concentrated surfactant assembly within the large-sized cage-type mesopores of mesoporous silica (LP-FDU-12). After diluting the surfactant solution with ethanol, the lower viscosity leads to an improved penetration inside the mesopores. After Pt deposition followed by template removal, the arrangement of the Pt nanospheres is a replication from that of the mesopores in the original LP-FDU-12 template. Although it is well known that ordered LLCs can form on flat substrates, the confined space inside the mesopores hinders surfactant self-organization. Therefore, the Pt nanospheres possess a dendritic porous structure over the entire area. The distortion observed in some nanospheres is attributed to the close proximity existing between neighboring cage-type mesopores. This new type of nanoporous metal with a hierarchical architecture holds potential to enhance substance diffusivity/accessibility for further improvement of catalytic activity. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Structural and Spectral Features of Selenium Nanospheres Produced by Se-Respiring Bacteria

    USGS Publications Warehouse

    Oremland, R.S.; Herbel, M.J.; Blum, J.S.; Langley, S.; Beveridge, T.J.; Ajayan, P.M.; Sutto, T.; Ellis, A.V.; Curran, S.

    2004-01-01

    Certain anaerobic bacteria respire toxic selenium oxyanions and in doing so produce extracellular accumulations of elemental selenium [Se(0)]. We examined three physiologically and phylogenetically diverse species of selenate- and selenite-respiring bacteria, Sulfurospirillum barnesii, Bacillus selenitireducens, and Selenihalanaerobacter shriftii, for the occurrence of this phenomenon. When grown with selenium oxyanions as the electron acceptor, all of these organisms formed extracellular granules consisting of stable, uniform nanospheres (diameter, ???300 nm) of Se(0) having monoclinic crystalline structures. Intracellular packets of Se(0) were also noted. The number of intracellular Se(0) packets could be reduced by first growing cells with nitrate as the electron acceptor and then adding selenite ions to washed suspensions of the nitrate-grown cells. This resulted in the formation of primarily extracellular Se nanospheres. After harvesting and cleansing of cellular debris, we observed large differences in the optical properties (UV-visible absorption and Raman spectra) of purified extracellular nanospheres produced in this manner by the three different bacterial species. The spectral properties in turn differed substantially from those of amorphous Se(0) formed by chemical oxidation of H2Se and of black, vitreous Se(0) formed chemically by reduction of selenite with ascorbate. The microbial synthesis of Se(0) nanospheres results in unique, complex, compacted nanostructural arrangements of Se atoms. These arrangements probably reflect a diversity of enzymes involved in the dissimilatory reduction that are subtly different in different microbes. Remarkably, these conditions cannot be achieved by current methods of chemical synthesis.

  16. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  17. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  18. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  19. Tumor suppressor roles of CENP-E and Nsl1 in Drosophila epithelial tissues.

    PubMed

    Clemente-Ruiz, Marta; Muzzopappa, Mariana; Milán, Marco

    2014-01-01

    Depletion of spindle assembly checkpoint (SAC) genes in Drosophila epithelial tissues leads to JNK-dependent programmed cell death and additional blockade of the apoptotic program drives tumorigenesis. A recent report proposes that chromosomal instability (CIN) is not the driving force in the tumorigenic response of the SAC-deficient tissue, and that checkpoint proteins exert a SAC-independent tumor suppressor role. This notion is based on observations that the depletion of CENP-E levels or prevention of Bub3 from binding to the kinetochore in Drosophila tissues unable to activate the apoptotic program induces CIN but does not cause hyperproliferation. Here we re-examined this proposal. In contrast to the previous report, we observed that depletion of CENP-E or Nsl1-the latter mediating kinetochore targeting of Bub3-in epithelial tissues unable to activate the apoptotic program induces significant levels of aneuploidy and drives tumor-like growth. The induction of the JNK transcriptional targets Wingless, a mitogenic molecule, and MMP1, a matrix metaloproteinase 1 involved in basement membrane degradation was also observed in these tumors. An identical response of the tissue was previously detected upon depletion of several SAC genes or genes involved in spindle assembly, chromatin condensation, and cytokinesis, all of which have been described to cause CIN. All together, these results reinforce the role of CIN in driving tumorigenesis in Drosophila epithelial tissues and question the proposed SAC-independent roles of checkpoint proteins in suppressing tumorigenesis. Differences in aneuploidy rates might explain the discrepancy between the previous report and our results.

  20. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  1. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  2. Nanoengineering of bioactive glasses: hollow and dense nanospheres

    NASA Astrophysics Data System (ADS)

    Luz, Gisela M.; Mano, João F.

    2013-02-01

    The possibility of engineering bioactive glass (BG) nanoparticles into suitable sizes and shapes represents a significant achievement regarding the development of new osteoconductive biomaterials for therapeutic strategies to replace or regenerate damaged mineralised tissues. Herein we report the structural and chemical evolution of sol-gel derived BG nanoparticles for both the binary (SiO2:CaO (mol%) = 70:30) and ternary (SiO2:CaO:P2O5 (mol%) = 55:40:5) formulations, in order to understand how the particles formation can be directed. Hollow BG nanospheres were obtained through Ostwald ripening. The presence of a non ionic surfactant, poly(ethylene glycol) (PEG), allowed the formation of dense BG nanospheres with controllable diameters depending on the molecular weight of PEG. A deep insight into the genesis of BG nanoparticles formation is essential to design BG based materials with controlled compositions, morphologies and sizes at the nanoscale, in order to improve their performance in orthopaedic applications including bone tissue engineering.

  3. Naproxen-imprinted xerogels in the micro- and nanospherical formsby emulsion technique.

    PubMed

    Ornelas, Mariana; Azenha, Manuel; Pereira, Carlos; Silva, A Fernando

    2015-11-27

    Naproxen-imprinted xerogels in the microspherical and nanospherical forms were prepared by W/O emulsion and microemulsion, respectively. The work evolved from a sol–gel mixture previously reported for bulk synthesis. It was relatively simple to convert the original sol–gel mixture to one amenable to emulsion technique. The microspheres thus produced presented mean diameter of 3.7 μm, surface area ranging 220–340 m2/g, selectivity factor 4.3 (against ibuprofen) and imprinting factor 61. A superior capacity (9.4 μmol/g) was found, when comparing with imprints obtained from similar pre-gelification mixtures. However, slow mass transfer kinetics was deduced from column efficiency results. Concerning the nanospherical format, which constituted the first example of the production of molecularly imprinted xerogels in that format by microemulsion technique, adapting the sol–gel mixture was troublesome. In the end, nanoparticles with diameter in the order of 10 nm were finally obtained, exhibiting good indications of an efficient molecular imprinting process. Future refinements are necessary to solve serious aggregation issues, before moving to more accurate characterization of the binding characteristics or to real applications of the nanospheres.

  4. Fractional Brownian motion of an Al nanosphere in liquid Al-Si alloy under electron-beam irradiation

    NASA Astrophysics Data System (ADS)

    Yokota, Takeshi; Howe, J. M.; Jesser, W. A.; Murayama, M.

    2004-05-01

    Fractional forces and Brownian motion are expected to govern the behavior of nanoscale metallic solids in liquids, but such systems have not been studied. We investigated the motion of a crystalline Al nanosphere inside a partially molten Al-Si alloy particle, using an electron beam to both stimulate and observe the motion of the nanosphere. The irregular motion observed was quantified as antipersistant fractional Brownian motion. Analysis of possible phenomena contributing to the motion demonstrates that the incident electrons provide the fractional force that moves the Al nanosphere and that gravity and the oxide shell on the partially molten particle cause the antipersistant behavior.

  5. Polymeric micelle assembly for the smart synthesis of mesoporous platinum nanospheres with tunable pore sizes.

    PubMed

    Li, Yunqi; Bastakoti, Bishnu Prasad; Malgras, Victor; Li, Cuiling; Tang, Jing; Kim, Jung Ho; Yamauchi, Yusuke

    2015-09-14

    A facile method for the fabrication of well-dispersed mesoporous Pt nanospheres involves the use of a polymeric micelle assembly. A core-shell-corona type triblock copolymer [poly(styrene-b-2-vinylpyridine-b-ethylene oxide), PS-b-P2VP-b-PEO] is employed as the pore-directing agent. Negatively charged PtCl4 (2-) ions preferably interact with the protonated P2VP(+) blocks while the free PEO chains prevent the aggregation of the Pt nanospheres. The size of the mesopores can be finely tuned by varying the length of the PS chain. Furthermore, it is demonstrated that the metallic mesoporous nanospheres thus obtained are promising candidates for applications in electrochemistry. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Fabrication of Worm-Like Nanorods and Ultrafine Nanospheres of Silver Via Solid-State Photochemical Decomposition

    PubMed Central

    2009-01-01

    Worm-like nanorods and nanospheres of silver have been synthesized by photochemical decomposition of silver oxalate in water by UV irradiation in the presence of CTAB and PVP, respectively. No external seeds have been employed for the synthesis of Ag nanorods. The synthesized Ag colloids have been characterized by UV-visible spectra, powder XRD, HRTEM, and selected area electron diffraction (SAED). Ag nanospheres of average size around 2 nm have been obtained in the presence of PVP. XRD and TEM analyses revealed that top and basal planes of nanorods are bound with {111} facets. Williamson–Hall plot has revealed the presence of defects in the Ag nanospheres and nanorods. Formation of defective Ag nanocrystals is attributed to the heating effect of UV-visible irradiation. PMID:20596513

  7. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  8. Interface-mediated fabrication of bowl-like and deflated ballon-like hollow carbon nanospheres.

    PubMed

    Zhang, Haijiao; Li, Xia

    2015-08-15

    In our work, two kinds of hollow carbon nanospheres with controlled morphologies have been successfully prepared from low-cost and nontoxic glucose as the sole carbon precursor under neutral aqueous medium via a simple hydrothermal route. During the process, sodium dodecylbenzene sulfonate (SDBS) and triblock copolymer P123 ((EO)20(PO)70(EO)20) was skillfully selected as the structure-directing agent, respectively. SEM, TEM and AFM results revealed that the two products showed bowl-like and deflated-balloon-like morphology with uniform particle sizes, respectively. Based on the experimental observations, a possible formation mechanism was also discussed, in which the growth of the carbon nanospheres involved an interface-medicated assembly process. The present method was easy, green and mild. Apart from the unique nanostructure, the obtained bowl-like hollow carbon nanospheres exhibited excellent biocompatibility. In particular, it should be mentioned that the open window formed by the bowl-like morphology can facilitate ion transport, thus improving their performances. Copyright © 2015 Elsevier Inc. All rights reserved.

  9. In vitro studies of serum albumin interaction with poly(D,L-lactide) nanospheres loaded by hydrophobic cargo.

    PubMed

    Pietkiewicz, Jadwiga; Wilk, Kazimiera A; Bazylińska, Urszula

    2016-01-05

    The various polymer-based nanocarriers are very attractive for in vitro and in vivo bioapplications. A new type of a promising drug delivery systems for cancer tissues-poly(D,L-lactide) nanospheres stabilized with Cremophor EL and loaded with hydrophobic cyanines (IR-780 or ZnPc) or curcumin (CUR) were fabricated by the nanoprecipitation method. The Cremophor EL/PLA/water nanospheres demonstrated regular shape, low polydispersity (PdI<0.3) and high entrapment efficiency of selected cargo (over 90%). The size of those nanoconstructs below 130 nm are in the desired nanocarriers size range for tumor delivery. Low level of in vitro drug release from loaded nanospheres after long-time storage indicates their good stability. The half-life of nanocarriers in the circulation, and their biodistribution after parenteral administration are associated with the ability of plasma proteins adsorption. For these reasons the affinity of obtained nanospheres for albumin as a major plasma protein was in vitro investigated. The binding of nanocarrier containing cyanine IR-780 with albumin immobilized in the wells of polystyrene plate occurred with lower efficiency than analogs loaded with ZnPc or CUR. Similar relationships were observed after UV-vis spectra analysis of nanospheres in the presence of albumin at various protein concentrations. Copyright © 2015 Elsevier B.V. All rights reserved.

  10. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  11. Transparent, flexible, and high-performance supercapacitor based on ultrafine nickel cobaltite nanospheres

    NASA Astrophysics Data System (ADS)

    Liu, Xinyue; Wang, Jianxing; Yang, Guowei

    2017-07-01

    There has been growing interest in transparent and flexible electronic devices such as wrist watch, cell phone, and so on. These devices need the power sources which also have transparent and flexible features. Here, we demonstrate a transparent and flexible energy storage device with outstanding electrochemical performance, high energy density, and super-long life based on ultrafine NiCo2O4 nanospheres which are synthesized by an innovative method concerning laser ablation in liquid and hydrothermal process. The ultrafine NiCo2O4 nanospheres provide high electrochemical activity and the synthesized colloidal solution is suitable for transparent devices. The transparent and flexible device shows a high specific capacitance of 299.7 F/g at the scan rate of 1 mV/s and a long cycling life of 90.4% retention rate after 10,000 cycles at a scan rate of 10 mV/s, which is superior to that of previously reported transparent and flexible energy storage device. In addition, an optical transmittance up to 55% at the wavelength of 550 nm is obtained, and the bending test shows that the bending angle makes no difference to the specific capacitance of the device. In addition, it shows an outstanding energy density of 10.41 Wh/kg. The integrated electrochemical performances of the device are good based on NiCo2O4 nanospheres. These findings make the ultrafine NiCo2O4 nanospheres being promising electrode materials for transparent and flexible energy storage devices.

  12. Immunization against leishmaniasis by PLGA nanospheres encapsulated with autoclaved Leishmania major (ALM) and CpG-ODN.

    PubMed

    Tafaghodi, Mohsen; Khamesipour, Ali; Jaafari, Mahmoud R

    2011-05-01

    Various adjuvants and delivery systems have been evaluated for increasing the protective immune responses against leishmaniasis and mostly have been shown not to be effective enough. In this study, poly(D,L-lactide-co-glycolide) (PLGA) nanospheres as an antigen delivery system and CpG-ODN as an immunoadjuvant have been used for the first time to enhance the immune response against autoclaved Leishmania major (ALM). PLGA nanospheres were prepared by a double-emulsion (W/O/W) technique. Particulate characteristics were studied by scanning electron microscopy and particle size analysis. Mean diameter of ALM + CpG-ODN-loaded nanospheres was 300 ± 128 nm. BALB/c mice were immunized three times in 3-week intervals using ALM plus CpG-ODN-loaded nanospheres [(ALM + CpG-ODN)(PLGA)], ALM encapsulated PLGA nanospheres [(ALM)(PLGA)], (ALM)(PLGA) + CpG, ALM + CpG, ALM alone, or phosphate buffer solution (PBS). The intensity of infection induced by L. major challenge was assessed by measuring size of footpad swelling. The strongest protection, showed by significantly (P<0.05) smaller footpad, was observed in mice immunized with (ALM + CpG-ODN)(PLGA). The (ALM)(PLGA), (ALM)(PLGA) + CpG, and ALM + CpG were also showed a significantly (P<0.05) smaller footpad swelling compared to the groups received either PBS or ALM alone. The mice immunized with (ALM + CpG-ODN)(PLGA), (ALM)(PLGA) + CpG, and ALM + CpG showed the highest IgG2a/IgG1 ratio, interferon-γ production, and lowest interleukin-4 production compared to the other groups. It is concluded that when both PLGA nanospheres and CpG-ODN adjuvants were used simultaneously, it induce stronger immune response and enhance protection rate against Leishmania infection.

  13. A theoretical investigation of the influence of gold nanosphere size on the decay and energy transfer rates and efficiencies of quantum emitters.

    PubMed

    Marocico, Cristian A; Zhang, Xia; Bradley, A Louise

    2016-01-14

    We present in this contribution a comprehensive investigation of the effect of the size of gold nanospheres on the decay and energy transfer rates of quantum systems placed close to these nanospheres. These phenomena have been investigated before, theoretically and experimentally, but no comprehensive study of the influence of the nanoparticle size on important dependences of the decay and energy transfer rates, such as the dependence on the donor-acceptor spectral overlap and the relative positions of the donor, acceptor, and nanoparticle, exists. As such, different accounts of the energy transfer mechanism have been presented in the literature. We perform an investigation of the energy transfer mechanisms between emitters and gold nanospheres and between donor-acceptor pairs in the presence of the gold nanospheres using a Green's tensor formalism, experimentally verified in our lab. We find that the energy transfer rate to small nanospheres is greatly enhanced, leading to a strong quenching of the emission of the emitter. When the nanosphere size is increased, it acts as an antenna, increasing the emission of the emitter. We also investigate the emission wavelength and intrinsic quantum yield dependence of the energy transfer to the nanosphere. As evidenced from the literature, the energy transfer process between the quantum system and the nanosphere can have a complicated distance dependence, with a r(-6) regime, characteristic of the Förster energy transfer mechanism, but also exhibiting other distance dependences. In the case of a donor-acceptor pair of quantum systems in the presence of a gold nanosphere, when the donor couples strongly to the nanosphere, acting as an enhanced dipole; the donor-acceptor energy transfer rate then follows a Förster trend, with an increased Förster radius. The coupling of the acceptor to the nanosphere has a different distance dependence. The angular dependence of the energy transfer efficiency between donor and acceptor

  14. Periodic organosilica hollow nanospheres as anode materials for lithium ion rechargeable batteries

    NASA Astrophysics Data System (ADS)

    Sasidharan, Manickam; Nakashima, Kenichi; Gunawardhana, Nanda; Yokoi, Toshiyuki; Ito, Masanori; Inoue, Masamichi; Yusa, Shin-Ichi; Yoshio, Masaki; Tatsumi, Takashi

    2011-11-01

    Polymeric micelles with core-shell-corona architecture have been found to be the efficient colloidal templates for synthesis of periodic organosilica hollow nanospheres over a broad pH range from acidic to alkaline media. In alkaline medium, poly (styrene-b-[3-(methacryloylamino)propyl] trimethylammonium chloride-b-ethylene oxide) (PS-PMAPTAC-PEO) micelles yield benzene-silica hollow nanospheres with molecular scale periodicity of benzene groups in the shell domain of hollow particles. Whereas, an acidic medium (pH 4) produces diverse hollow particles with benzene, ethylene, and a mixture of ethylene and dipropyldisulfide bridging functionalities using poly(styrene-b-2-vinyl pyridine-b-ethylene oxide) (PS-PVP-PEO) micelles. These hollow particles were thoroughly characterized by powder X-ray diffraction (XRD), dynamic light scattering (DLS), thermogravimetric analysis (TG/DTA), Fourier transformation infrared (FTIR) spectroscopy, transmission electron microscopy (TEM), magic angle spinning-nuclear magnetic resonance (29Si MAS NMR and 13CP-MAS NMR), Raman spectroscopy, and nitrogen adsorption/desorption analyses. The benzene-silica hollow nanospheres with molecular scale periodicity in the shell domain exhibit higher cycling performance of up to 300 cycles in lithium ion rechargeable batteries compared with micron-sized dense benzene-silica particles.Polymeric micelles with core-shell-corona architecture have been found to be the efficient colloidal templates for synthesis of periodic organosilica hollow nanospheres over a broad pH range from acidic to alkaline media. In alkaline medium, poly (styrene-b-[3-(methacryloylamino)propyl] trimethylammonium chloride-b-ethylene oxide) (PS-PMAPTAC-PEO) micelles yield benzene-silica hollow nanospheres with molecular scale periodicity of benzene groups in the shell domain of hollow particles. Whereas, an acidic medium (pH 4) produces diverse hollow particles with benzene, ethylene, and a mixture of ethylene and

  15. Influence of two different template removal methods on the micromorphology, crystal structure, and photocatalytic activity of hollow CdS nanospheres

    NASA Astrophysics Data System (ADS)

    Wang, Han; Jin, Tingting; Zheng, Xing; Jiang, Bo; Zhu, Chaosheng; Yuan, Xiangdong; Zheng, Jingtang; Wu, Mingbo

    2016-11-01

    Hollow cadmium sulfide (CdS) nanospheres of about 260 nm average diameters and about 30 nm shell thickness can be easily synthesized via a sonochemical process, in which polystyrene (PS) nanoparticles were employed as templates. In order to remove the PS templates, both etching and calcination were applied in this paper. The influence of the two different template removal methods on the surface micromorphology, crystal structure, and photocatalytic activity of hollow CdS nanospheres was carefully performed a comparative study. Results of X-ray diffraction, scanning electron microscopy, transmission electron microscopy, energy dispersive X-ray, FT-IR, thermogravimetric analysis, Brunauer-Emmett-Teller, diffused reflectance spectra, and decolorization experiments showed that the different template removal methods exhibited a significant influence on the surface micromorphology, crystal structure, and photocatalytic activity of hollow CdS nanospheres. The CdS hollow nanospheres as-prepared by etching had pure cubic sphalerite structure, higher -OH content, less defects and exhibited good photocatalytic activity for rhodamine-B, Methylene Blue and methyl orange under UV-vis light irradiation. However, CdS hollow nanospheres obtained by calcination with a hexagonal crystal structure, less -OH content, more defects have shown worse photocatalytic activity. This indicated that surface micromorphology and crystalline phase were mainly factors influencing photocatalytic activity of hollow CdS nanospheres.

  16. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  17. Biosensing via light scattering from plasmonic core-shell nanospheres coated with DNA molecules

    NASA Astrophysics Data System (ADS)

    Xie, Huai-Yi; Chen, Minfeng; Chang, Yia-Chung; Moirangthem, Rakesh Singh

    2017-05-01

    We present both experimental and theoretical studies for investigating DNA molecules attached on metallic nanospheres. We have developed an efficient and accurate numerical method to investigate light scattering from plasmonic nanospheres on a substrate covered by a shell, based on the Green's function approach with suitable spherical harmonic basis. Next, we use this method to study optical scattering from DNA molecules attached to metallic nanoparticles placed on a substrate and compare with experimental results. We obtain fairly good agreement between theoretical predictions and the measured ellipsometric spectra. The metallic nanoparticles were used to detect the binding with DNA molecules in a microfluidic setup via spectroscopic ellipsometry (SE), and a detectable change in ellipsometric spectra was found when DNA molecules are captured on Au nanoparticles. Our theoretical simulation indicates that the coverage of Au nanosphere by a submonolayer of DNA molecules, which is modeled by a thin layer of dielectric material (which may absorb light), can lead to a small but detectable spectroscopic shift in both the Ψ and Δ spectra with more significant change in Δ spectra in agreement with experimental results. Our studies demonstrated the ultrasensitive capability of SE for sensing submonolayer coverage of DNA molecules on Au nanospheres. Hence the spectroscopic ellipsometric measurements coupled with theoretical analysis via an efficient computation method can be an effective tool for detecting DNA molecules attached on Au nanoparticles, thus achieving label-free, non-destructive, and high-sensitivity biosensing with nanoscale resolution.

  18. Fano resonances in heterogeneous dimers of silicon and gold nanospheres

    NASA Astrophysics Data System (ADS)

    Zhao, Qian; Yang, Zhong-Jian; He, Jun

    2018-06-01

    We theoretically investigate the optical properties of dimers consisting of a gold nanosphere and a silicon nanosphere. The absorption spectrum of the gold sphere in the dimer can be significantly altered and exhibits a pronounced Fano profile. Analytical Mie theory and numerical simulations show that the Fano profile is induced by constructive and destructive interference between the incident electric field and the electric field of the magnetic dipole mode of the silicon sphere in a narrow wavelength range. The effects of the silicon sphere size, distance between the two spheres, and excitation configuration on the optical responses of the dimers are studied. Our study reveals the coherent feature of the electric fields of magnetic dipole modes in dielectric nanostructures and the strong interactions of the coherent fields with other nanophotonic structures.

  19. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  20. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  1. Synthesis of magnetic nickel spinel ferrite nanospheres by a reverse emulsion-assisted hydrothermal process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Jilin; Shi Jianxin, E-mail: chemshijx@163.co; Gong Menglian

    2009-08-15

    Nickel ferrite nanospheres were successfully synthesized by a reverse emulsion-assisted hydrothermal method. The reverse emulsion was composed of water, cetyltrimethyl ammonium bromide, polyoxyethylene(10)nonyl phenyl ether, iso-amyl alcohol and hexane. During the hydrothermal process, beta-FeO(OH) and Ni{sub 0.75}Fe{sub 0.25}(CO{sub 3}){sub 0.125}(OH){sub 2}.0.38H{sub 2}O (INCHH) nanorods formed first and then transformed into nickel spinel ferrite nanospheres. The phase transformation mechanism is proposed based on the results of X-ray powder diffraction, transmission electron microscopy and energy-dispersive X-ray spectroscopy, etc. Nickel ferrite may form at the end of the INCHH nanorods or from the solution accompanied by the dissolution of beta-FeO(OH) and INCHH nanorods.more » The X-ray photoelectron spectroscopy analysis shows that a few Fe{sup 3+} ions have been reduced to Fe{sup 2+} ions during the formation of nickel ferrite. The maximum magnetization of the nickel ferrite nanospheres obtained after hydrothermal reaction for 30 h is 55.01 emu/g, which is close to that of bulk NiFe{sub 2}O{sub 4}. - Graphical abstract: Nickel ferrite nanospheres were obtained through a reverse emulsion-assisted hydrothermal process. The phase transformation as a function of reaction time was studied based on the XRD, TEM and EDS analyses.« less

  2. Synthesis and characterization of ZnS@Fe3O4 fluorescent-magnetic bifunctional nanospheres

    NASA Astrophysics Data System (ADS)

    Koc, Kenan; Karakus, Baris; Rajar, Kausar; Alveroglu, Esra

    2017-10-01

    Herein, we synthesized and characterized fluorescent and super paramagnetic ZnS@Fe3O4 nanospheres. First, (3-mercaptopropyl) trimethoxysilane (MPS) capped ZnS quantum dots (QDs) and SiO2 coated Fe3O4 nanoparticles were synthesized separately by using solution growth and co-precipitation techniques. After synthesis and characterization of these two nanoparticles, they were conglutinated together in a nano sized sphere. The QDs were attached to the surface of the Fe3O4 nanoparticles by Sisbnd Osbnd Si bonds and so Sisbnd Osbnd Si bonds created a SiO2 network around the nanoparticles during the formation of the ZnS@Fe3O4 nanospheres. The synthesized MPS capped ZnS fluorescent QDs, SiO2 coated magnetite super paramagnetic nanoparticles and ZnS@Fe3O4 fluorescent-magnetic bifunctional nanospheres were characterized by using UV-Vis Absorption Spectroscopy, Fluorescence Spectroscopy, X-ray analysis, Vibrating Sample Magnetometer analysis, Attenuated Total Reflection-Fourier Transform Infrared Spectroscopy, Scanning Electron Microscope and Energy-dispersive X-ray spectroscopy. ZnS@Fe3O4 bifunctional nanospheres were shown to retain the magnetic properties of magnetite, while exhibiting the luminescent optical properties of ZnS nanoparticles. The combination of fluorescent and magnetic behaviors of nano composites make them useful for potential applications in the field of bio-medical and environmental.

  3. Plasmonic based light manipulation and applications in AIGaN deep-UV devices (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Yin, Jun; Li, Jing; Kang, Junyong

    2016-09-01

    Recently, surface plasmon (SP)-exciton coupling has been wildly applied in nitride semiconductors in order to improve the spontaneous radiative recombination rate [1-3]. However, most works have been focused on the emission enhancement in InGaN-based blue or green light emitting diodes (LEDs). Practically, it is significantly important to improve the emission efficiency in deep-UV AlGaN-base quantum well (QW) structure due to its intrinsically low internal quantum efficiency (IQE) induced by the high defect density in its epitaxy layer [4]. But, the effective SP-exciton coupling with matched energy in deep-UV region is still a challenge issue due to the lack of appropriate metal structures and compatible fabrication techniques. In this work, the Al nanoparticles (NPs) were introduced by the nanosphere lithography (NSL) and deposition techniques into the AlGaN based MQWs with optimized size and structure. Due to the local surface plasmon (LSP) coupling with the excitons in QWs, emission enhancement in deep UV region has been achieved in the Al NPs decorated AlGaN MQWs structure with comparison to the bare MQWs. Theoretical calculations on the energy subbands of AlGaN QWs were further carried out to investigate the corresponding mechanisms, in which the hot carrier transition activated by SP-exciton coupling was believed to be mainly responsible for the enhancement. This work demonstrated a low cost, wafer scale fabrication process, which can be potentially employed to the practical SP-enhanced AlGaN-based deep UV LEDs with high IQEs.

  4. Periodic organosilica hollow nanospheres as anode materials for lithium ion rechargeable batteries.

    PubMed

    Sasidharan, Manickam; Nakashima, Kenichi; Gunawardhana, Nanda; Yokoi, Toshiyuki; Ito, Masanori; Inoue, Masamichi; Yusa, Shin-ichi; Yoshio, Masaki; Tatsumi, Takashi

    2011-11-01

    Polymeric micelles with core-shell-corona architecture have been found to be the efficient colloidal templates for synthesis of periodic organosilica hollow nanospheres over a broad pH range from acidic to alkaline media. In alkaline medium, poly (styrene-b-[3-(methacryloylamino)propyl] trimethylammonium chloride-b-ethylene oxide) (PS-PMAPTAC-PEO) micelles yield benzene-silica hollow nanospheres with molecular scale periodicity of benzene groups in the shell domain of hollow particles. Whereas, an acidic medium (pH 4) produces diverse hollow particles with benzene, ethylene, and a mixture of ethylene and dipropyldisulfide bridging functionalities using poly(styrene-b-2-vinyl pyridine-b-ethylene oxide) (PS-PVP-PEO) micelles. These hollow particles were thoroughly characterized by powder X-ray diffraction (XRD), dynamic light scattering (DLS), thermogravimetric analysis (TG/DTA), Fourier transformation infrared (FTIR) spectroscopy, transmission electron microscopy (TEM), magic angle spinning-nuclear magnetic resonance ((29)Si MAS NMR and (13)CP-MAS NMR), Raman spectroscopy, and nitrogen adsorption/desorption analyses. The benzene-silica hollow nanospheres with molecular scale periodicity in the shell domain exhibit higher cycling performance of up to 300 cycles in lithium ion rechargeable batteries compared with micron-sized dense benzene-silica particles.

  5. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  6. Formation of nickel-doped magnetite hollow nanospheres with high specific surface area and superior removal capability for organic molecules

    NASA Astrophysics Data System (ADS)

    Li, Zhenhu; Ma, Yurong; Qi, Limin

    2016-12-01

    A strategy for the formation of magnetic Ni x Fe3-x O4 hollow nanospheres with very high specific surface areas was designed through a facile solvothermal method in mixed solvents of ethylene glycol and water in this work. The Ni/Fe ratios and the crystal phases of the Ni x Fe3-x O4 hollow nanocrystals can be readily tuned by changing the molar ratios of Ni to Fe in the precursors. An inside-out Ostwald ripening mechanism was proposed for the formation of uniform Ni x Fe3-x O4 hollow nanospheres. Moreover, the obtained Ni x Fe3-x O4 hollow nanospheres exhibited excellent adsorption capacity towards organic molecules such as Congo red in water. The maximum adsorption capacities of Ni x Fe3-x O4 hollow nanospheres for Congo red increase dramatically from 263 to 500 mg g-1 with the increase of the Ni contents (x) in Ni x Fe3-x O4 hollow nanospheres from 0.2 to 0.85. The synthesized Ni x Fe3-x O4 nanoparticles can be potentially applied for waste water treatment.

  7. Facile synthesis of PdSx/C porous nanospheres and their applications for ethanol oxidation reaction

    NASA Astrophysics Data System (ADS)

    Zhang, Qiang; Zhang, Fuhua; Ma, Xuemei; Zheng, Yiqun; Hou, Shifeng

    2016-12-01

    We report a facile approach for the synthesis of carbon-supported palladium polysulphide porous nanospheres (PdSx/C) and their applications for ethanol oxidation reaction. Typical synthesis started with generation of palladium/poly (3,4-ethylenedioxythiophene)(Pd/PEDOT) nanospheres, followed by a calcination process at an optimized temperature to form PdSx/C, with an average size of 2.47 ± 0.60 and 50 nm of PdSx nanoparticles and carbon porous nanospheres, respectively. Various techniques, such as scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and electrochemical techniques were performed to characterize their morphologies, compositions and structures. In contrary to most Pd-based electrochemical catalysts that could be easily poised with trace sulfur during the catalytic oxidation process, the as-prepared PdSx/C porous nanospheres exhibited high electrocatalytic activities and stabilities for the electrochemical catalytic oxidation of ethanol in alkaline medium. In particular, the forward peak current intensity achieved 162.1 mA mg-1 and still maintained at 46.7 mA mg-1 even after 1000 cycles. This current work not only offers a novel type of fuel-cell catalyst for ethanol oxidation reaction, but also provides a possible route for solving the sulfur-poisoning problem in catalysis.

  8. A facile and green approach for the controlled synthesis of porous SnO₂ nanospheres: application as an efficient photocatalyst and an excellent gas sensing material.

    PubMed

    Manjula, P; Boppella, Ramireddy; Manorama, Sunkara V

    2012-11-01

    A facile and elegant methodology invoking the principles of Green Chemistry for the synthesis of porous tin dioxide nanospheres has been described. The low-temperature (∼50 °C) synthesis of SnO₂ nanoparticles and their self-assembly into organized, uniform, and monodispersed porous nanospheres with high surface area is facilitated by controlling the concentration of glucose, which acts as a stabilizing as well as structure-directing agent. A systematic control on the stannate to glucose molar concentration ratio determines the exact conditions to obtain monodispersed nanospheres, preferentially over random aggregation. Detailed characterization of the structure, morphology, and chemical composition reveals that the synthesized material, 50 nm SnO₂ porous nanospheres possess BET surface area of about 160 m²/g. Each porous nanosphere consists of a few hundred nanoparticles ∼2-3 nm in diameter with tetragonal cassiterite crystal structure. The SnO₂ nanospheres exhibit elevated photocatalytic activity toward methyl orange with good recyclability. Because of the high activity and stability of this photocatalyst, the material is ideal for applications in environmental remediation. Moreover, SnO₂ nanospheres display excellent gas sensing capabilities toward hydrogen. Surface modification of the nanospheres with Pd transforms this sensing material into a highly sensitive and selective room-temperature hydrogen sensor.

  9. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  10. Immunization against leishmaniasis by PLGA nanospheres loaded with an experimental autoclaved Leishmania major (ALM) and Quillaja saponins.

    PubMed

    Tafaghodi, M; Eskandari, M; Kharazizadeh, M; Khamesipour, A; Jaafari, M R

    2010-12-01

    Immune responses against the Leishmania antigens are not sufficient to protect against a leishmania challenge. Therefore these antigens need to be potentiated by various adjuvants and delivery systems. In this study, Poly (d,l-lactide-co-glycolide (PLGA) nanospheres as antigen delivery system and Quillaja saponins (QS) as immunoadjuvant have been used to enhance the immune response against autoclaved Leishmania major (ALM). PLGA nanospheres were prepared by a double-emulsion (W/O/W) technique. Particulate characteristics were studied by scanning electron microscopy and particle size analysis. Mean diameter for nanospheres loaded with ALM+QS was 294 ± 106 nm. BALB/c mice were immunized three times in 3-weeks intervals using ALM plus QS loaded nanospheres [(ALM+QS)PLGA], ALM encapsulated with PLGA nanospheres [(ALM)PLGA], (ALM)PLGA + QS, ALM + QS, ALM alone or PBS. The intensity of infection induced by L. major challenge was assessed by measuring size of footpad swelling. The strongest protection, showed by significantly (P < 0.05) smaller footpad, were observed in mice immunized with (ALM)PLGA. The (ALM+QS)PLGA group showed the least protection and highest swelling, while the (ALM)PLGA+QS, ALM+QS and ALM showed an intermediate protection with no significant difference. The mice immunized with ALM and ALM+QS showed the highest IgG2a/IgG1 ratio (P < 0.01), followed by (ALM)PLGA+QS. The highest IFN-γ and lowest IL-4 production was seen in (ALM)PLGA+QS, ALM+QS groups. The highest parasite burden was observed in (ALM)PLGA+QS and (ALM+QS)PLGA groups. It is concluded that PLGA nanospheres as a vaccine delivery system could increase the protective immune responses, but QS adjuvant has a reverse effect on protective immune responses and the least protective responses were seen in the presence of this adjuvant.

  11. [INVITED] Highly sensitive LSPR based photonic crystal fiber sensor with embodiment of nanospheres in different material domain

    NASA Astrophysics Data System (ADS)

    Paul, D.; Biswas, R.

    2018-05-01

    We report a highly sensitive Localized surface plasmon resonance (LSPR) based photonic crystal fiber (PCF) sensor by embedding an array of gold nanospheres into the first layer of air-holes of PCF. We present a comprehensive analysis on the basis of progressive variation of refractive indices of analytes as well as sizes of the nanospheres. In the proposed sensing scheme, refractive indices of the analytes have been changed from 1 to 1.41(RIU), accompanied by alteration of the sizes of nanospheres ranging 40-70 nm. The entire study has been executed in the context of different material based PCFs (viz. phosphate and crown) and the corresponding results have been analyzed and compared. We observe a declining trend in modal loss in each set of PCFs with increment of RI of the analyte. Lower loss has been observed in case of crown based PCF. The sensor shows highest sensitivity ∼27,000 nm/RIU for crown based PCF for nanosphere of 70 nm with average wavelength interrogation sensitivity ∼5333.53 nm/RIU. In case of phosphate based PCF, highest sensitivity is found to be ∼18,000 nm/RIU with an average interrogation sensitivity ∼4555.56 nm/RIU for 40 nm of Au nanosphere. Moreover, the additional sensing parameters have been observed to highlight the better design of the modelled LSPR based photonic crystal fiber sensor. As such, the resolution (R), limit of detection (LOD) and sensitivity (S) of the proposed sensor in each case (viz. phosphate and crown PCF) have been discussed by using wavelength interrogation technique. The proposed study provides a basis for detailed investigation of LSPR phenomenon for PCF utilizing noble metal nanospheres (AuNPs).

  12. Comparative study of photothermolysis of cancer cells with nuclear-targeted or cytoplasm-targeted gold nanospheres: continuous wave or pulsed lasers

    NASA Astrophysics Data System (ADS)

    Huang, Xiaohua; Kang, Bin; Qian, Wei; Mackey, Megan A.; Chen, Po C.; Oyelere, Adegboyega K.; El-Sayed, Ivan H.; El-Sayed, Mostafa A.

    2010-09-01

    We conduct a comparative study on the efficiency and cell death pathways of continuous wave (cw) and nanosecond pulsed laser photothermal cancer therapy using gold nanospheres delivered to either the cytoplasm or nucleus of cancer cells. Cytoplasm localization is achieved using arginine-glycine-aspartate peptide modified gold nanospheres, which target integrin receptors on the cell surface and are subsequently internalized by the cells. Nuclear delivery is achieved by conjugating the gold nanospheres with nuclear localization sequence peptides originating from the simian virus. Photothermal experiments show that cell death can be induced with a single pulse of a nanosecond laser more efficiently than with a cw laser. When the cw laser is applied, gold nanospheres localized in the cytoplasm are more effective in inducing cell destruction than gold nanospheres localized at the nucleus. The opposite effect is observed when the nanosecond pulsed laser is used, suggesting that plasmonic field enhancement of the nonlinear absorption processes occurs at high localization of gold nanospheres at the nucleus. Cell death pathways are further investigated via a standard apoptosis kit to show that the cell death mechanisms depend on the type of laser used. While the cw laser induces cell death via apoptosis, the nanosecond pulsed laser leads to cell necrosis. These studies add mechanistic insight to gold nanoparticle-based photothermal therapy of cancer.

  13. Cellulase immobilization on magnetic nanoparticles encapsulated in polymer nanospheres.

    PubMed

    Lima, Janaina S; Araújo, Pedro H H; Sayer, Claudia; Souza, Antonio A U; Viegas, Alexandre C; de Oliveira, Débora

    2017-04-01

    Immobilization of cellulases on magnetic nanoparticles, especially magnetite nanoparticles, has been the main approach studied to make this enzyme, economically and industrially, more attractive. However, magnetite nanoparticles tend to agglomerate, are very reactive and easily oxidized in air, which has strong impact on their useful life. Thus, it is very important to provide proper surface coating to avoid the mentioned problems. This study aimed to investigate the immobilization of cellulase on magnetic nanoparticles encapsulated in polymeric nanospheres. The support was characterized in terms of morphology, average diameter, magnetic behavior and thermal decomposition analyses. The polymer nanospheres containing encapsulated magnetic nanoparticles showed superparamagnetic behavior and intensity average diameter about 150 nm. Immobilized cellulase exhibited broader temperature stability than in the free form and great reusability capacity, 69% of the initial enzyme activity was maintained after eight cycles of use. The magnetic support showed potential for cellulase immobilization and allowed fast and easy biocatalyst recovery through a single magnet.

  14. Optical coupling of cold atoms to a levitated nanosphere

    NASA Astrophysics Data System (ADS)

    Montoya, Cris; Witherspoon, Apryl; Fausett, Jacob; Lim, Jason; Kitching, John; Geraci, Andrew

    2017-04-01

    Cooling mechanical oscillators to their quantum ground state enables the study of quantum phenomena at macroscopic levels. In many cases, the temperature required to cool a mechanical mode to the ground state is below what current cryogenic systems can achieve. As an alternative to cooling via cryogenic systems, it has been shown theoretically that optically trapped nanospheres could reach the ground state by sympathetically cooling the spheres via cold atoms. Such cooled spheres can be used in quantum limited sensing and matter-wave interferometry, and could also enable new hybrid quantum systems where mechanical oscillators act as transducers. In our setup, optical fields are used to couple a sample of cold Rubidium atoms to a nanosphere. The sphere is optically levitated in a separate vacuum chamber, while the atoms are trapped in a 1-D optical lattice and cooled using optical molasses. This work is partially supported by NSF, Grant No. PHY-1506431.

  15. Terahertz plasmon and surface-plasmon modes in hollow nanospheres

    PubMed Central

    2012-01-01

    We present a theoretical study of the electronic subband structure and collective electronic excitation associated with plasmon and surface plasmon modes in metal-based hollow nanosphere. The dependence of the electronic subband energy on the sample parameters of the hollow nanosphere is examined. We find that the subband states with different quantum numbers l degenerate roughly when the outer radius of the sphere is r2 ≥ 100 nm. In this case, the energy spectrum of a sphere is mainly determined by quantum number n. Moreover, the plasmon and surface plasmon excitations can be achieved mainly via inter-subband transitions from occupied subbands to unoccupied subbands. We examine the dependence of the plasmon and surface-plasmon frequencies on the shell thickness d and the outer radius r2 of the sphere using the standard random-phase approximation. We find that when a four-state model is employed for calculations, four branches of the plasmon and surface plasmon oscillations with terahertz frequencies can be observed, respectively. PMID:23092121

  16. Facile preparation of core-shell magnetic metal-organic framework nanospheres for the selective enrichment of endogenous peptides.

    PubMed

    Xiong, Zhichao; Ji, Yongsheng; Fang, Chunli; Zhang, Quanqing; Zhang, Lingyi; Ye, Mingliang; Zhang, Weibing; Zou, Hanfa

    2014-06-10

    Facile preparation of core-shell magnetic metal-organic framework nanospheres by a layer-by-layer approach is presented. The nanospheres have high surface area (285.89 cm(2)  g(-1)), large pore volume (0.18 cm(3)  g(-1)), two kinds of mesopores (2.50 and 4.72 nm), excellent magnetic responsivity (55.65 emu g(-1)), structural stability, and good dispersibility. The combination of porosity, hydrophobicity, and uniform magnetism was exploited for effective enrichment of peptides with simultaneous exclusion of high molecular weight proteins. The nanospheres were successfully applied in the selective enrichment of endogenous peptides in human serum. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  18. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  19. A tentative 2D thermal model of central India across the Narmada-Son Lineament (NSL)

    NASA Astrophysics Data System (ADS)

    Rai, S. N.; Thiagarajan, S.

    2006-12-01

    This work deals with 2D thermal modeling in order to delineate the crustal thermal structure of central India along two Deep Seismic Sounding (DSS) profiles, namely Khajuriakalan-Pulgaon and Ujjan-Mahan, traversing the Narmada-Son-Lineament (NSL) in an almost north-south direction. Knowledge of the crustal structure and P-wave velocity distribution up to the Moho, obtained from DSS studies, has been used for the development of the thermal model. Numerical results reveal that the Moho temperature in this region of central India varies between 500 and 580 °C. The estimated heat flow density value is found to vary between 46 and 49 mW/m 2. The Curie depth varies between 40 and 42 km and is in close agreement with the Curie depth (40±4 km) estimated from the analysis of MAGSAT data. Based on the present work and previous work, it is suggested that the major part of peninsular India consisting of the Wardha-Pranhita Godavari graben/basin, Bastar craton and the adjoining region of the Narmada Son Lineament between profiles I and III towards the north and northwest of the Bastar craton are characterized with a similar mantle heat flow density value equal to ˜23 mW/m 2. Variation in surface heat flow density values in these regions are caused by variation in the radioactive heat production and fluid circulation in the upper crustal layer.

  20. Porous Se@SiO2 nanospheres treated paraquat-induced acute lung injury by resisting oxidative stress.

    PubMed

    Zhu, Yong; Deng, Guoying; Ji, Anqi; Yao, Jiayi; Meng, Xiaoxiao; Wang, Jinfeng; Wang, Qian; Wang, Qiugen; Wang, Ruilan

    2017-01-01

    Acute paraquat (PQ) poisoning is one of the most common forms of pesticide poisoning. Oxidative stress and inflammation are thought to be important mechanisms in PQ-induced acute lung injury (ALI). Selenium (Se) can scavenge intracellular free radicals directly or indirectly. In this study, we investigated whether porous Se@SiO 2 nanospheres could alleviate oxidative stress and inflammation in PQ-induced ALI. Male Sprague Dawley rats and RLE-6TN cells were used in this study. Rats were categorized into 3 groups: control (n=6), PQ (n=18), and PQ + Se@SiO 2 (n=18). The PQ and PQ + Se@SiO 2 groups were randomly and evenly divided into 3 sub-groups according to different time points (24, 48 and 72 h) after PQ treatment. Porous Se@SiO 2 nanospheres 1 mg/kg (in the PQ + Se@SiO 2 group) were administered via intraperitoneal injection every 24 h. Expression levels of reduced glutathione, malondialdehyde, superoxide dismutase, reactive oxygen species (ROS), nuclear factor-κB (NF-κB), phosphorylated NF-κB (p-NF-κB), tumor necrosis factor-α and interleukin-1β were detected, and a histological analysis of rat lung tissues was performed. The results showed that the levels of ROS, malondialdehyde, NF-κB, p-NF-κB, tumor necrosis factor-α and interleukin-1β were markedly increased after PQ treatment. Glutathione and superoxide dismutase levels were reduced. However, treatment with porous Se@SiO 2 nanospheres markedly alleviated PQ-induced oxidative stress and inflammation. Additionally, the results from histological examinations and wet-to-dry weight ratios of rat lung tissues showed that lung damage was reduced after porous Se@SiO 2 nanosphere treatment. These data indicate that porous Se@SiO 2 nanospheres may reduce NF-κB, p-NF-κB and inflammatory cytokine levels by inhibiting ROS in PQ-induced ALI. This study demonstrates that porous Se@SiO 2 nanospheres may be a therapeutic method for use in the future for PQ poisoning.

  1. Studies on the annealing and antibacterial properties of the silver-embedded aluminum/silica nanospheres

    PubMed Central

    2014-01-01

    Substantial silver-embedded aluminum/silica nanospheres with uniform diameter and morphology were successfully synthesized by sol-gel technique. After various annealing temperatures, the surface mechanisms of each sample were analyzed using scanning electron microscope, transmission electron microscope, and X-ray photoelectron spectroscopy. The chemical durability examinations and antibacterial tests of each sample were also carried out for the confirmation of its practical usage. Based on the result of the above analyses, the silver-embedded aluminum/silica nanospheres are eligible for fabricating antibacterial utensils. PMID:25136275

  2. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  3. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  4. Fabrication of biodegradable PEG-PLA nanospheres for solubility, stabilization, and delivery of curcumin.

    PubMed

    Liang, Hongying; Friedman, Joel M; Nacharaju, Parimala

    2017-03-01

    Curcumin is an effective and safe anticancer agent, and also known to induce vasodilation, but its hydrophobicity limits its clinical application. In this study, a simple emulsion method was developed to prepare biodegradable poly (ethylene glycol)-poly (lactic acid) (PEG-PLA) nanospheres to encapsulate curcumin to improve its solubility and stability. The nanoparticle size was around 150 nm with a narrow size distribution. Fluorescence microscopy showed that curcumin encapsulated PEG-PLA nanospheres were taken up rapidly by Hela and MDA-MB-231 cancer cells. This novel nanoparticulate carrier may improve the bioavailability of curcumin without affecting its anticancer properties.

  5. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  6. Optimized zein nanospheres for improved oral bioavailability of atorvastatin

    PubMed Central

    Hashem, Fahima M; Al-Sawahli, Majid M; Nasr, Mohamed; Ahmed, Osama AA

    2015-01-01

    Background This work focuses on the development of atorvastatin utilizing zein, a natural, safe, and biocompatible polymer, as a nanosized formulation in order to overcome the poor oral bioavailability (12%) of the drug. Methods Twelve experimental runs of atorvastatin–zein nanosphere formula were formulated by a liquid–liquid phase separation method according to custom fractional factorial design to optimize the formulation variables. The factors studied were: weight % of zein to atorvastatin (X1), pH (X2), and stirring time (X3). Levels for each formulation variable were designed. The selected dependent variables were: mean particle size (Y1), zeta potential (Y2), drug loading efficiency (Y3), drug encapsulation efficiency (Y4), and yield (Y5). The optimized formulation was assayed for compatibility using an X-ray diffraction assay. In vitro diffusion of the optimized formulation was carried out. A pharmacokinetic study was also done to compare the plasma profile of the atorvastatin–zein nanosphere formulation versus atorvastatin oral suspension and the commercially available tablet. Results The optimized atorvastatin–zein formulation had a mean particle size of 183 nm, a loading efficiency of 14.86%, and an encapsulation efficiency of 29.71%. The in vitro dissolution assay displayed an initial burst effect, with a cumulative amount of atorvastatin released of 41.76% and 82.3% after 12 and 48 hours, respectively. In Wistar albino rats, the bioavailability of atorvastatin from the optimized atorvastatin–zein formulation was 3-fold greater than that from the atorvastatin suspension and the commercially available tablet. Conclusion The atorvastatin–zein nanosphere formulation improved the oral delivery and pharmacokinetic profile of atorvastatin by enhancing its oral bioavailability. PMID:26150716

  7. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  8. Porphyrin coordination polymer nanospheres and nanorods

    DOEpatents

    Wang, Zhongchun; Shelnutt, John A.; Medforth, Craig J.

    2012-12-04

    A porphyrin coordination polymer nanostructure comprising a network of pyridyl porphyrin molecules and coordinating metal ions coordinatively bound through the pyridyl groups. In some embodiments, the porphyrins are metalloporphyrins. A variety of nanostructures are formed by the network polymer, including nanospheres, polygonal nanostructures, nanorods, and nanofibers, depending on a variety of factors including coordination metal ion, porphyrin type, metal of the metalloporphyrin, and degree of agitation during nanostructure formation. Reduction of coordinating metal ions may be used to form metal nanoparticles on the coordination polymer nanostructure.

  9. Porphyrin coordination polymer nanospheres and nanorods

    DOEpatents

    Wang, Zhongchun; Shelnutt, John A.; Medforth, Craig J.

    2013-09-10

    A porphyrin coordination polymer nanostructure comprising a network of pyridyl porphyrin molecules and coordinating metal ions coordinatively bound through the pyridyl groups. In some embodiments, the porphyrins are metalloporphyrins. A variety of nanostructures are formed by the network polymer, including nanospheres, polygonal nanostructures, nanorods, and nanofibers, depending on a variety of factors including coordination metal ion, porphyrin type, metal of the metalloporphyrin, and degree of agitation during nanostructure formation. Reduction of coordinating metal ions may be used to form metal nanoparticles on the coordination polymer nanostructure.

  10. Development of polymethacrylate nanospheres as targeted delivery systems for catechin within the gastrointestinal tract

    NASA Astrophysics Data System (ADS)

    Pool, Hector; Luna-Barcenas, Gabriel; McClements, David Julian; Mendoza, Sandra

    2017-09-01

    In this study, pH-sensitive nanospheres were fabricated using a polymethacrylate-based copolymer to encapsulate, protect, and release catechin, and thereby overcome its poor water solubility and low oral bioaccessibility. The polymer used was a polymethacrylic acid-co-ethyl acrylate 1:1 copolymer that dissolves above pH 5.5, and so can be used to retain and protect bioactives within the stomach but releases them in the small intestine. Catechin-loaded nanospheres were fabricated using the solvent displacement method. Physicochemical characterization of the nanospheres indicated that they were relatively small ( d = 160 nm) and had a high negative charge ( ζ = - 36 mV), which meant that they had good stability to aggregation under physiological conditions (pH 7.2). Catechin was trapped within the nanospheres at an encapsulation efficiency of about 51% in an amorphous state. A simulated gastrointestinal study showed that catechin was slowly released under gastric conditions (pH 2.5), but rapidly released under small intestine conditions (pH 7.2). The observed improvement in the antioxidant activity and bioaccessibility of catechin after encapsulation was attributed to the fact that it was in an amorphous state and had good water dispersibility. This study provides useful information for the formulation of novel delivery systems to improve the dispersibility, bioaccessibility, and bioactivity of catechin and potentially other active components. These delivery systems could be used to improve the efficacy of bioactive components in foods, supplements, and pharmaceutical products.

  11. Synthesis of sulfonated porous carbon nanospheres solid acid by a facile chemical activation route

    NASA Astrophysics Data System (ADS)

    Chang, Binbin; Guo, Yanzhen; Yin, Hang; Zhang, Shouren; Yang, Baocheng

    2015-01-01

    Generally, porous carbon nanospheres materials are usually prepared via a template method, which is a multi-steps and high-cost strategy. Here, we reported a porous carbon nanosphere solid acid with high surface area and superior porosity, as well as uniform nanospheical morphology, which prepared by a facile chemical activation with ZnCl2 using resorcinol-formaldehyde (RF) resins spheres as precursor. The activation of RF resins spheres by ZnCl2 at 400 °C brought high surface area and large volume, and simultaneously retained numerous oxygen-containing and hydrogen-containing groups due to the relatively low processing temperature. The presence of these functional groups is favorable for the modification of -SO3H groups by a followed sulfonation treating with sulphuric acid and organic sulfonic acid. The results of N2 adsorption-desorption and electron microscopy clearly showed the preservation of porous structure and nanospherical morphology. Infrared spectra certified the variation of surface functional groups after activation and the successful modification of -SO3H groups after sulfonation. The acidities of catalysts were estimated by an indirect titration method and the modified amount of -SO3H groups were examined by energy dispersive spectra. The results suggested sulfonated porous carbon nanospheres catalysts possessed high acidities and -SO3H densities, which endowed their significantly catalytic activities for biodiesel production. Furthermore, their excellent stability and recycling property were also demonstrated by five consecutive cycles.

  12. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  13. Applying Nanoscale Kirkendall Diffusion for Template-Free, Kilogram-Scale Production of SnO2 Hollow Nanospheres via Spray Drying System

    PubMed Central

    Cho, Jung Sang; Ju, Hyeon Seok; Kang, Yun Chan

    2016-01-01

    A commercially applicable and simple process for the preparation of aggregation-free metal oxide hollow nanospheres is developed by applying nanoscale Kirkendall diffusion to a large-scale spray drying process. The precursor powders prepared by spray drying are transformed into homogeneous metal oxide hollow nanospheres through a simple post-treatment process. Aggregation-free SnO2 hollow nanospheres are selected as the first target material for lithium ion storage applications. Amorphous carbon microspheres with uniformly dispersed Sn metal nanopowder are prepared in the first step of the post-treatment process under a reducing atmosphere. The post-treatment of the Sn-C composite powder at 500 °C under an air atmosphere produces carbon- and aggregation-free SnO2 hollow nanospheres through nanoscale Kirkendall diffusion. The hollow and filled SnO2 nanopowders exhibit different cycling performances, with their discharge capacities after 300 cycles being 643 and 280 mA h g−1, respectively, at a current density of 2 A g−1. The SnO2 hollow nanospheres with high structural stability exhibit superior cycling and rate performances for lithium ion storage compared to the filled ones. PMID:27033088

  14. Applying Nanoscale Kirkendall Diffusion for Template-Free, Kilogram-Scale Production of SnO2 Hollow Nanospheres via Spray Drying System

    NASA Astrophysics Data System (ADS)

    Cho, Jung Sang; Ju, Hyeon Seok; Kang, Yun Chan

    2016-04-01

    A commercially applicable and simple process for the preparation of aggregation-free metal oxide hollow nanospheres is developed by applying nanoscale Kirkendall diffusion to a large-scale spray drying process. The precursor powders prepared by spray drying are transformed into homogeneous metal oxide hollow nanospheres through a simple post-treatment process. Aggregation-free SnO2 hollow nanospheres are selected as the first target material for lithium ion storage applications. Amorphous carbon microspheres with uniformly dispersed Sn metal nanopowder are prepared in the first step of the post-treatment process under a reducing atmosphere. The post-treatment of the Sn-C composite powder at 500 °C under an air atmosphere produces carbon- and aggregation-free SnO2 hollow nanospheres through nanoscale Kirkendall diffusion. The hollow and filled SnO2 nanopowders exhibit different cycling performances, with their discharge capacities after 300 cycles being 643 and 280 mA h g-1, respectively, at a current density of 2 A g-1. The SnO2 hollow nanospheres with high structural stability exhibit superior cycling and rate performances for lithium ion storage compared to the filled ones.

  15. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  16. Scanning metallic nanosphere microscopy for vectorial profiling of optical focal spots.

    PubMed

    Yi, Hui; Long, Jing; Li, Hongquan; He, Xiaolong; Yang, Tian

    2015-04-06

    Recent years have witnessed fast progress in the development of spatially variant states of polarization under high numerical aperture focusing, and intensive exploration of their applications. We report a vectorial, broadband, high contrast and subwavelength resolution method for focal spot profiling. In this experiment, a 100 nm diameter gold nanosphere on a silica aerogel substrate is raster scanned across the focal spots, and the orthogonal polarization components can be obtained simultaneously by measuring the scattering far field in a confocal manner. The metallic-nanosphere-on-aerogel structure ensures negligible distortion to the focal spots, low crosstalk between orthogonal polarization components (1/39 in experiment), and a low level background noise (1/80 of peak intensity in experiment), while high contrast imaging is not limited by the resonance bandwidth.

  17. Ordered DNA-Surfactant Hybrid Nanospheres Triggered by Magnetic Cationic Surfactants for Photon- and Magneto-Manipulated Drug Delivery and Release.

    PubMed

    Xu, Lu; Wang, Yitong; Wei, Guangcheng; Feng, Lei; Dong, Shuli; Hao, Jingcheng

    2015-12-14

    Here we construct for the first time ordered surfactant-DNA hybrid nanospheres of double-strand (ds) DNA and cationic surfactants with magnetic counterion, [FeCl3Br](-). The specificity of the magnetic cationic surfactants that can compact DNA at high concentrations makes it possible for building ordered nanospheres through aggregation, fusion, and coagulation. Cationic surfactants with conventional Br(-) cannot produce spheres under the same condition because they lose the DNA compaction ability. When a light-responsive magnetic cationic surfactant is used to produce nanospheres, a dual-controllable drug-delivery platform can be built simply by the applications of external magnetic force and alternative UV and visible light. These nanospheres obtain high drug absorption efficiency, slow release property, and good biocompatibility. There is potential for effective magnetic-field-based targeted drug delivery, followed by photocontrollable drug release. We deduce that our results might be of great interest for making new functional nucleic-acid-based nanomachines and be envisioned to find applications in nanotechnology and biochemistry.

  18. Preparation of yolk-shell MoS2 nanospheres covered with carbon shell for excellent lithium-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Guo, Bangjun; Feng, Yu; Chen, Xiaofan; Li, Bo; Yu, Ke

    2018-03-01

    Molybdenum disulfide is regarded as one of the most promising electrode materials for high performance lithium-ion batteries. Designing firm basal structure is a key point to fully utilize the high capacity of layered MoS2 nanomaterials. Here, yolk-shell structured MoS2 nanospheres is firstly designed and fabricated to meet this needs. This unique yolk-shell nanospheres are transformed from solid nanospheres by a simply weak alkaline etching method. Then, the yolk-shell MoS2/C is synthesized by a facile process to protect the outside MoS2 shell and promote the conductivity. Taking advantages of high capacity and well-defined cavity space, allowing the core MoS2 to expand freely without breaking the outer shells, yolk-shell MoS2/C nanospheres delivers long cycle life (94% of capacity retained after 200 cycles) and high rate behaviour (830 mA h g-1 at 5 A g-1). This design of yolk-shell structure may set up a new strategy for preparing next generation anode materials for LIBs.

  19. SnO2@C@VO2 Composite Hollow Nanospheres as an Anode Material for Lithium-Ion Batteries.

    PubMed

    Guo, Wenbin; Wang, Yong; Li, Qingyuan; Wang, Dongxia; Zhang, Fanchao; Yang, Yiqing; Yu, Yang

    2018-05-02

    Porous SnO 2 @C@VO 2 composite hollow nanospheres were ingeniously constructed through the combination of layer-by-layer deposition and redox reaction. Moreover, to optimize the electrochemical properties, SnO 2 @C@VO 2 composite hollow nanospheres with different contents of the external VO 2 were also studied. On the one hand, the elastic and conductive carbon as interlayer in the SnO 2 @C@VO 2 composite can not only buffer the huge volume variation during repetitive cycling but also effectively improve electronic conductivity and enhance the utilizing rate of SnO 2 and VO 2 with high theoretical capacity. On the other hand, hollow nanostructures of the composite can be consolidated by the multilayered nanocomponents, resulting in outstanding cyclic stability. In virtue of the above synergetic contribution from individual components, SnO 2 @C@VO 2 composite hollow nanospheres exhibit a large initial discharge capacity (1305.6 mAhg -1 ) and outstanding cyclic stability (765.1 mAhg -1 after 100 cycles). This design of composite hollow nanospheres may be extended to the synthesis of other nanomaterials for electrochemical energy storage.

  20. Microwave hydrothermal transformation of amorphous calcium carbonate nanospheres and application in protein adsorption.

    PubMed

    Qi, Chao; Zhu, Ying-Jie; Chen, Feng

    2014-03-26

    Calcium carbonate and calcium phosphate are the main components of biominerals. Among all of the forms of biominerals, amorphous calcium carbonate (ACC) and amorphous calcium phosphate (ACP) are the most important forms because they play a pivotal role in the process of biomineralization and are the precursors to the crystalline polymorphs. In this work, we first synthesized ACC in vitro using adenosine 5'-triphosphate disodium salt (ATP) as the stabilizer and investigated the transformation of the ACC under microwave hydrothermal conditions, and ACC/ACP composite nanospheres and carbonated hydroxyapatite (CHA) nanospheres were successfully prepared. In this novel strategy, ATP has two main functions: it serves as the stabilizer for ACC and the phosphorus source for ACP and CHA. Most importantly, the morphology and the size of the ACC precursor can be well-preserved after microwave heating, so it provides a new method for the preparation of calcium phosphate nanostructured materials using phosphorus-containing biomolecule-stabilized ACC as the precursor. Furthermore, the as-prepared ACC/ACP composite nanospheres have excellent biocompatibility and high protein adsorption capacity, indicating that they are promising for applications in biomedical fields such as drug delivery and protein adsorption.

  1. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  2. Study of SiRNA-loaded PS-mPEG/CaP nanospheres on lung cancer

    NASA Astrophysics Data System (ADS)

    Wang, Qi; Qin, Liubin; Sun, Ying; Shen, Ming; Duan, Yourong

    2014-05-01

    An ultrasound-adsorption method was used to prepare Bcl-2-SiRNA-loaded PS-mPEG/CaP nanospheres. The size and zeta potential were 18.41 ± 4.31 nm ( n = 5) and -23.5 ± 0.6 mV, respectively. The entrapment efficiency of SiRNA was 92.86 %. MTT assay results confirmed that the blank nanospheres demonstrated a negligible cytotoxicity response in H1299 cells. Flow cytometer analysis results demonstrated that PS-mPEG/CaP NSs could carry SiRNA into the cells effectively. RT-PCR experiments and apoptosis assay results approved that, compared with free SiRNA, SiRNA-loaded PS-mPEG/CaP NSs could silence Bcl-2 gene and induce cell apoptosis effectively. In vivo distribution results confirmed PS-mPEG/CaP NSs could carry SiRNA enter the tumor tissue effectively. Taken together, these results suggest that the Bcl-2-SiRNA-loaded PS-mPEG/CaP nanospheres have great potential to be used to cure lung cancer.

  3. Carbonization-dependent nitrogen-doped hollow porous carbon nanospheres synthesis and electrochemical study for supercapacitors

    NASA Astrophysics Data System (ADS)

    Zhou, Lingyun; Xie, Guohong; Chen, Xiling

    2018-05-01

    In this paper, a nitrogen-doped hollow microporous carbon nanospheres was synthesized via the combination of hyper-crosslinking mediated self-assembly and further pyrolysis using polylactide-b-polystyrene (PLA-b-PS) copolymers and aniline monomers as precursor. The pore structure and the correlative electrochemical performance of nitrogen-doped hollow microporous carbon nanospheres were affected by the molar mass ratio of aniline and PS in block copolymers and the carbonization conditions. The electrochemical measurements results showed that the obtained PLA150-PS250-N4-900-10H sample with nitrogen content of 3.57% and the BET surface area of 945 m2 g-1 displays the best capacitance performance. At a current density of 1.0 Ag-1, the resultant specific capacitance is 250 Fg-1. In addition, it also exhibits high capacitance retention of 98% after charging-discharging 1500 times at 25 Ag-1. The results demonstrate the nitrogen-doped hollow microporous carbon nanospheres can be used as promising supercapacitor electrode materials for high performance energy storage devices.

  4. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  5. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  6. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  7. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  8. The NSL Chromatin-Modifying Complex Subunit KANSL2 Regulates Cancer Stem-like Properties in Glioblastoma That Contribute to Tumorigenesis.

    PubMed

    Ferreyra Solari, Nazarena E; Belforte, Fiorella S; Canedo, Lucía; Videla-Richardson, Guillermo A; Espinosa, Joaquín M; Rossi, Mario; Serna, Eva; Riudavets, Miguel A; Martinetto, Horacio; Sevlever, Gustavo; Perez-Castro, Carolina

    2016-09-15

    KANSL2 is an integral subunit of the nonspecific lethal (NSL) chromatin-modifying complex that contributes to epigenetic programs in embryonic stem cells. In this study, we report a role for KANSL2 in regulation of stemness in glioblastoma (GBM), which is characterized by heterogeneous tumor stem-like cells associated with therapy resistance and disease relapse. KANSL2 expression is upregulated in cancer cells, mainly at perivascular regions of tumors. RNAi-mediated silencing of KANSL2 in GBM cells impairs their tumorigenic capacity in mouse xenograft models. In clinical specimens, we found that expression levels of KANSL2 correlate with stemness markers in GBM stem-like cell populations. Mechanistic investigations showed that KANSL2 regulates cell self-renewal, which correlates with effects on expression of the stemness transcription factor POU5F1. RNAi-mediated silencing of POU5F1 reduced KANSL2 levels, linking these two genes to stemness control in GBM cells. Together, our findings indicate that KANSL2 acts to regulate the stem cell population in GBM, defining it as a candidate GBM biomarker for clinical use. Cancer Res; 76(18); 5383-94. ©2016 AACR. ©2016 American Association for Cancer Research.

  9. The NSL chromatin-modifying complex subunit KANSL2 regulates cancer stem-like properties in glioblastoma that contribute to tumorigenesis

    PubMed Central

    Ferreyra-Solari, Nazarena; Belforte, Fiorella S.; Canedo, Lucía; Videla-Richardson, Guillermo A.; Espinosa, Joaquín M.; Rossi, Mario; Serna, Eva; Riudavets, Miguel A.; Martinetto, Horacio; Sevlever, Gustavo; Perez-Castro, Carolina

    2016-01-01

    KANSL2 is an integral subunit of the Non-Specific Lethal (NSL) chromatin-modifying complex which contributes to epigenetic programs in embryonic stem cells. In this study, we report a role for KANSL2 in regulation of stemness in glioblastoma (GBM), which is characterized by heterogeneous tumor stem-like cells associated with therapy resistance and disease relapse. KANSL2 expression is upregulated in cancer cells, mainly at perivascular regions of tumors. RNAi-mediated silencing of KANSL2 in GBM cells impairs their tumorigenic capacity in mouse xenograft models. In clinical specimens, we found that expression levels of KANSL2 correlate with stemness markers in GBM stem-like cell populations. Mechanistic investigations showed that KANSL2 regulates cell self-renewal, which correlates with effects on expression of the stemness transcription factor POU5F1. RNAi-mediated silencing of POU5F1 reduced KANSL2 levels, linking these two genes to stemness control in GBM cells. Together, our findings indicate that KANSL2 acts to regulate the stem cell population in GBM, defining it as a candidate GBM biomarker for clinical use. PMID:27406830

  10. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  11. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  12. Micelle-assisted fabrication of necklace-shaped assembly of inorganic fullerene-like molybdenum disulfide nanospheres

    NASA Astrophysics Data System (ADS)

    Xiong, Yujie; Xie, Yi; Li, Zhengquan; Li, Xiaoxu; Zhang, Rong

    2003-11-01

    The fabrication of necklace-shaped assembly of inorganic fullerene-like molybdenum disulfide nanospheres via a micelle-assisted route is reported, in which necklace-shaped assembly of amorphous MoS 3 nanospheres is driven by the aggregation transformation of surfactants at low temperatures and then is transformed to the assembly of target fullerene-like MoS 2 by annealing. This nanostructure is a type of oriented assembly of inorganic fullerene-like structures, which is confirmed by the transmission electron microscopy and high-resolution transmission electron microscopy analysis. The optical absorption property is investigated to show their inorganic fullerene-like structure and uniform shape.

  13. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  14. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  15. Photothermal nanodrugs: potential of TNF-gold nanospheres for cancer theranostics

    PubMed Central

    Shao, Jingwei; Griffin, Robert J.; Galanzha, Ekaterina I.; Kim, Jin-Woo; Koonce, Nathan; Webber, Jessica; Mustafa, Thikra; Biris, Alexandru S.; Nedosekin, Dmitry A.; Zharov, Vladimir P.

    2013-01-01

    Nanotechnology has been extensively explored for drug delivery. Here, we introduce the concept of a nanodrug based on synergy of photothermally-activated physical and biological effects in nanoparticle-drug conjugates. To prove this concept, we utilized tumor necrosis factor-alpha coated gold nanospheres (Au-TNF) heated by laser pulses. To enhance photothermal efficiency in near-infrared window of tissue transparency we explored slightly ellipsoidal nanoparticles, its clustering, and laser-induced nonlinear dynamic phenomena leading to amplification and spectral sharpening of photothermal and photoacoustic resonances red-shifted relatively to linear plasmonic resonances. Using a murine carcinoma model, we demonstrated higher therapy efficacy of Au-TNF conjugates compared to laser and Au-TNF alone or laser with TNF-free gold nanospheres. The photothermal activation of low toxicity Au-TNF conjugates, which are in phase II trials in humans, with a laser approved for medical applications opens new avenues in the development of clinically relevant nanodrugs with synergistic antitumor theranostic action. PMID:23443065

  16. Membrane-Based Gas Separation Accelerated by Hollow Nanosphere Architectures

    DOE PAGES

    Zhang, Jinshui; Schott, Jennifer Ann; Univ. of Tennessee, Knoxville, TN; ...

    2016-11-15

    We report that the coupling of hollow carbon nanospheres with triblock copolymers is a promising strategy to fabricate mixed-matrix membranes, because the symmetric microporous shells combine with the hollow space to promote gas transport and the unique soft-rigid molecular structure of triblock copolymers can accommodate a high loading of fillers without a significant loss of mechanical strength.

  17. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  18. Fe induced optical limiting properties of Zn1-xFexS nanospheres

    NASA Astrophysics Data System (ADS)

    Vineeshkumar, T. V.; Raj, D. Rithesh; Prasanth, S.; Unnikrishnan, N. V.; Mahadevan Pillai, V. P.; Sudarasanakumar, C.

    2018-02-01

    Zn1-xFexS (x = 0.00, 0.01, 0.03, 0.05) nanospheres were synthesized by polyethylene glycol assisted hydrothermal method. XRD studies revealed that samples of all concentrations exhibited cubic structure with crystallite grain size 7-9 nm. TEM and SEM show the formation of nanospheres by dense aggregation of smaller particles. Increasing Zn/Fe ratio tune the band gap from 3.4 to 3.2 eV and also quenches the green luminescence. FTIR spectra reveal the presence of capping agent, intensity variation and shifting of LO and TO phonon modes confirm the presence of Fe ions. Nonlinear optical properties were measured using open and closed aperture z-scan techniques, employing frequency doubled 532 nm pumping sources which indicated reverse saturable absorption (RSA) process. The nonlinear optical coefficients are obtained by two photon absorption (2PA). Composition dependent nonlinear optical coefficients ;β;, nonlinear refractive index, third order susceptibility and optical limiting threshold were estimated. The sample shows good nonlinear absorption and enhancement of optical limiting behavior with increasing Fe volume fraction. Contribution of RSA on optical nonlinearity of Zn1-xFexS nanospheres are also investigated using three different input energies. Zn1-xFexS with comparatively small limiting threshold value is a promising candidate for optical power limiting applications.

  19. BSA adsorption onto nanospheres: Influence of surface curvature as probed by electrophoretic light scattering and UV/vis spectroscopy

    NASA Astrophysics Data System (ADS)

    Sánchez-Pérez, Julio A.; Gallardo-Moreno, Amparo M.; González-Martín, M. Luisa; Vadillo-Rodríguez, Virginia

    2015-10-01

    The influence of surface curvature on the adsorption of bovine serum albumin (BSA) was evaluated through the combination of two fairly simple techniques: electrophoretic light scattering and UV/vis spectroscopy. Measurements were carried out for a range of protein concentrations (0-320 μg/ml) at pH 3.5, 4.5 and 7 using hydrophobic polystyrene nanospheres of 38.8, 82 and 220 nm in diameter. The results obtained demonstrate that the charge of the BSA molecules in solution dictates the pH-dependent behavior of the protein-coated nanospheres, indicating in all cases a significant adsorption of BSA molecules. At a fixed pH, however, it is the zeta potential that characterizes the uncoated nanospheres normalized by their surface area that primarily controls protein adsorption. In particular, it is found that the rate at which BSA interact with the different nanospheres increases as their negative zeta potential per unit area (or diameter) increases (decreases) regardless of the pH. Moreover, provided that adsorption occurs away from the isoelectric point of the protein, highly curved surfaces are found to stabilize the native-like conformation of BSA upon adsorption by likely reducing lateral interactions between adsorbed molecules.

  20. Facile preparation of gold nanocages and hollow gold nanospheres via solvent thermal treatment and their surface plasmon resonance and photothermal properties.

    PubMed

    Wang, Haifei; Han, Jing; Lu, Wensheng; Zhang, Jianping; Li, Jinru; Jiang, Long

    2015-02-15

    Although template etching method is one of the most common ways of preparation of hollow gold nanostructures, this approach still requires further improvements to avoid the collapse of gold shells after the cores were removed. In this work, an improved template etching method, with which hollow gold nanostructure is fabricated by etching Polystyrene (PS) cores from PS@Au core-shell nanospheres with solvent thermal treatment in N,N-Dimethylformamide (DMF), is demonstrated. When PS cores were removed by a thermal treatment process, gold nanoshells reconstruct and the collapse of the nanoshells is avoided. Gold nanocages and hollow gold nanospheres are easily obtained from the various structures of PS@Au core-shell nanospheres. These hollow nanostructures represent special near infrared (NIR) optical property and photothermal property. Compared with hollow gold nanospheres, the gold nanocages show higher temperature increase at the same particle concentration. Copyright © 2014 Elsevier Inc. All rights reserved.

  1. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  2. Periodic silver nanocluster arrays over large-area silica nanosphere template as highly sensitive SERS substrate

    NASA Astrophysics Data System (ADS)

    Hou, Xu; Wang, Qi; Mao, Guoming; Liu, Hao; Yu, Rongdi; Ren, Xiaomin

    2018-04-01

    Periodic Ag nanocluster arrays for surface enhanced Raman spectroscopy (SERS) were fabricated through magnetron sputtering Ag over a large-area monolayer template which is based on silica (SiO2) nanospheres. High-density nanogaps between the adjacent Ag nanoclusters acted as "hot-spots", making a dominant contribution to the high-performance SERS detection. Moreover, the nanospheres and Ag nanoclusters effectively increased the surface roughness and also enlarged the surface area of as-obtained SERS substrate, which resulted in a further enhancement in Raman signals. As-prepared SERS substrates showed very high sensitivity with the enhancement factor (EF) value of 4.1 × 1012 for Rhodamine 6G (R6G), allowing the corresponding detection limit as low as 10-16 M. Additionally, SERS signal of melamine was still strong even though its concentration was lowered to 10-7 M. Our results show that preparing highly sensitive SERS substrate with periodic Ag nanoclusters over SiO2 nanosphere template is a convenient and promising pathway for chemical and biologic sensing.

  3. Co7Fe3 and Co7Fe3@SiO2 Nanospheres with Tunable Diameters for High-Performance Electromagnetic Wave Absorption.

    PubMed

    Chen, Na; Jiang, Jian-Tang; Xu, Cheng-Yan; Yuan, Yong; Gong, Yuan-Xun; Zhen, Liang

    2017-07-05

    Ferromagnetic metal/alloy nanoparticles have attracted extensive interest for electromagnetic wave-absorbing applications. However, ferromagnetic nanoparticles are prone to oxidization and producing eddy currents, leading to the deterioration of electromagnetic properties. In this work, a simple and scalable liquid-phase reduction method was employed to synthesize uniform Co 7 Fe 3 nanospheres with diameters ranging from 350 to 650 nm for high-performance microwave absorption application. Co 7 Fe 3 @SiO 2 core-shell nanospheres with SiO 2 shell thicknesses of 30 nm were then fabricated via a modified Stöber method. When tested as microwave absorbers, bare Co 7 Fe 3 nanospheres with a diameter of 350 nm have a maximum reflection loss (RL) of 78.4 dB and an effective absorption with RL > 10 dB from 10 to 16.7 GHz at a small thickness of 1.59 mm. Co 7 Fe 3 @SiO 2 nanospheres showed a significantly enhanced microwave absorption capability for an effective absorption bandwidth and a shift toward a lower frequency, which is ascribed to the protection of the SiO 2 shell from direct contact among Co 7 Fe 3 nanospheres, as well as improved crystallinity and decreased defects upon annealing. This work illustrates a simple and effective method to fabricate Co 7 Fe 3 and Co 7 Fe 3 @SiO 2 nanospheres as promising microwave absorbers, and the design concept can also be extended to other ferromagnetic alloy particles.

  4. Synthesis of raspberry-like monodisperse magnetic hollow hybrid nanospheres by coating polystyrene template with Fe(3)O(4)@SiO(2) particles.

    PubMed

    Wang, Chunlei; Yan, Juntao; Cui, Xuejun; Wang, Hongyan

    2011-02-01

    In this paper, we present a novel method for the preparation of raspberry-like monodisperse magnetic hollow hybrid nanospheres with γ-Fe(2)O(3)@SiO(2) particles as the outer shell. PS@Fe(3)O(4)@SiO(2) composite nanoparticles were successfully prepared on the principle of the electrostatic interaction between negatively charged silica and positively charged polystyrene, and then raspberry-like magnetic hollow hybrid nanospheres with large cavities were achieved by means of calcinations, simultaneously, the magnetite (Fe(3)O(4)) was transformed into maghemite (γ-Fe(2)O(3)). Transmission electron microscopy (TEM) demonstrated that the obtained magnetic hollow silica nanospheres with the perfect spherical profile were well monodisperse and uniform with the mean size of 253nm. The Fourier transform infrared (FTIR) spectrometry, energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD) provided the sufficient evidences for the presence of Fe(3)O(4) in the silica shell. Moreover, the magnetic hollow silica nanospheres possessed a characteristic of superparamagnetic with saturation magnetization value of about 7.84emu/g by the magnetization curve measurement. In addition, the nitrogen adsorption-desorption measurement exhibited that the pore size, BET surface area, pore volume of magnetic hollow silica nanospheres were 3.5-5.5nm, 307m(2)g(-1) and 1.33cm(3)g(-1), respectively. Therefore, the magnetic hollow nanospheres possess a promising future in controlled drug delivery and targeted drug applications. Copyright © 2010 Elsevier Inc. All rights reserved.

  5. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  6. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  7. Fabrication of textured SnO2 transparent conductive films using self-assembled Sn nanospheres

    NASA Astrophysics Data System (ADS)

    Fukumoto, Michitaka; Nakao, Shoichiro; Hirose, Yasushi; Hasegawa, Tetsuya

    2018-06-01

    We present a novel method to fabricate textured surfaces on transparent conductive SnO2 films by processing substrates through a bottom-up technique with potential for industrially scalable production. The substrate processing consists of three steps: deposition of precursor Sn films on glass substrates, formation of a self-assembled Sn nanosphere layer with reductive annealing, and conversion of Sn to SnO2 by oxidative annealing. Ta-doped SnO2 films conformally deposited on the self-assembled nanospherical SnO2 templates exhibited attractive optical and electrical properties, namely, enhanced haze values and low sheet resistances, for applications as transparent electrodes in photovoltaics.

  8. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  9. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  10. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  11. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  12. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  13. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  14. Formation of hollow silica nanospheres by reverse microemulsion

    NASA Astrophysics Data System (ADS)

    Lin, Cheng-Han; Chang, Jen-Hsuan; Yeh, Yi-Qi; Wu, Si-Han; Liu, Yi-Hsin; Mou, Chung-Yuan

    2015-05-01

    Uniform hollow silica nanospheres (HSNs) synthesized with reverse microemulsion have great application potential as nanoreactors because enzymes or nanocatalysts can be easily encapsulated de novo in synthesis. Water-in-oil (w/o) reverse microemulsions comprising the polymeric surfactant polyoxyethylene (5) isooctylphenyl ether (Igepal CA-520), ammonia and water in a continuous oil phase (alkanes) coalesce into size-tunable silica nanoparticles via diffusion aggregation after the introduction of silica precursors. Here, we elucidate in detail the growth mechanism for silica nanoparticles via nucleation of ammonium-catalyzed silica oligomers from tetraethylorthosilicate (TEOS) and nanoporous aminopropyltrimethoxy silane (APTS) in the reverse microemulsion system. The formation pathway was studied in situ with small-angle X-ray scattering (SAXS). We find a four-stage process showing a sigmoidal growth behavior in time with a crossover from the induction period, early nucleation stage, coalescence growth and a final slowing down of growth. Various characterizations (TEM, N2 isotherm, dynamic light scattering, zeta potential, NMR, elemental analysis) reveal the diameters, scattering length density (SLD), mesoporosity, surface potentials and chemical compositions of the HSNs. Oil phases of alkanes with different alkyl chains are systematically employed to tune the sizes of HSNs by varying oil molar volumes, co-solvent amounts or surfactant mixture ratios. Silica condensation is incomplete in the core region, with the silica source of TEOS and APTS leading to the hollow silica nanosphere after etching with warm water.Uniform hollow silica nanospheres (HSNs) synthesized with reverse microemulsion have great application potential as nanoreactors because enzymes or nanocatalysts can be easily encapsulated de novo in synthesis. Water-in-oil (w/o) reverse microemulsions comprising the polymeric surfactant polyoxyethylene (5) isooctylphenyl ether (Igepal CA-520), ammonia and

  15. Enhanced photocatalytic activity and structural stability by hybridizing Ag3PO4 nanospheres with graphene oxide sheets.

    PubMed

    Liang, Qinghua; Shi, Yao; Ma, Wangjing; Li, Zhi; Yang, Xinmin

    2012-12-05

    Graphene oxide (GO)-Ag(3)PO(4) nanocomposites synthesized through a facile solution approach via electrostatic interaction were investigated as excellent photocatalysts for the degradation of rhodamine B (RhB) under visible light irradiation. SEM and TEM observations indicate that Ag(3)PO(4) nanospheres of ~120 nm in diameter were well dispersed and anchored onto the exfoliated GO sheets. The characterizations of FTIR and Raman demonstrated the existence of strong charge interactions between GO sheets and Ag(3)PO(4) nanospheres. As compared to Ag(3)PO(4) nanospheres alone, the attachments of GO sheets led to a band gap narrowing (2.10 eV) and a strong absorbance in the near infrared region (NIR). The photoluminescence (PL) analysis indicates a more efficient separation of electron-hole pairs in the GO-Ag(3)PO(4) nanocomposites. Notably, the incorporation of GO sheets not only significantly enhances the photocatalytic activity but also improves the structural stability of Ag(3)PO(4). The positive synergistic effects between Ag(3)PO(4) nanospheres and GO sheets are proposed to contribute to the improved photocatalytic properties. A possible photocatalytic mechanism of the GO-Ag(3)PO(4) nanocomposites was assumed as well. The integration of these advantages enables such GO-Ag(3)PO(4) hybrid material to be a nice photocatalyst for broad applications in a sewage treatment system.

  16. Hollow Silicon Nanospheres Encapsulated with a Thin Carbon Shell: An Electrochemical Study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ashuri, Maziar; He, Qianran; Liu, Yuzi

    In this study we have investigated the electrochemical properties of hollow silicon nanospheres encapsulated with a thin carbon shell, HSi@C, as a potential candidate for lithium-ion battery anodes. Hollow Si nanospheres are formed using a templating method which is followed by carbon coating via carbonization of a pyrrole precursor to form HSi@C. The synthesis conditions and the resulting structure of HSi@C have been studied in detail to obtain the target design of hollow Si nanospheres encapsulated with a carbon shell. The HSi@C obtained exhibits much better electrochemical cycle stability than both micro-and nano-size silicon anodes and deliver a stable specificmore » capacity of 700 mA h g(-1) after 100 cycles at a current density of 2 A g(-1) and 800 mA h g(-1) after 120 cycles at a current density of 1 A g(-1). The superior performance of HSi@C is attributed to the synergistic combination of the nanostructured material, the enhanced conductivity, and the presence of the central void space for Si expansion with little or no change in the volume of the entire HSi@C particle. This study is the first detailed investigation of the synthesis conditions to attain the desired structure of a hollow Si core with a conductive carbon shell. This study also offers guidelines to further enhance the specific capacity of HSi@C anodes in the future.« less

  17. Hollow Silicon Nanospheres Encapsulated with a Thin Carbon Shell: An Electrochemical Study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ashuri, Maziar; He, Qianran; Liu, Yuzi

    In this study we have investigated the electrochemical properties of hollow silicon nanospheres encapsulated with a thin carbon shell, HSi@C, as a potential candidate for lithium-ion battery anodes. Hollow Si nanospheres are formed using a templating method which is followed by carbon coating via carbonization of a pyrrole precursor to form HSi@C. The synthesis conditions and the resulting structure of HSi@C have been studied in detail to obtain the target design of hollow Si nanospheres encapsulated with a carbon shell. The HSi@C obtained exhibits much better electrochemical cycle stability than both micro-and nano-size silicon anodes and deliver a stable specificmore » capacity of 700 mA h g(-1) after 100 cycles at a current density of 2 A g(-1) and 800 mA h g(-1) after 120 cycles at a current density of 1 A g(-1). The superior performance of HSi@C is attributed to the synergistic combination of the nanostructured material, the enhanced conductivity, and the presence of the central void space for Si expansion with little or no change in the volume of the entire HSi@C particle. This study is the first detailed investigation of the synthesis conditions to attain the desired structure of a hollow Si core with a conductive carbon shell. This study also offers guidelines to further enhance the specific capacity of HSi@C anodes in the future. (C) 2016 Elsevier Ltd. All rights reserved.« less

  18. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  19. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  20. Resonant spin tunneling in randomly oriented nanospheres of Mn 12 acetate

    DOE PAGES

    Lendínez, S.; Zarzuela, R.; Tejada, J.; ...

    2015-01-06

    We report measurements and theoretical analysis of resonant spin tunneling in randomly oriented nanospheres of a molecular magnet. Amorphous nanospheres of Mn₁₂ acetate have been fabricated and characterized by chemical, infrared, TEM, X-ray, and magnetic methods. Magnetic measurements have revealed sharp tunneling peaks in the field derivative of the magnetization that occur at the typical resonant field values for the Mn₁₂ acetate crystal in the field parallel to the easy axis.Theoretical analysis is provided that explains these observations. We argue that resonant spin tunneling in a molecular magnet can be established in a powder sample, without the need for amore » single crystal and without aligning the easy magnetization axes of the molecules. This is confirmed by re-analyzing the old data on a powdered sample of non-oriented micron-size crystals of Mn₁₂ acetate. In conclusion, our findings can greatly simplify the selection of candidates for quantum spin tunneling among newly synthesized molecular magnets.« less

  1. Resonant spin tunneling in randomly oriented nanospheres of Mn 12 acetate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lendínez, S.; Zarzuela, R.; Tejada, J.

    We report measurements and theoretical analysis of resonant spin tunneling in randomly oriented nanospheres of a molecular magnet. Amorphous nanospheres of Mn₁₂ acetate have been fabricated and characterized by chemical, infrared, TEM, X-ray, and magnetic methods. Magnetic measurements have revealed sharp tunneling peaks in the field derivative of the magnetization that occur at the typical resonant field values for the Mn₁₂ acetate crystal in the field parallel to the easy axis.Theoretical analysis is provided that explains these observations. We argue that resonant spin tunneling in a molecular magnet can be established in a powder sample, without the need for amore » single crystal and without aligning the easy magnetization axes of the molecules. This is confirmed by re-analyzing the old data on a powdered sample of non-oriented micron-size crystals of Mn₁₂ acetate. In conclusion, our findings can greatly simplify the selection of candidates for quantum spin tunneling among newly synthesized molecular magnets.« less

  2. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  3. Enhanced arsenic removal from water by hierarchically porous CeO₂-ZrO₂ nanospheres: role of surface- and structure-dependent properties.

    PubMed

    Xu, Weihong; Wang, Jing; Wang, Lei; Sheng, Guoping; Liu, Jinhuai; Yu, Hanqing; Huang, Xing-Jiu

    2013-09-15

    Arsenic contaminated natural water is commonly used as drinking water source in some districts of Asia. To meet the increasingly strict drinking water standards, exploration of efficient arsenic removal methods is highly desired. In this study, hierarchically porous CeO₂-ZrO₂ nanospheres were synthesized, and their suitability as arsenic sorbents was examined. The CeO₂-ZrO₂ hollow nanospheres showed an adsorption capacity of 27.1 and 9.2 mg g(-1) for As(V) and As(III), respectively, at an equilibrium arsenic concentration of 0.01 mg L(-1) (the standard for drinking water) under neutral conditions, indicating a high arsenic removal performance of the adsorbent at low arsenic concentrations. Such a great arsenic adsorption capacity was attributed to the high surface hydroxyl density and presence of hierarchically porous network in the hollow nanospheres. The analysis of Fourier transformed infrared spectra and X-ray photoelectron spectroscopy demonstrated that the adsorption of arsenic on the CeO₂-ZrO₂ nanospheres was completed through the formation of a surface complex by substituting hydroxyl with arsenic species. In addition, the CeO₂-ZrO₂ nanospheres were able to remove over 97% arsenic in real underground water with initial arsenic concentration of 0.376 mg L(-1) to meet the guideline limit of arsenic in drinking water regulated by the World Health Organization without any pre-treatment and/or pH adjustment. Copyright © 2013 Elsevier B.V. All rights reserved.

  4. Induction of Th1 type-oriented humoral response through intranasal immunization of mice with SAG1-Toxoplasma gondii polymeric nanospheres.

    PubMed

    Naeem, Huma; Sana, Madiha; Islam, Saher; Khan, Matiullah; Riaz, Farooq; Zafar, Zunaira; Akbar, Haroon; Shehzad, Wasim; Rashid, Imran

    2018-06-06

    About one-third of the world population is prone to have infection with T. gondii, which can cause toxoplasmosis in the developing fetus and in people whose immune system is compromised through disease or chemotherapy. Surface antigen-1 (SAG1) is the candidate of vaccine against toxoplasmosis. Recent advances in biotechnology and nano-pharmaceuticals have made possible to formulate nanospheres of recombinant protein, which are suitable for sub-unit vaccine delivery. In current study, the local strain was obtained from cat feces as toxoplasma oocysts. Amplified 957 bp of SAG1 was cloned into pGEM-T and further sub-cloned into pET28-SAG1. BL21 bacteria were induced at different concentrations of isopropyl β-d-1-thiogalactopyranoside for the expression of rSAG1 protein. An immunoblot was developed for the confirmation of recombinant protein expression at 35 kDa that was actually recognized by anti-HIS antibodies and sera were collected from infected mice. PLGA encapsulated nanospheres of recombinant SAG1 were characterized through scanning electron microscopy. Experimental mice were intraperitoneally immunized with rSAG1 protein and intra-nasally immunized with nanosphere. The immune response was evaluated by indirect ELISA. In results intra-nasally administered rSAG1 in nanospheres appeared to elicit elevated responses of specific IgA and IgG2a than in control. Nanospheres of rSAG1 are found to be a bio-compatible candidate for the development of vaccine against T. gondii.

  5. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  6. Manipulating the Temperature of Sulfurization to Synthesize α-NiS Nanosphere Film for Long-Term Preservation of Non-enzymatic Glucose Sensors

    NASA Astrophysics Data System (ADS)

    Lin, Hsien-Sheng; Shi, Jen-Bin; Peng, Cheng-Ming; Zheng, Bo-Chi; Cheng, Fu-Chou; Lee, Ming-Way; Lee, Hsuan-Wei; Wu, Po-Feng; Liu, Yi-Jui

    2018-04-01

    In this study, alpha nickel sulfide (α-NiS) nanosphere films have been successfully synthesized by electroplating the nickel nanosheet film on the indium tin oxide (ITO) glass substrate and sulfuring nickel-coated ITO glass substrate. First, we electrodeposited the nickel nanosheet films on the ITO glass substrates which were cut into a 0.5 × 1 cm2 size. Second, the nanosheet nickel films were annealed in vacuum-sealed glass ampoules with sulfur sheets at different annealing temperatures (300, 400, and 500 °C) for 4 h in vacuum-sealed glass ampoules. The α-NiS films were investigated by using X-ray diffraction (XRD), variable vacuum scanning electron microscopy (VVSEM), field emission scanning electron microscopy/energy dispersive spectrometer (FE-SEM/EDS), cyclic voltammogram (CV), electrochemical impedance spectroscopy (EIS), ultraviolet/visible/near-infrared (UV/Visible/NIR) spectra, and photoluminescence (PL) spectra. Many nanospheres were observed on the surface of the α-NiS films at the annealing temperature 400 °C for 4 h. We also used the high-resolution transmission electron microscopy (HR-TEM) for the analysis of the α-NiS nanospheres. We demonstrated that our α-NiS nanosphere film had a linear current response to different glucose concentrations. Additionally, our α-NiS nanosphere films were preserved at room temperature for five and a half years and were still useful for detecting glucose at low concentration.

  7. Synthesis, characterization, and photocatalytic properties of core/shell mesoporous silica nanospheres supporting nanocrystalline titania

    NASA Astrophysics Data System (ADS)

    Cendrowski, K.; Chen, X.; Zielinska, B.; Kalenczuk, R. J.; Rümmeli, M. H.; Büchner, B.; Klingeler, R.; Borowiak-Palen, E.

    2011-11-01

    The facile bulk synthesis of silica nanospheres makes them an attractive support for the transport of chemical compounds such as nanocrystalline titanium dioxide. In this contribution we present a promising route for the synthesis of mesoporous silica nanospheres (m-SiO2) with diameter in range 200 nm, which are ideal supports for nanocrystalline titanium dioxide (TiO2). The detailed microscopic and spectroscopic characterizations of core/shell structure (m-SiO2/TiO2) were conducted. Moreover, the photocatalytic potential of the nanostructures was investigated via phenol decomposition and hydrogen generation. A clear enhancement of photoactivity in both reactions as compared to commercial TiO2-Degussa P25 catalyst is detected.

  8. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  9. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  10. Thermo-sensitive polymer nanospheres as a smart plugging agent for shale gas drilling operations.

    PubMed

    Wang, Wei-Ji; Qiu, Zheng-Song; Zhong, Han-Yi; Huang, Wei-An; Dai, Wen-Hao

    2017-01-01

    Emulsifier-free poly(methyl methacrylate-styrene) [P(MMA-St)] nanospheres with an average particle size of 100 nm were synthesized in an isopropyl alcohol-water medium by a solvothermal method. Then, through radical graft copolymerization of thermo-sensitive monomer N -isopropylacrylamide (NIPAm) and hydrophilic monomer acrylic acid (AA) onto the surface of P(MMA-St) nanospheres at 80 °C, a series of thermo-sensitive polymer nanospheres, named SD-SEAL with different lower critical solution temperatures (LCST), were prepared by adjusting the mole ratio of NIPAm to AA. The products were characterized by Fourier transform infrared spectroscopy, transmission electron microscopy, thermogravimetric analysis, particle size distribution, and specific surface area analysis. The temperature-sensitive behavior was studied by light transmittance tests, while the sealing performance was investigated by pressure transmission tests with Lungmachi Formation shales. The experimental results showed that the synthesized nanoparticles are sensitive to temperature and had apparent LCST values which increased with an increase in hydrophilic monomer AA. When the temperature was higher than its LCST value, SD-SEAL played a dual role of physical plugging and chemical inhibition, slowed down pressure transmission, and reduced shale permeability remarkably. The plugged layer of shale was changed to being hydrophobic, which greatly improved the shale stability.

  11. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  12. Excitonic lasing in solution-processed subwavelength nanosphere assemblies

    DOE PAGES

    Appavoo, Kannatassen; Liu, Xiaoze; Menon, Vinod; ...

    2016-02-03

    Lasing in solution-processed nanomaterials has gained significant interest because of the potential for low-cost integrated photonic devices. Still, a key challenge is to utilize a comprehensive knowledge of the system’s spectral and temporal dynamics to design low-threshold lasing devices. Here, we demonstrate intrinsic lasing (without external cavity) at low-threshold in an ultrathin film of coupled, highly crystalline nanospheres with overall thickness on the order of ~λ/4. The cavity-free geometry consists of ~35 nm zinc oxide nanospheres that collectively localize the in-plane emissive light fields while minimizing scattering losses, resulting in excitonic lasing with fluence thresholds at least an order ofmore » magnitude lower than previous UV-blue random and quantum-dot lasers (<75 μJ/cm 2). Fluence-dependent effects, as quantified by subpicosecond transient spectroscopy, highlight the role of phonon-mediated processes in excitonic lasing. Subpicosecond evolution of distinct lasing modes, together with three-dimensional electromagnetic simulations, indicate a random lasing process, which is in violation of the commonly cited criteria of strong scattering from individual nanostructures and an optically thick sample. Subsequently, an electron–hole plasma mechanism is observed with increased fluence. Furthermore, these results suggest that coupled nanostructures with high crystallinity, fabricated by low-cost solution-processing methods, can function as viable building blocks for high-performance optoelectronics devices.« less

  13. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  14. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  15. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  16. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  17. Nb{sub 2}O{sub 5} hollow nanospheres as anode material for enhanced performance in lithium ion batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sasidharan, Manickam; Gunawardhana, Nanda; Yoshio, Masaki, E-mail: yoshio@cc.saga-u.ac.jp

    2012-09-15

    Graphical abstract: Nb{sub 2}O{sub 5} hollow nanosphere constructed electrode delivers high capacity of 172 mAh g{sup −1} after 250 cycles and maintains structural integrity and excellent cycling stability. Highlights: ► Nb{sub 2}O{sub 5} hollow nanospheres synthesis was synthesized by soft-template. ► Nb{sub 2}O{sub 5} hollow nanospheres were investigated as anode material in Li-ion battery. ► Nanostructured electrode delivers high capacity of 172 mAh g{sup −1} after 250 cycles. ► The electrode maintains the structural integrity and excellent cycling stability. ► Nanosized shell domain facilitates fast lithium intercalation/deintercalation. -- Abstract: Nb{sub 2}O{sub 5} hollow nanospheres of average diameter ca. ∼29 nmmore » and hollow cavity size ca. 17 nm were synthesized using polymeric micelles with core–shell–corona architecture under mild conditions. The hollow particles were thoroughly characterized by transmission electron microscope (TEM), X-ray diffraction (XRD), infrared spectroscopy (FTIR), thermal (TG/DTA) and nitrogen adsorption analyses. Thus obtained Nb{sub 2}O{sub 5} hollow nanospheres were investigated as anode materials for lithium ion rechargeable batteries for the first time. The nanostructured electrode delivers high capacity of 172 mAh g{sup −1} after 250 cycles of charge/discharge at a rate of 0.5 C. More importantly, the hollow particles based electrodes maintains the structural integrity and excellent cycling stability even after exposing to high current density 6.25 A g{sup −1}. The enhanced electrochemical behavior is ascribed to hollow cavity coupled with nanosized Nb{sub 2}O{sub 5} shell domain that facilitates fast lithium intercalation/deintercalation kinetics.« less

  18. A low cost preparation of WO3 nanospheres film with improved thermal stability of gasochromic and its application in smart windows

    NASA Astrophysics Data System (ADS)

    Zhou, Baoyu; Feng, Wei; Gao, Guohua; Wu, Guangming; Chen, Yue; Li, Wen

    2017-11-01

    Porous WO3 nanospheres film was successfully synthesized by employing a low-cost and facile template-assisted sol-gel method. The effects of template agent (Pluronic F127) on structure, morphology and specific surface area were systematically studied by Fourier transform infrared (FTIR), x-ray diffraction (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM), and N2 physisorption. It was found that F127 played a significant role in governing the morphology of WO3 sol clusters, and the optimal post-processing for ‘naked’ WO3 nanospheres film is acetone extraction and subsequent annealing treatment at 350 °C. As anticipated, the relative fast coloring/bleaching rates of WO3 nanospheres film are believed to be the results of porous microstructure and nanocrystalline, where provides much surface active position (166 m2 g-1) and shortens the proton diffusion distance. We believe that this unique approach to synthesize nanospheres structure may has beneficial effects on applications which also are based on insertion/extraction and diffusion abilities, such as supercapacitor, batteries and gas sensors.

  19. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  20. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  1. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  2. Development of a Vaccine Targeting Triple-Negative Breast Cancer

    DTIC Science & Technology

    2013-11-01

    node Mild hyperplasia and moderate granulomatous perinodal cellulitis mild hyperplasia mild hyperplasia and granulomatous lymphadenitis with...free lipid NSL Mild hyperplasia and moderate granulomatous perinodal cellulitis and steatosis, chronic. Salivary glands NSL NSL NSL NSL NSL

  3. Efficiency improvement of InGaN light emitting diodes with embedded self-assembled SiO2 nanosphere arrays

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Fan, Chao; Chen, Yu; Hu, Qiang; Li, Jinmin

    2014-05-01

    In this study, the periodic SiO2 nanosphere nanopatterned sapphire substrate (SiO2-NPSS) was made using self-assembled SiO2 nanosphere monolayer template and inductively coupled plasma (ICP) etching. And the self-assembled SiO2 nanosphere monolayer was directly embedded into the GaN/sapphire interface by nanoscale epitaxial lateral overgrowth (NELOG). For comparison, a common nanopatterned sapphire substrate (C-NPSS) was also made through dry etching with the SiO2 nanospheres used as the mask. Compared with LEDs grown on C-NPSS and flat sapphire substrate (FSS), the external quantum efficiency of LEDs with SiO2 nanopheres (SiO2-NPSS) was increased by 30.7% and 81.9% under a driving current 350 mA. The SiO2-NPSS not only improved the crystalline quality of GaN but also enhanced the light extraction efficiency (LEE) of LED. And the SiO2-NPSS LED also showed more light in vertical direction and more uniform light distribution. By finite-difference time-domain (FDTD) simulation, we confirmed that more light could be reflected from the GaN/SiO2 interface than the GaN/sapphire interface because the refractive index of SiO2 was lower than that of sapphire. Therefore, LED grown on the SiO2-NPSS showed superior light extraction efficiency compared to that on C-NPSS.

  4. Manipulating the Temperature of Sulfurization to Synthesize α-NiS Nanosphere Film for Long-Term Preservation of Non-enzymatic Glucose Sensors.

    PubMed

    Lin, Hsien-Sheng; Shi, Jen-Bin; Peng, Cheng-Ming; Zheng, Bo-Chi; Cheng, Fu-Chou; Lee, Ming-Way; Lee, Hsuan-Wei; Wu, Po-Feng; Liu, Yi-Jui

    2018-04-19

    In this study, alpha nickel sulfide (α-NiS) nanosphere films have been successfully synthesized by electroplating the nickel nanosheet film on the indium tin oxide (ITO) glass substrate and sulfuring nickel-coated ITO glass substrate. First, we electrodeposited the nickel nanosheet films on the ITO glass substrates which were cut into a 0.5 × 1 cm 2 size. Second, the nanosheet nickel films were annealed in vacuum-sealed glass ampoules with sulfur sheets at different annealing temperatures (300, 400, and 500 °C) for 4 h in vacuum-sealed glass ampoules. The α-NiS films were investigated by using X-ray diffraction (XRD), variable vacuum scanning electron microscopy (VVSEM), field emission scanning electron microscopy/energy dispersive spectrometer (FE-SEM/EDS), cyclic voltammogram (CV), electrochemical impedance spectroscopy (EIS), ultraviolet/visible/near-infrared (UV/Visible/NIR) spectra, and photoluminescence (PL) spectra. Many nanospheres were observed on the surface of the α-NiS films at the annealing temperature 400 °C for 4 h. We also used the high-resolution transmission electron microscopy (HR-TEM) for the analysis of the α-NiS nanospheres. We demonstrated that our α-NiS nanosphere film had a linear current response to different glucose concentrations. Additionally, our α-NiS nanosphere films were preserved at room temperature for five and a half years and were still useful for detecting glucose at low concentration.

  5. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  6. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  7. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  8. Fabrication and magnetic properties of Ni nanospheres encapsulated in a fullerene-like carbon.

    PubMed

    Pol, S V; Pol, V G; Frydman, A; Churilov, G N; Gedanken, A

    2005-05-19

    A very simple, efficient, and economical synthetic technique, which produces fascinating fullerene-like Ni-C (graphitic) core-shell nanostructures at a relatively low temperature, is reported. The thermal dissociation of Ni acetylacetonate is carried out in a closed vessel cell (Swagelok) that was heated at 700 degrees C for 3 h. The encapsulation of ferromagnetic Ni nanospheres into the onion structured graphitic layers is obtained in a one-stage, single precursor reaction, without a catalyst, that possesses interesting magnetic properties. The magnetoresistance (MR) property of Ni nanospheres encapsulated in a fullerene-like carbon was measured, which shows large negative MR, of the order of 10%. The proposed mechanism for the formation of the Ni-C core-shell system is based on the segregation and the surface flux formed in the Ni and carbon particles during the reaction under autogenic pressure at elevated temperature.

  9. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  10. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  11. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  12. Synthesis of hollow NiCo2O4 nanospheres with large specific surface area for asymmetric supercapacitors.

    PubMed

    Xu, Kaibing; Yang, Jianmao; Hu, Junqing

    2018-02-01

    Hollow micro-/nanostructured electrode materials with high active surface area are highly desirable for achieving outstanding electrochemical properties. Herein, we report the successful synthesis of hierarchical hollow NiCo 2 O 4 nanospheres with high surface area as electrode materials for supercapacitors. Electrochemical measurements prove that such electrode materials exhibit excellent electrochemical behavior with a specific capacitance reaching 1229 F/g at 1 A/g, remarkable rate performance (∼83.6% retention from 1 to 25 A/g) and good cycling performance (86.3% after 3000 cycles). Furthermore, the asymmetric supercapacitor is fabricated with hollow NiCo 2 O 4 nanospheres electrode and activated carbon (AC) electrode as the positive and negative, respectively. This device exhibits a maximum energy density of 21.5 W h/kg, excellent cycling performance and coulombic efficiency. The results show that hollow NiCo 2 O 4 nanosphere electrode is a promising electrode material for the future application in high performance supercapacitors. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Facile one-step synthesis of Ag@Fe3O4 core-shell nanospheres for reproducible SERS substrates

    NASA Astrophysics Data System (ADS)

    Sun, Lijuan; He, Jiang; An, Songsong; Zhang, Junwei; Ren, Dong

    2013-08-01

    A facile approach has been developed to synthesize Ag@Fe3O4 core-shell nanospheres, in which the Ag nanoparticle core was well wrapped by a permeable Fe3O4 shell. An in situ reduction of AgNO3 and Fe(NO3)3 was the basis of this one-step method with ethylene glycol as the reducing agent. The as-obtained Ag@Fe3O4 nanospheres were a highly efficient surface-enhanced Raman scattering (SERS) substrate; high reproducibility, stability, and reusability were obtained by employing 4-aminothiophenol (4-ATP) and rhodamine 6G (R6G) as the Raman probe molecules. It was revealed that the SERS signals of 4-ATP and R6G on the Ag@Fe3O4 nanospheres were much stronger than those on the pure Ag nanoparticles, demonstrating that the magnetic enrichment procedures can improve SERS detection sensitivity efficiently. A highly efficient and recyclable SERS substrate was produced by the new model system that has potential applications in chemical and biomolecular assays.

  14. Interstitial and Interlayer Ion Diffusion Geometry Extraction in Graphitic Nanosphere Battery Materials.

    PubMed

    Gyulassy, Attila; Knoll, Aaron; Lau, Kah Chun; Wang, Bei; Bremer, Peer-Timo; Papka, Michael E; Curtiss, Larry A; Pascucci, Valerio

    2016-01-01

    Large-scale molecular dynamics (MD) simulations are commonly used for simulating the synthesis and ion diffusion of battery materials. A good battery anode material is determined by its capacity to store ion or other diffusers. However, modeling of ion diffusion dynamics and transport properties at large length and long time scales would be impossible with current MD codes. To analyze the fundamental properties of these materials, therefore, we turn to geometric and topological analysis of their structure. In this paper, we apply a novel technique inspired by discrete Morse theory to the Delaunay triangulation of the simulated geometry of a thermally annealed carbon nanosphere. We utilize our computed structures to drive further geometric analysis to extract the interstitial diffusion structure as a single mesh. Our results provide a new approach to analyze the geometry of the simulated carbon nanosphere, and new insights into the role of carbon defect size and distribution in determining the charge capacity and charge dynamics of these carbon based battery materials.

  15. 3D bioprinting mesenchymal stem cell-laden construct with core-shell nanospheres for cartilage tissue engineering

    NASA Astrophysics Data System (ADS)

    Zhu, Wei; Cui, Haitao; Boualam, Benchaa; Masood, Fahed; Flynn, Erin; Rao, Raj D.; Zhang, Zhi-Yong; Zhang, Lijie Grace

    2018-05-01

    Cartilage tissue is prone to degradation and has little capacity for self-healing due to its avascularity. Tissue engineering, which provides artificial scaffolds to repair injured tissues, is a novel and promising strategy for cartilage repair. 3D bioprinting offers even greater potential for repairing degenerative tissue by simultaneously integrating living cells, biomaterials, and biological cues to provide a customized scaffold. With regard to cell selection, mesenchymal stem cells (MSCs) hold great capacity for differentiating into a variety of cell types, including chondrocytes, and could therefore be utilized as a cartilage cell source in 3D bioprinting. In the present study, we utilize a tabletop stereolithography-based 3D bioprinter for a novel cell-laden cartilage tissue construct fabrication. Printable resin is composed of 10% gelatin methacrylate (GelMA) base, various concentrations of polyethylene glycol diacrylate (PEGDA), biocompatible photoinitiator, and transforming growth factor beta 1 (TGF-β1) embedded nanospheres fabricated via a core-shell electrospraying technique. We find that the addition of PEGDA into GelMA hydrogel greatly improves the printing resolution. Compressive testing shows that modulus of the bioprinted scaffolds proportionally increases with the concentrations of PEGDA, while swelling ratio decreases with the increase of PEGDA concentration. Confocal microscopy images illustrate that the cells and nanospheres are evenly distributed throughout the entire bioprinted construct. Cells grown on 5%/10% (PEGDA/GelMA) hydrogel present the highest cell viability and proliferation rate. The TGF-β1 embedded in nanospheres can keep a sustained release up to 21 d and improve chondrogenic differentiation of encapsulated MSCs. The cell-laden bioprinted cartilage constructs with TGF-β1-containing nanospheres is a promising strategy for cartilage regeneration.

  16. 3D bioprinting mesenchymal stem cell-laden construct with core-shell nanospheres for cartilage tissue engineering.

    PubMed

    Zhu, Wei; Cui, Haitao; Boualam, Benchaa; Masood, Fahed; Flynn, Erin; Rao, Raj D; Zhang, Zhi-Yong; Zhang, Lijie Grace

    2018-05-04

    Cartilage tissue is prone to degradation and has little capacity for self-healing due to its avascularity. Tissue engineering, which provides artificial scaffolds to repair injured tissues, is a novel and promising strategy for cartilage repair. 3D bioprinting offers even greater potential for repairing degenerative tissue by simultaneously integrating living cells, biomaterials, and biological cues to provide a customized scaffold. With regard to cell selection, mesenchymal stem cells (MSCs) hold great capacity for differentiating into a variety of cell types, including chondrocytes, and could therefore be utilized as a cartilage cell source in 3D bioprinting. In the present study, we utilize a tabletop stereolithography-based 3D bioprinter for a novel cell-laden cartilage tissue construct fabrication. Printable resin is composed of 10% gelatin methacrylate (GelMA) base, various concentrations of polyethylene glycol diacrylate (PEGDA), biocompatible photoinitiator, and transforming growth factor beta 1 (TGF-β1) embedded nanospheres fabricated via a core-shell electrospraying technique. We find that the addition of PEGDA into GelMA hydrogel greatly improves the printing resolution. Compressive testing shows that modulus of the bioprinted scaffolds proportionally increases with the concentrations of PEGDA, while swelling ratio decreases with the increase of PEGDA concentration. Confocal microscopy images illustrate that the cells and nanospheres are evenly distributed throughout the entire bioprinted construct. Cells grown on 5%/10% (PEGDA/GelMA) hydrogel present the highest cell viability and proliferation rate. The TGF-β1 embedded in nanospheres can keep a sustained release up to 21 d and improve chondrogenic differentiation of encapsulated MSCs. The cell-laden bioprinted cartilage constructs with TGF-β1-containing nanospheres is a promising strategy for cartilage regeneration.

  17. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  18. 3-D matrix template-assisted growth of oriented oxide nanowire arrays using glancing angle pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Wright, N.; Mateo-Feliciano, D.; Ostoski, A.; Mukherjee, P.; Witanachchi, S.

    Nanosphere lithography is a combination of different methods to nanofabrication. In this work nanosphere lithography is used to study the growth of Zinc Oxide Nano-columns (ZnO NCs) on different diameter Silica Nanosphere (SNS) self-assembled templates. ZnO NCs are promising building blocks for many existing and emerging optical, electrical, and piezoelectric devices, specifically, the seeded growth of other oxide materials. Recently, reports have shown a ferroelectric phase of zinc stannate (ZnSnO3) and while lead zirconium titanate oxide (PZT) has been the main material of interest in ferroelectric and piezoelectric applications, the toxicity of lead has been of great concern. The possibility of developing lead free piezoelectric materials is of great interest in the ferroelectric community. Langmuir-Blodgett method was used to construct a self-assembled monolayer of SNSs on silicon substrates. Oriented ZnO NCs were grown on top of the spheres using the glancing angle pulsed laser deposition technique. Columns were formed in a spatially ordered closed-packed hexagonal configuration. Growth of ZnO NCs was studied as function of ambient Oxygen pressure with SNS size ranging from 250-1000 nm. Cross-sectional Scanning Electron Microscopy and X-ray diffraction (XRD) were used to study the template structure. Relative aspect ratios were studied and showed tunability of column dimensions with sphere size. XRD revealed ZnO NC arrays were c-axis oriented with hexagonal wurtzite structure.

  19. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  20. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  1. Simple synthesis and characteristics of Mo/MoS2 inorganic fullerene-like and actinomorphic nanospheres with core shell structure

    NASA Astrophysics Data System (ADS)

    Chang, Lianxia; Yang, Haibin; Li, Jixue; Fu, Wuyou; Du, Yonghui; Du, Kai; Yu, Qingjiang; Xu, Jing; Li, Minghui

    2006-08-01

    High yields of Mo/MoS2 inorganic fullerene-like and actinomorphic nanospheres with a core-shell structure have been successfully synthesized by the one-step reaction of sulfur and molybdenum nanospheres under a hydrogen atmosphere, in which the Mo nanospheres were prepared by the wire electrical explosion method. The shell thickness of MoS2 is about 4-10 nm and exhibit an expansion of about 4.2-1% along the c-axis. Observed from high-resolution transmission electron microscopy images, unreacted molybdenum lying between the (002) layers of MoS2 contributes to the larger expansion besides the strain in the bent layer and the crystal defects; the preferred growth orientations for MoS2 on the surface of Mo have two directions under different annealing temperatures: parallel to the (110) plane of Mo, presenting an actinomorphic phase, and perpendicular or having certain angles to the (110) plane, showing a fullerene-like phase. The actinomorphic Mo/MoS2 can be used for catalysis and intercalation. The fullerene-like phase can be applied as a solid lubricant to enhance the structural rigidity and load bearing capacity of hollow MoS2. In addition, the core-shell nanospheres exhibit a little higher onset temperature and a narrow temperature range against oxidation with a weaker exothermic peak than conventional 2H-MoS2.

  2. Controllable synthesis of Ce{sub 1-x}Zr{sub x}O{sub 2} hollow nanospheres via supercritical anti-solvent precipitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang Haoxi; Post-Doctor Station for Science and Technology of Chemical Engineering and Technology, Tianjin University, Tianjin 300072; Post-Doctor Workstation for Science and Technology, Shandong Haihua Group Co. Ltd, Weifang, Shandong 262737

    2012-01-15

    Nanocrystalline Ce{sub 1-x}Zr{sub x}O{sub 2} hollow nanospheres were successfully synthesized via supercritical anti-solvent precipitation using supercritical CO{sub 2} as the anti-solvent. It was found that the as-produced samples exhibited hollow spherical structures with uniform diameters ranging from 30 to 50 nm and the sphere walls were composed of various oriented nanocrystallites, with sizes of 3-7 nm. The results of high-resolution transmission electron microscopy showed that the formation of the hollow structures could be controlled by adjusting the solution concentration. The results of temperature-programmed reduction and oxygen storage capacity measurements showed that the hollow nanospheres had enhanced redox properties. A possiblemore » mechanism for the formation of Ce{sub 1-x}Zr{sub x}O{sub 2} hollow nanospheres has also been proposed and experimental investigated.« less

  3. The fabrication of highly conductive and flexible Ag patterning through baking Ag nanosphere-nanoplate hybrid ink at a low temperature of 100 °C

    NASA Astrophysics Data System (ADS)

    Han, Y. D.; Zhang, S. M.; Jing, H. Y.; Wei, J.; Bu, F. H.; Zhao, L.; Lv, X. Q.; Xu, L. Y.

    2018-04-01

    With the aim of developing highly conductive ink for flexible electronics on heat-sensitive substrates, Ag nanospheres and nanoplates were mixed to synthesize hybrid inks. Five kinds of hybrid ink and two types of pure ink were written to square shape on Epson photo paper using rollerball pens, and sintered at a low temperature (100 °C). The microstructure, electrical resistivity, surface porosity, hardness and flexibility of silver patterns were systematically investigated and compared. It was observed that the optimal mixing ratio of nanospheres and nanoplates was 1:1, which equipped the directly written pattern with excellent electrical and mechanical properties. The electrical resistivity was 0.103 μΩ · m, only 6.5 times that of bulk silver. The enhancement compared to pure silver nanospheres or nanoplates based ink was due to the combined action of nanospheres and nanoplates. This demonstrates a valuable way to prepare Ag nanoink with good performance for printed/written electronics.

  4. The fabrication of highly conductive and flexible Ag pattern through baking Ag nanospheres - nanoplates hybrid ink at a low temperature of 100°C.

    PubMed

    Han, Y D; Zhang, Siming; Jing, H Y; Wei, Jun; Bu, Fanhui; Zhao, Lei; Lv, Xiaoqing; Xu, L Y

    2018-01-24

    With the aim of developing highly conductive ink for flexible electronics on heat-sensitive substrates, Ag nanospheres and nanoplates were mixed to synthesize hybrid inks. Five kinds of hybrid ink and two types of pure ink were written to square shape on Epson photo paper using rollerball pens and sintered at a low temperature (100℃). The microstructure, electrical resistivity, surface porosity, hardness and flexibility of silver patterns were systematically investigated and compared. It was observed that the optimal mixing ratio of nanospheres and nanoplates was 1:1, which equipped the directly written pattern with excellent electrical and mechanical properties. The electrical resistivity was 0.103 μΩ·m, which was only 6.5 times of bulk silver. The enhancement compared to pure silver nanospheres or nanoplates based ink was owing to the combined action of nanospheres and nanoplates. It was a valued way to prepare Ag nanoink with good performance for printed/written electronics. © 2018 IOP Publishing Ltd.

  5. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  6. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  7. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  8. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  9. Maturation of dendritic cells in vitro and immunological enhancement of mice in vivo by pachyman- and/or OVA-encapsulated poly(d,l-lactic acid) nanospheres

    PubMed Central

    Lu, Yu; Huang, Yifan; Luo, Li; Liu, Zhenguang; Bo, Ruonan; Hu, Yuanliang; Liu, Jiaguo; Wang, Deyun

    2018-01-01

    Background Poly lactide (PLA) was proved in the last years to be good for use in sustained drug delivery and as carriers for vaccine antigens. In our previous research, pachyman (PHY)-encapsulated PLA (PHYP) nanospheres were synthesized and their function of controlling drug release was demonstrated. Purpose In order to modify the fast drug-release rate of PHY when inoculated alone, the maturation of bone marrow dendritic cells (BMDCs) in vitro and their immunological enhancement in vivo were explored using PHYP nanospheres. Methods The maturation and antigen uptake of BMDCs were evaluated, both alone and with formulated antigen PHYP nanospheres, ie, ovalbumin (OVA)-loaded PHYP nanospheres, as an antigen delivery system, to investigate antigen-specific humoral and cellular immune responses. Results The results indicated that, when stimulated by PHYP, the BMDCs matured as a result of upregulated expression of co-stimulatory molecules; the mechanism was elucidated by tracing fluorescently labeled antigens in confocal laser scanning microscopy images and observing the uptake of nanospheres by transmission electron microscopy. It was further revealed that mice inoculated with OVA-PHYP had augmented antigen-specific IgG antibodies, increased cytokine secretion by splenocytes, increased splenocyte proliferation, and activation of cluster of differentiation (CD)4+ and CD8+ T cells in vivo. Elevated immune responses were produced by OVA-PHYP, possibly owing to the activation and maturation of dendritic cells (in draining lymph nodes). Conclusion It was corroborated that PHY- and/or OVA-encapsulated PLA nanospheres elicited prominent antigen-presenting effects on BMDCs and heightened humoral and cellular immune responses compared with other formulations. PMID:29416336

  10. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  11. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  12. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  13. Development and statistical optimization of nefopam hydrochloride loaded nanospheres for neuropathic pain using Box-Behnken design.

    PubMed

    Sukhbir, S; Yashpal, S; Sandeep, A

    2016-09-01

    Nefopam hydrochloride (NFH) is a non-opioid centrally acting analgesic drug used to treat chronic condition such as neuropathic pain. In current research, sustained release nefopam hydrochloride loaded nanospheres (NFH-NS) were auspiciously synthesized using binary mixture of eudragit RL 100 and RS 100 with sorbitan monooleate as surfactant by quasi solvent diffusion technique and optimized by 3 5 Box-Behnken designs to evaluate the effects of process and formulation variables. Fourier transform infrared spectroscopy (FTIR), differential scanning calorimetric (DSC) and X-ray diffraction (XRD) affirmed absence of drug-polymer incompatibility and confirmed formation of nanospheres. Desirability function scrutinized by design-expert software for optimized formulation was 0.920. Optimized batch of NFH-NS had mean particle size 328.36 nm ± 2.23, % entrapment efficiency (% EE) 84.97 ± 1.23, % process yield 83.60 ± 1.31 and % drug loading (% DL) 21.41 ± 0.89. Dynamic light scattering (DLS), zeta potential analysis and scanning electron microscopy (SEM) validated size, charge and shape of nanospheres, respectively. In-vitro drug release study revealed biphasic release pattern from optimized nanospheres. Korsmeyer Peppas found excellent kinetics model with release exponent less than 0.45. Chronic constricted injury (CCI) model of optimized NFH-NS in Wistar rats produced significant difference in neuropathic pain behavior ( p  < 0.05) as compared to free NFH over 10 h indicating sustained action. Long term and accelerated stability testing of optimized NFH-NS revealed degradation rate constant 1.695 × 10 -4 and shelf-life 621 days at 25 ± 2 °C/60% ± 5% RH.

  14. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  15. Efficient one-pot sonochemical synthesis of thickness-controlled silica-coated superparamagnetic iron oxide (Fe3O4/SiO2) nanospheres

    NASA Astrophysics Data System (ADS)

    Abbas, Mohamed; Abdel-Hamed, M. O.; Chen, Jiangang

    2017-12-01

    A facile and eco-friendly efficient sonochemical approach was designed for the synthesis of highly crystalline Fe3O4 and Fe3O4/SiO2 core/shell nanospheres in single reaction. The generated physical properties (shock waves, microjets, and turbulent flows) from ultrasonication as a consequence of the collapse of microbubbles and polyvinylpyrrolidone (PVP) as a chemical linker were found to play a crucial role in the successful formation of the core/shell NPs within short time than the previously reported methods. Transmission electron microscopy revealed that a uniform SiO2 shell is successfully coated over Fe3O4 nanospheres, and the thickness of the silica shell could be easily controlled in the range from 5 to 15 nm by adjusting the reaction parameters. X-ray diffraction data were employed to confirm the formation of highly crystalline and pure phase of a cubic inverse spinel structure for magnetite (Fe3O4) nanospheres. The magnetic properties of the as-synthesized Fe3O4 and Fe3O4/SiO2 core/shell nanospheres were measured at room temperature using vibrating sample magnetometer, and the results demonstrated a high magnetic moment values with superparamagnetic properties.

  16. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  17. The fabrication of highly conductive and flexible Ag patterning through baking Ag nanosphere-nanoplate hybrid ink at a low temperature of 100 °C.

    PubMed

    Han, Y D; Zhang, S M; Jing, H Y; Wei, J; Bu, F H; Zhao, L; Lv, X Q; Xu, L Y

    2018-02-12

    With the aim of developing highly conductive ink for flexible electronics on heat-sensitive substrates, Ag nanospheres and nanoplates were mixed to synthesize hybrid inks. Five kinds of hybrid ink and two types of pure ink were written to square shape on Epson photo paper using rollerball pens, and sintered at a low temperature (100 °C). The microstructure, electrical resistivity, surface porosity, hardness and flexibility of silver patterns were systematically investigated and compared. It was observed that the optimal mixing ratio of nanospheres and nanoplates was 1:1, which equipped the directly written pattern with excellent electrical and mechanical properties. The electrical resistivity was 0.103 μΩ · m, only 6.5 times that of bulk silver. The enhancement compared to pure silver nanospheres or nanoplates based ink was due to the combined action of nanospheres and nanoplates. This demonstrates a valuable way to prepare Ag nanoink with good performance for printed/written electronics.

  18. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  19. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  20. Synthesis of mesoporous hollow silica nanospheres using polymeric micelles as template and their application as a drug-delivery carrier.

    PubMed

    Sasidharan, Manickam; Zenibana, Haruna; Nandi, Mahasweta; Bhaumik, Asim; Nakashima, Kenichi

    2013-10-07

    Mesoporous hollow silica nanospheres with uniform particle sizes of 31-33 nm have been successfully synthesized by cocondensation of tetramethoxysilane (TMOS) and alkyltrimethoxysilanes [RSi(OR)3], where the latter also acts as a porogen. ABC triblock copolymer micelles of poly(styrene-b-2-vinyl pyridine-b-ethylene oxide) (PS-PVP-PEO) with a core-shell-corona architecture have been employed as a soft template at pH 4. The cationic shell block with 2-vinyl pyridine groups facilitates the condensation of silica precursors under the sol-gel reaction conditions. Phenyltrimethoxysilane, octyltriethoxysilane, and octadecyltriethoxysilanes were used as porogens for generating mesopores in the shell matrix of hollow silica and the octadecyl precursor produced the largest mesopore among the different porogens, of dimension ca. 4.1 nm. The mesoporous hollow particles were thoroughly characterized by small-angle X-ray diffraction (SXRD), thermal (TG/DTA) and nitrogen sorption analyses, infra-red (FTIR) and nuclear magnetic resonance ((13)C-CP MAS NMR and (29)Si MAS NMR) spectroscopies, and transmission electron microscopy (TEM). The mesoporous hollow silica nanospheres have been investigated for drug-delivery application by an in vitro method using ibuprofen as a model drug. The hollow silica nanospheres exhibited higher storage capacity than the well-known mesoporous silica MCM-41. Propylamine functionalized hollow particles show a more sustained release pattern than their unfunctionalized counterparts, suggesting a huge potential of hollow silica nanospheres in the controlled delivery of small drug molecules.

  1. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  2. Morphological evolution of prussian yellow Fe[Fe(CN){sub 6}] colloidal nanospheres

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gu, Jianmin, E-mail: jmgu@ysu.edu.cn; Fu, Shaoyan; Jin, Cuihong

    2016-07-15

    A simple hydrothermal system was developed for controllable morphologies of the Prussian yellow Fe[Fe(CN){sub 6}] nanostructures in the presence of organic additives. Hollow and solid nanospheres of the Prussian yellow materials were successfully synthesized with suitable experimental conditions. It is found that the amounts of organic additives CTAB could result in the formation of the spherical nanocrystals and the hydrolysis of phosphate in the solution could play a role in the final morphology of the products. A possible formation mechanism of the Prussian yellow nanostructures is proposed. - Graphical abstract: A hydrothermal process was developed for controllable fabrication of themore » Prussian yellow hollow and solid nanospheres with the employment of different phosphate. The hydrolysis of phosphate in the solution could play a role in the morphology of the Prussian yellow nanomaterials. The acid phosphate (NaH{sub 2}PO{sub 4}) could result in the formation of the solid nanoparticles. The alkalescent phosphate (Na{sub 2}HPO{sub 4}) could result in the formation of the hollow nanoparticles. Display Omitted.« less

  3. Interstitial and Interlayer Ion Diffusion Geometry Extraction in Graphitic Nanosphere Battery Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gyulassy, Attila; Knoll, Aaron; Lau, Kah Chun

    2016-01-01

    Large-scale molecular dynamics (MD) simulations are commonly used for simulating the synthesis and ion diffusion of battery materials. A good battery anode material is determined by its capacity to store ion or other diffusers. However, modeling of ion diffusion dynamics and transport properties at large length and long time scales would be impossible with current MD codes. To analyze the fundamental properties of these materials, therefore, we turn to geometric and topological analysis of their structure. In this paper, we apply a novel technique inspired by discrete Morse theory to the Delaunay triangulation of the simulated geometry of a thermallymore » annealed carbon nanosphere. We utilize our computed structures to drive further geometric analysis to extract the interstitial diffusion structure as a single mesh. Our results provide a new approach to analyze the geometry of the simulated carbon nanosphere, and new insights into the role of carbon defect size and distribution in determining the charge capacity and charge dynamics of these carbon based battery materials.« less

  4. Interstitial and interlayer ion diffusion geometry extraction in graphitic nanosphere battery materials

    DOE PAGES

    Gyulassy, Attila; Knoll, Aaron; Lau, Kah Chun; ...

    2016-01-31

    Large-scale molecular dynamics (MD) simulations are commonly used for simulating the synthesis and ion diffusion of battery materials. A good battery anode material is determined by its capacity to store ion or other diffusers. However, modeling of ion diffusion dynamics and transport properties at large length and long time scales would be impossible with current MD codes. To analyze the fundamental properties of these materials, therefore, we turn to geometric and topological analysis of their structure. In this paper, we apply a novel technique inspired by discrete Morse theory to the Delaunay triangulation of the simulated geometry of a thermallymore » annealed carbon nanosphere. We utilize our computed structures to drive further geometric analysis to extract the interstitial diffusion structure as a single mesh. Lastly, our results provide a new approach to analyze the geometry of the simulated carbon nanosphere, and new insights into the role of carbon defect size and distribution in determining the charge capacity and charge dynamics of these carbon based battery materials.« less

  5. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  6. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taguchi, Atsushi; Saito, Yuika; Watanabe, Koichi

    Localized surface plasmon resonances were controlled at deep-ultraviolet (DUV) wavelengths by fabricating aluminum (Al) nanostructures in a size-controllable manner. Plasmon resonances were obtained at wavelengths from near-UV down to 270 nm (4.6 eV) depending on the fabricated structure size. Such precise size control was realized by the nanosphere lithography technique combined with additional microwave heating to shrink the spaces in a close-packed monolayer of colloidal nanosphere masks. By adjusting the microwave heating time, the sizes of the Al nanostructures could be controlled from 80 nm to 50 nm without the need to use nanosphere beads of different sizes. With themore » outstanding controllability and versatility of the presented fabrication technique, the fabricated Al nanostructure is promising for use as a DUV plasmonic substrate, a light-harvesting platform for mediating strong light-matter interactions between UV photons and molecules placed near the metal nanostructure.« less

  7. Facile synthesis of graphene-wrapped honeycomb MnO2 nanospheres and their application in supercapacitors.

    PubMed

    Zhu, Jiayi; He, Junhui

    2012-03-01

    Graphene-wrapped MnO(2) nanocomposites were first fabricated by coassembly between honeycomb MnO(2) nanospheres and graphene sheets via electrostatic interaction. The materials were characterized by means of X-ray diffraction, scanning electron microscopy, transmission electron microscopy, atomic force microscopy, and thermogravimetric analysis. The novel MnO(2)/graphene hybrid materials were used for investigation of electrochemical capacitive behaviors. The hybrid materials displayed enhanced capacitive performance (210 F/g at 0.5 A/g). Additionally, over 82.4% of the initial capacitance was retained after repeating the cyclic voltammetry test for 1000 cycles. The improved electrochemical performance might be attributed to the combination of the pesudocapacitance of MnO(2) nanospheres with the honeycomb-like "opened" structure and good electrical conductivity of graphene sheets. © 2012 American Chemical Society

  8. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  9. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  10. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  11. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  12. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  13. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  14. Study of the hydrostatic pressure dependence of the Raman spectrum of W/WS2 fullerene-like nanosphere with core shell structure

    NASA Astrophysics Data System (ADS)

    Yu, S. D.; Chang, L. X.; Yang, H. B.; Liu, B. B.; Hou, Y. Y.; Wang, L.; Yao, M. G.; Cui, T.; Zou, G. T.

    2007-10-01

    The structural behavior of a W/WS2 fullerene-like nanosphere with a core-shell structure has been studied in the hydrostatic pressure range from atmospheric pressure to 18 GPa by Raman spectroscopy using a methanol-ethanol-water mixture (16:3:1) as the pressure transmitting medium (PTM). We found that it is interesting that the intensity ratio of the LA+TA mode and the A1g mode changes with increasing pressure. We attribute this change to the shape transformation of an inorganic fullerene-like IF-W/WS2 nanosphere under high hydrostatic pressure. By comparing the Raman spectra of an IF-W/WS2 nanosphere released from high pressure with that of the original one, we found that the change in morphology is reversible. This indicates that the spherical shape of the IF-W/WS2 has excellent behavior in resisting compression.

  15. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  16. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  17. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  18. Fabrication of CeO2–MOx (M = Cu, Co, Ni) composite yolk–shell nanospheres with enhanced catalytic properties for CO oxidation

    PubMed Central

    Shi, Jingjing; Cao, Hongxia; Wang, Ruiyu

    2017-01-01

    CeO2–MOx (M = Cu, Co, Ni) composite yolk–shell nanospheres with uniform size were fabricated by a general wet-chemical approach. It involved a non-equilibrium heat-treatment of Ce coordination polymer colloidal spheres (Ce-CPCSs) with a proper heating rate to produce CeO2 yolk–shell nanospheres, followed by a solvothermal treatment of as-synthesized CeO2 with M(CH3COO)2 in ethanol solution. During the solvothermal process, highly dispersed MOx species were decorated on the surface of CeO2 yolk–shell nanospheres to form CeO2–MOx composites. As a CO oxidation catalyst, the CeO2–MOx composite yolk–shell nanospheres showed strikingly higher catalytic activity than naked CeO2 due to the strong synergistic interaction at the interface sites between MOx and CeO2. Cycling tests demonstrate the good cycle stability of these yolk–shell nanospheres. The initial concentration of M(CH3COO)2·xH2O in the synthesis process played a significant role in catalytic performance for CO oxidation. Impressively, complete CO conversion as reached at a relatively low temperature of 145 °C over the CeO2–CuOx-2 sample. Furthermore, the CeO2–CuOx catalyst is more active than the CeO2–CoOx and CeO2–NiO catalysts, indicating that the catalytic activity is correlates with the metal oxide. Additionally, this versatile synthesis approach can be expected to create other ceria-based composite oxide systems with various structures for a broad range of technical applications. PMID:29234577

  19. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  20. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  1. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  2. Modelling realistic TiO2 nanospheres: A benchmark study of SCC-DFTB against hybrid DFT

    NASA Astrophysics Data System (ADS)

    Selli, Daniele; Fazio, Gianluca; Di Valentin, Cristiana

    2017-10-01

    TiO2 nanoparticles (NPs) are nowadays considered fundamental building blocks for many technological applications. Morphology is found to play a key role with spherical NPs presenting higher binding properties and chemical activity. From the experimental point of view, the characterization of these nano-objects is extremely complex, opening a large room for computational investigations. In this work, TiO2 spherical NPs of different sizes (from 300 to 4000 atoms) have been studied with a two-scale computational approach. Global optimization to obtain stable and equilibrated nanospheres was performed with a self-consistent charge density functional tight-binding (SCC-DFTB) simulated annealing process, causing a considerable atomic rearrangement within the nanospheres. Those SCC-DFTB relaxed structures have been then optimized at the DFT(B3LYP) level of theory. We present a systematic and comparative SCC-DFTB vs DFT(B3LYP) study of the structural properties, with particular emphasis on the surface-to-bulk sites ratio, coordination distribution of surface sites, and surface energy. From the electronic point of view, we compare HOMO-LUMO and Kohn-Sham gaps, total and projected density of states. Overall, the comparisons between DFTB and hybrid density functional theory show that DFTB provides a rather accurate geometrical and electronic description of these nanospheres of realistic size (up to a diameter of 4.4 nm) at an extremely reduced computational cost. This opens for new challenges in simulations of very large systems and more extended molecular dynamics.

  3. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  4. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  5. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  6. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  7. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  8. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  9. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  10. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  11. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  12. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  13. Efficient capture of CO2 over ordered micro-mesoporous hybrid carbon nanosphere

    NASA Astrophysics Data System (ADS)

    Chen, Changwei; Yu, Yanke; He, Chi; Wang, Li; Huang, Huang; Albilali, Reem; Cheng, Jie; Hao, Zhengping

    2018-05-01

    Four kinds of carbon-based adsorbents (micro-mesoporous hybrid carbon nanosphere and N-doped hollow carbon sphere with single-, double- or ruga-shell morphology) with different structural and textural properties were prepared and systematically studied in CO2 capture. All synthesized samples possess high specific surface area (828-910 m2 g-1), large pore volume (0.71-1.81 cm3 g-1), and different micropore contents varied from 2.1% to 46.4%. Amongst, the ordered micro-mesoporous carbon nanosphere (OM-CNS) exhibits the best adsorption performance with CO2 uptake as high as 3.01 mmol g-1 under conditions of 298 K and 1.0 bar, better than most of the reported CO2 adsorbents. The excellent CO2 adsorption capacity of OM-CNS can be reasonably attributed to the synergistic effect of ordered mesopore channels and abundant structural micropores which are beneficial for the diffusion and trapping of CO2 adsorbate. Moreover, the OM-CNS shows excellent CO2 trapping selectivity and superior stability and recyclability, which endow the OM-CNS as a promising and environmental-friendly adsorbent for CO2 capture and separation under practical conditions.

  14. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  15. Constructing a MoS₂ QDs/CdS Core/Shell Flowerlike Nanosphere Hierarchical Heterostructure for the Enhanced Stability and Photocatalytic Activity.

    PubMed

    Liang, Shijing; Zhou, Zhouming; Wu, Xiuqin; Zhu, Shuying; Bi, Jinhong; Zhou, Limin; Liu, Minghua; Wu, Ling

    2016-02-15

    MoS₂ quantum dots (QDs)/CdS core/shell nanospheres with a hierarchical heterostructure have been prepared by a simple microwave hydrothermal method. The as-prepared samples are characterized by XRD, TEM, SEM, UV-VIS diffuse reflectance spectra (DRS) and N₂-sorption in detail. The photocatalytic activities of the samples are evaluated by water splitting into hydrogen. Results show that the as-prepared MoS₂ QDs/CdS core/shell nanospheres with a diameter of about 300 nm are composed of the shell of CdS nanorods and the core of MoS₂ QDs. For the photocatalytic reaction, the samples exhibit a high stability of the photocatalytic activity and a much higher hydrogen evolution rate than the pure CdS, the composite prepared by a physical mixture, and the Pt-loaded CdS sample. In addition, the stability of CdS has also been greatly enhanced. The effect of the reaction time on the formations of nanospheres, the photoelectric properties and the photocatalytic activities of the samples has been investigated. Finally, a possible photocatalytic reaction process has also been proposed.

  16. Facile modulation of cell adhesion to a poly(ethylene glycol) diacrylate film with incorporation of polystyrene nano-spheres.

    PubMed

    Yang, Wenguang; Yu, Haibo; Li, Gongxin; Wang, Yuechao; Liu, Lianqing

    2016-12-01

    Poly(ethylene glycol) diacrylate (PEGDA) is a common hydrogel that has been actively investigated for various tissue engineering applications owing to its biocompatibility and excellent mechanical properties. However, the native PEGDA films are known for their bio-inertness which can hinder cell adhesion, thereby limiting their applications in tissue engineering and biomedicine. Recently, nano composite technology has become a particularly hot topic, and has led to the development of new methods for delivering desired properties to nanomaterials. In this study, we added polystyrene nano-spheres (PS) into a PEGDA solution to synthesize a nano-composite film and evaluated its characteristics. The experimental results showed that addition of the nanospheres to the PEGDA film not only resulted in modification of the mechanical properties and surface morphology but further improved the adhesion of cells on the film. The tensile modulus showed clear dependence on the addition of PS, which enhanced the mechanical properties of the PEGDA-PS film. We attribute the high stiffness of the hybrid hydrogel to the formation of additional cross-links between polymeric chains and the nano-sphere surface in the network. The effect of PS on cell adhesion and proliferation was evaluated in L929 mouse fibroblast cells that were seeded on the surface of various PEGDA-PS films. Cells density increased with a larger PS concentration, and the cells displayed a spreading morphology on the hybrid films, which promoted cell proliferation. Impressively, cellular stiffness could also be modulated simply by tuning the concentration of nano-spheres. Our results indicate that the addition of PS can effectively tailor the physical and biological properties of PEGDA as well as the mechanical properties of cells, with benefits for biomedical and biotechnological applications.

  17. Enhanced luminous transmittance of thermochromic VO2 thin film patterned by SiO2 nanospheres

    NASA Astrophysics Data System (ADS)

    Zhou, Liwei; Liang, Jiran; Hu, Ming; Li, Peng; Song, Xiaolong; Zhao, Yirui; Qiang, Xiaoyong

    2017-05-01

    In this study, an ordered SiO2 nanosphere array coated with vanadium dioxide (VO2) has been fabricated to enhance transmittance with the potential application as an energy-efficient coating in the field of smart windows. SiO2 arrays were formed using the methods of self-assembly, and VO2 thin films were prepared by rapid thermal annealing (RTA) of sputtered vanadium films. VO2@SiO2 arrays were characterized by scanning electron microscopy, X-ray diffraction, a four-point probe, and UV-vis-NIR spectrophotometry. Compared with the planar films, the films deposited on 300 nm diameter SiO2 nanospheres can offer approximately 18% enhancement of luminous transmission (Tlum) because the diameter is smaller than the given wavelength and the protuberance of the surface array behaves as a gradation of refractive index producing antireflection. The solar regulation efficiency was not much deteriorated.

  18. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  19. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  20. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  1. Retrieving plasmonic near-field information: A quantum-mechanical model for streaking photoelectron spectroscopy of gold nanospheres

    NASA Astrophysics Data System (ADS)

    Li, Jianxiong; Saydanzad, Erfan; Thumm, Uwe

    2016-11-01

    Streaked photoemission from nanostructures is characterized by size- and material-dependent nanometer-scale variations of the induced nanoplasmonic response to the electronic field of the streaking pulse and thus holds promise of allowing photoelectron imaging with both subfemtosecond temporal and nanometer spatial resolution. In order to scrutinize the driven collective electronic dynamics in 10-200-nm-diameter gold nanospheres, we calculated the plasmonic field induced by streaking pulses in the infrared and visible spectral range and developed a quantum-mechanical model for streaked photoemission by extreme ultraviolet pulses. Our simulated photoelectron spectra reveal a significant amplitude enhancement and phase shift of the photoelectron streaking trace relative to calculations that exclude the induced plasmonic field. Both are most pronounced for streaking pulses tuned to the plasmon frequency and retrace the plasmonic electromagnetic field enhancement and phase shift near the nanosphere surface.

  2. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  3. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  4. Preparation and Characterization of an Amphipathic Magnetic Nanosphere

    PubMed Central

    Ji, Yongsheng; Lv, Ruihong; Xu, Zhigang; Zhao, Chuande; Zhang, Haixia

    2014-01-01

    The amphipathic magnetic nanospheres were synthesized using C8 and polyethylene glycol as ligands. Their morphology, structure, and composition were characterized by transmission electron microscope, Fourier transform infrared, and elementary analysis. The prepared materials presented uniform sphere with size distribution about 200 nm. The magnetic characteristics of magnetic nanomaterials were measured by vibrating sample magnetometer. The target products had a saturation magnetization value of 50 emu g−1 and superparamagnetism. The adsorption capability was also studied by static tests, and the material was applied to enrich benzenesulfonamide from calf serum. The results exhibited that the C8-PEG phase owned better adsorption capability, biocompatible property, and dispersivity in aqueous samples. PMID:24729917

  5. Precision force sensing with optically-levitated nanospheres

    NASA Astrophysics Data System (ADS)

    Geraci, Andrew

    2017-04-01

    In high vacuum, optically-trapped dielectric nanospheres achieve excellent decoupling from their environment and experience minimal friction, making them ideal for precision force sensing. We have shown that 300 nm silica spheres can be used for calibrated zeptonewton force measurements in a standing-wave optical trap. In this optical potential, the known spacing of the standing wave anti-nodes can serve as an independent calibration tool for the displacement spectrum of the trapped particle. I will describe our progress towards using these sensors for tests of the Newtonian gravitational inverse square law at micron length scales. Optically levitated dielectric objects also show promise for a variety of other precision sensing applications, including searches for gravitational waves and other experiments in quantum optomechanics. National Science Foundation PHY-1205994, PHY-1506431, PHY-1509176.

  6. Cavity cooling a single charged levitated nanosphere.

    PubMed

    Millen, J; Fonseca, P Z G; Mavrogordatos, T; Monteiro, T S; Barker, P F

    2015-03-27

    Optomechanical cavity cooling of levitated objects offers the possibility for laboratory investigation of the macroscopic quantum behavior of systems that are largely decoupled from their environment. However, experimental progress has been hindered by particle loss mechanisms, which have prevented levitation and cavity cooling in a vacuum. We overcome this problem with a new type of hybrid electro-optical trap formed from a Paul trap within a single-mode optical cavity. We demonstrate a factor of 100 cavity cooling of 400 nm diameter silica spheres trapped in vacuum. This paves the way for ground-state cooling in a smaller, higher finesse cavity, as we show that a novel feature of the hybrid trap is that the optomechanical cooling becomes actively driven by the Paul trap, even for singly charged nanospheres.

  7. Cavity Cooling a Single Charged Levitated Nanosphere

    NASA Astrophysics Data System (ADS)

    Millen, J.; Fonseca, P. Z. G.; Mavrogordatos, T.; Monteiro, T. S.; Barker, P. F.

    2015-03-01

    Optomechanical cavity cooling of levitated objects offers the possibility for laboratory investigation of the macroscopic quantum behavior of systems that are largely decoupled from their environment. However, experimental progress has been hindered by particle loss mechanisms, which have prevented levitation and cavity cooling in a vacuum. We overcome this problem with a new type of hybrid electro-optical trap formed from a Paul trap within a single-mode optical cavity. We demonstrate a factor of 100 cavity cooling of 400 nm diameter silica spheres trapped in vacuum. This paves the way for ground-state cooling in a smaller, higher finesse cavity, as we show that a novel feature of the hybrid trap is that the optomechanical cooling becomes actively driven by the Paul trap, even for singly charged nanospheres.

  8. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  9. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  10. Double enzymatic cascade reactions within FeSe-Pt@SiO2 nanospheres: synthesis and application toward colorimetric biosensing of H2O2 and glucose.

    PubMed

    Qiao, Fengmin; Wang, Zhenzhen; Xu, Ke; Ai, Shiyun

    2015-10-07

    A facile process was developed for the synthesis of FeSe-Pt@SiO2 nanospheres based on the hydrothermal treatment of FeCl3·6H2O, selenium and NaBH4 in ethanolamine solvent, followed by reducing HPtCl4 with NaBH4 in the presence of FeSe particles to obtain FeSe coated with Pt NPs (FeSe-Pt), ending with a surfactant assembled sol-gel process to obtain FeSe-Pt@SiO2. The morphology and composition of FeSe-Pt@SiO2 were characterized by transmission electron microscopy, high resolution TEM, X-ray diffraction and Fourier transform infrared spectroscopy. Structural analyses revealed that FeSe-Pt@SiO2 nanospheres were of regular spherical shape with smooth surfaces due to the SiO2 shells, compared with FeSe particles with 150 nm lateral diameter. The prepared FeSe-Pt@SiO2 nanospheres possessed both intrinsic glucose oxidase (GOx-) and peroxidase-mimic activities, and we engineered an artificial enzymatic cascade system with high activity and stability based on this nanostructure. The good catalytic performance of the composites could be attributed to the synergy between the functions of FeSe particles and Pt NPs. Significantly, the FeSe-Pt@SiO2 nanospheres as robust nanoreactors can catalyze a self-organized cascade reaction, which includes oxidation of glucose by oxygen to yield gluconic acid and H2O2, and then oxidation of 3,3,5,5-tetramethylbenzidine (TMB) by H2O2 to produce a colour change. Colorimetric detection of H2O2 and glucose using the FeSe-Pt@SiO2 nanospheres was conducted with high detection sensitivities, 0.227 nM and 1.136 nM, respectively, demonstrating the feasibility of practical sensing applications. It is therefore believed that our findings in this study could open up the possibility of utilizing FeSe-Pt@SiO2 nanospheres as enzymatic mimics in diagnostic and biotechnology fields.

  11. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  12. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  13. Fullerene-Like Nickel Oxysulfide Hollow Nanospheres as Bifunctional Electrocatalysts for Water Splitting.

    PubMed

    Liu, Junli; Yang, Yong; Ni, Bing; Li, Haoyi; Wang, Xun

    2017-02-01

    Fullerene-like nickel oxysulfide hollow nanospheres with ≈50 nm are constructed by in situ growth on the surface of nickel foam by taking advantage of solvothermal reaction. The as-prepared composite exhibits exhilaratingly high HER and OER performance in 1 m KOH, which opens up a very promising aspect for non-noble metal chalcogenides as bifunctional electrocatalysts. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Bidirectional Photoswitching via Alternating NIR and UV Irradiation on a Core-Shell UCNP-SCO Nanosphere.

    PubMed

    Luo, Yang-Hui; Wang, Jing-Wen; Wang, Wen; He, Xiao-Tong; Hong, Dan-Li; Chen, Chen; Xu, Tao; Shao, Qiyue; Sun, Bai-Wang

    2018-05-16

    Bidirectional photoswitching of molecular materials under ambient condition is of significant importance. Herein, we present for the first time that a core-shell UCNP-SCO nanosphere (UCNP = upconversion nanophosphor, SCO = spin crossover), which was composed of a UCNP core (NaYF 4 : 20 mol % Yb 3+ , 1 mol % Er 3+ ) and an SCO iron(II) shell ([Fe(H 2 Bpz) 2 (bipy-COOH)], H 2 Bpz = dihydrobis(1-pyrazolyl)borate, bipy-COOH = 4,4'-dicarboxy-2,2'-bipyridine), can be reversibly photoswitched between the high-spin and low-spin states at room temperature in the solid state, via alternating irradiation with near-infrared (λ = 980 nm) and ultraviolet (λ = 310 nm) light. What's more, this reversible spin-state switching was accompanied by a variation of fluorescent spectrum and dielectric constants. The strategy here, that is, integrating the SCO iron(II) complex into a UCNP-SCO nanosphere for molecular photoswitching, may open a new area in the development of photocontrolled molecular devices.

  15. Platinum-nanoparticle-supported core-shell polymer nanospheres with unexpected water stability and facile further modification

    NASA Astrophysics Data System (ADS)

    Yuan, Conghui; Xu, Yiting; Luo, Weiang; Zeng, Birong; Qiu, Wuhui; Liu, Jie; Huang, Huiling; Dai, Lizong

    2012-05-01

    Core-shell nanospheres (CSNSs) with hydrophobic cores and hydrophilic shells were fabricated via a simple mini-emulsion polymerization for the stabilization of platinum nanoparticles (Pt-NPs). The CSNSs showed extremely high loading capacity of Pt-NPs (the largest loading amount of the Pt-NPs was about 49.2 wt%). Importantly, the Pt-NPs/CSNSs nanocomposites had unexpected stability in aqueous solution. DLS results revealed that the CSNSs loaded with Pt-NPs exhibited almost no aggregation after standing for a long time . However, the Pt-NPs immobilized on the CSNSs were not straitlaced: they could transport and redistribute between CSNSs freely when the environmental temperature was higher than the melting point of the CSNS shell. Owing to their excellent stability in aqueous solution, the surface of the Pt-NPs/CSNSs nanocomposites could be further decorated easily. For example, polyaniline (PANI)-coated Pt-NPs/CSNSs, nickel (Ni)-coated Pt-NPs/CSNSs and PANI/Pt-NPs dual-layer hollow nanospheres were facilely fabricated from the Pt-NPs/CSNS nanocomposites.

  16. Facile synthesis of ultrahigh-surface-area hollow carbon nanospheres for enhanced adsorption and energy storage

    PubMed Central

    Xu, Fei; Tang, Zhiwei; Huang, Siqi; Chen, Luyi; Liang, Yeru; Mai, Weicong; Zhong, Hui; Fu, Ruowen; Wu, Dingcai

    2015-01-01

    Exceptionally large surface area and well-defined nanostructure are both critical in the field of nanoporous carbons for challenging energy and environmental issues. The pursuit of ultrahigh surface area while maintaining definite nanostructure remains a formidable challenge because extensive creation of pores will undoubtedly give rise to the damage of nanostructures, especially below 100 nm. Here we report that high surface area of up to 3,022 m2 g−1 can be achieved for hollow carbon nanospheres with an outer diameter of 69 nm by a simple carbonization procedure with carefully selected carbon precursors and carbonization conditions. The tailor-made pore structure of hollow carbon nanospheres enables target-oriented applications, as exemplified by their enhanced adsorption capability towards organic vapours, and electrochemical performances as electrodes for supercapacitors and sulphur host materials for lithium–sulphur batteries. The facile approach may open the doors for preparation of highly porous carbons with desired nanostructure for numerous applications. PMID:26072734

  17. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  18. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  19. Nitrogen-Doped Hollow Carbon Nanospheres for High-Performance Li-Ion Batteries.

    PubMed

    Yang, Yufen; Jin, Song; Zhang, Zhen; Du, Zhenzhen; Liu, Huarong; Yang, Jia; Xu, Hangxun; Ji, Hengxing

    2017-04-26

    N-doped carbon materials is of particular attraction for anodes of lithium-ion batteries (LIBs) because of their high surface areas, superior electrical conductivity, and excellent mechanical strength, which can store energy by adsorption/desorption of Li + at the interfaces between the electrolyte and electrode. By directly carbonization of zeolitic imidazolate framework-8 nanospheres synthesized by an emulsion-based interfacial reaction, we obtained N-doped hollow carbon nanospheres with tunable shell thickness (20 nm to solid sphere) and different N dopant concentrations (3.9 to 21.7 at %). The optimized anode material possessed a shell thickness of 20 nm and contained 16.6 at % N dopants that were predominately pyridinic and pyrrolic. The anode delivered a specific capacity of 2053 mA h g -1 at 100 mA g -1 and 879 mA h g -1 at 5 A g -1 for 1000 cycles, implying a superior cycling stability. The improved electrochemical performance can be ascribed to (1) the Li + adsorption dominated energy storage mechanism prevents the volume change of the electrode materials, (2) the hollow nanostructure assembled by the nanometer-sized primary particles prevents the agglomeration of the nanoparticles and favors for Li + diffusion, (3) the optimized N dopant concentration and configuration facilitate the adsorption of Li + ; and (4) the graphitic carbon nanostructure ensures a good electrical conductivity.

  20. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  1. Monodisperse NixFe3-xO4 nanospheres: Metal-ion-steered size/composition control mechanism, static magnetic and enhanced microwave absorbing properties

    NASA Astrophysics Data System (ADS)

    Jiang, Kedan; Liu, Yun; Pan, Yefei; Wang, Ru; Hu, Panbing; He, Rujia; Zhang, Lingli; Tong, Guoxiu

    2017-05-01

    An easy metal-ion-steered solvothermal method was developed for the one-step synthesis of monodisperse, uniform NixFe3-xO4 polycrystalline nanospheres with tunable sphere diameter (40-400 nm) and composition (0 ≤ x ≤ 0.245) via changing just Ni2+/Fe3+ molar ratio (γ). With g increased from 0:1 to 2:1, sphere diameter gradually decreased and crystal size exhibited an inversed U-shaped change tendency, followed by increased Ni/Fe atom ratio from 0% to 0.0888%. An in situ-reduction, coordination-precipitation transformation mechanism was proposed to interpret the metal-ion-steered growth. Size- and composition-dependent static magnetic and microwave absorbing properties were systematically investigated. Saturation magnetization declines with g in a Boltzmann model due to the changes of crystal size, sphere diameter, and Ni content. The coercivity reaches a maximum at γ = 0.75:1 because of the critical size of Fe3O4 single domain (25 nm). Studies on microwave absorption reveal that 150-400 nm Fe3O4 nanospheres mainly obey the quarter-wavelength cancellation model with the single-band absorption; 40-135 nm NixFe3-xO4 nanospheres (0 ≤ x ≤ 0.245) obey the one and three quarter-wavelength cancellation model with the multi-band absorption. 150 nm Fe3O4 nanospheres exhibit the optimal EM wave-absorbing property with an absorbing band of 8.94 GHz and the maximum RL of -50.11 dB.

  2. Synergistic increase of oxygen reduction favourable Fe-N coordination structures in a ternary hybrid of carbon nanospheres/carbon nanotubes/graphene sheets.

    PubMed

    Zhang, Shiming; Liu, Bin; Chen, Shengli

    2013-11-14

    A Fe/N co-doped ternary nanocarbon hybrid, with uniform bamboo-like carbon nanotubes (CNTs) in situ grown on/between the single/few-layer graphene sheets interspaced by carbon nanosphere aggregates, was prepared through a one-pot heat treatment of a precursor mixture containing graphene oxide, Vulcan XC-72 carbon nanospheres, nitrogen rich melamine and small amounts of Fe ions. Physical characterization including electron microscopic images, N2 adsorption-desorption isotherms, pore size distribution, XPS, XRD, Mössbauer spectra, and EDX revealed that the 0-D/1-D/2-D ternary hybrid architecture not only offered an optimized morphology for high dispersion of each nanocarbon moiety, while the carbon nanosphere interspaced graphene sheets have provided a platform for efficient reaction between Fe ions and melamine molecules, resulting in uniform nucleation and growth of CNTs and formation of high density Fe-N coordination assemblies that have been believed to be the active centers for the oxygen reduction reaction (ORR) in carbon-based nonprecious metal electrocatalysts. In the absence of graphene oxides or carbon nanospheres, a similar heat treatment was found to result in large amounts of elemental Fe and Fe carbides and entangled CNTs with wide diameter distributions. As a result, the ternary Fe/N-doped nanocarbon hybrid exhibits ORR activity much higher than the Fe-N doped single or binary nanocarbon materials prepared under similar heat treatment conditions, and approaching that of the state-of-the-art carbon-supported platinum catalyst (Pt/C) in acidic media, as well as superior stability and methanol tolerance to Pt/C.

  3. Synthesis of core-shell AlOOH hollow nanospheres by reacting Al nanoparticles with water

    NASA Astrophysics Data System (ADS)

    Lozhkomoev, A. S.; Glazkova, E. A.; Bakina, O. V.; Lerner, M. I.; Gotman, I.; Gutmanas, E. Y.; Kazantsev, S. O.; Psakhie, S. G.

    2016-05-01

    A novel route for the synthesis of boehmite nanospheres with a hollow core and the shell composed of highly crumpled AlOOH nanosheets by oxidizing Al nanopowder in pure water under mild processing conditions is described. The stepwise events of Al transformation into boehmite are followed by monitoring the pH in the reaction medium. A mechanism of formation of hollow AlOOH nanospheres with a well-defined shape and crystallinity is proposed which includes the hydration of the Al oxide passivation layer, local corrosion of metallic Al accompanied by hydrogen evolution, the rupture of the protective layer, the dissolution of Al from the particle interior and the deposition of AlOOH nanosheets on the outer surface. In contrast to previously reported methods of boehmite nanoparticle synthesis, the proposed method is simple, and environmentally friendly and allows the generation of hydrogen gas as a by-product. Due to their high surface area and high, slit-shaped nanoporosity, the synthesized AlOOH nanostructures hold promise for the development of more effective catalysts, adsorbents, vaccines and drug carriers.

  4. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  5. Mesoporous transition metal oxides quasi-nanospheres with enhanced electrochemical properties for supercapacitor applications.

    PubMed

    Wang, Lu; Duan, Guorong; Zhu, Junwu; Chen, Shen-Ming; Liu, Xiao-Heng; Palanisamy, Selvakumar

    2016-12-01

    In this report, we obtain mesoporous transition metal oxides quasi-nanospheres (includes MnO2, NiO, and Co3O4) by utilizing mesoporous silica nanospheres as a template for high-performance supercapacitor electrodes. All samples have a large specific surface area of approximately 254-325m(2)g(-1) and a relatively narrow pore size distribution in the region of 7nm. Utilization of a nanosized template resulted in a product with a relative uniform morphology and a small particle diameter in the region of 50-100nm. As supercapacitor electrodes, MnO2, NiO, and Co3O4 exhibit an outstanding capacity as high as 838-1185Fg(-1) at 0.5Ag(-1) and a superior long-term stability with minimal loss of 3-7% after 6000 cycles at 1Ag(-1). Their excellent electrochemical performances are attributed to favorable morphologies with a large surface area and a uniform architecture with abundant pores. The associated enhancement of electrolyte ion circulation within the electrode facilitates a significant increase in availability of Faradic reaction electroactive sites. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  7. Immunoadjuvant potential of cross-linked dextran microspheres mixed with chitosan nanospheres encapsulated with tetanus toxoid.

    PubMed

    Pirouzmand, Haniyeh; Khameneh, Bahman; Tafaghodi, Mohsen

    2017-12-01

    Nasal mucosa is a desirable route for mucosal vaccine delivery. Mucosal co-administration of chitosan nanoparticles with absorption enhancers such as cross-linked dextran microspheres (CDM, Sephadex ® ) is a promising antigen delivery system. In the current study, the chitosan nanospheres loaded with tetanus toxoid (CHT:TT NPs) was prepared and characterized. The immune responses against tetanus toxoid after nasal administration of CHT:TT NPs alone or mixed with CDM were also determined. Chitosan nanospheres were prepared by ionic gelation method. Particle size, releasing profile and antigen stability were evaluated by dynamic light scattering, diffusion chamber and SDS-PAGE methods, respectively. Rabbits were nasally immunized with different formulations loaded with 40 Lf TT. After three times immunizations with 2 weeks intervals, sera IgG titres and nasal lavage sIgA titres were determined. Mean size of CHT NPs and CHT:TT NPs were 205 ± 42 nm and 432 ± 85 nm, respectively. The release profile showed that 42.4 ± 10.5% of TT was released after 30 min and reached to a steady state after 1.5 h. Stability of encapsulated TT in nanospheres was confirmed by SDS-PAGE. The antibody titres showed that CHT:TT NPs-induced antibody titres were higher than TT solution. CHT NPs mixed with CDM induced the systemic IgG and nasal lavage sIgA titres higher than intranasal administration of TT solution (p < 0.001). As the results indicated, these CHT:TT NPs when co-administered with CDM were able to induce more immune responses and have the potential to be used in mucosal immunization.

  8. Large-scale broadband absorber based on metallic tungsten nanocone structure

    NASA Astrophysics Data System (ADS)

    Wang, Jiaxing; Liang, Yuzhang; Huo, Pengcheng; Wang, Daopeng; Tan, Jun; Xu, Ting

    2017-12-01

    We report a broadband tungsten absorber based on a nanocone metallic resonant structure fabricated by self-assembly nanosphere lithography. In experimental demonstration, the fabricated absorber has more than 90% average absorption efficiency and shows superior angular tolerance in the entire visible and near-infrared spectral region. We envision that this large-scale nanostructured broadband optical absorber would find great potential in the applications of high performance optoelectronic platforms and solar-thermal energy harvesting systems.

  9. Broadband optical antireflection enhancement by integrating antireflective nanoislands with silicon nanoconical-frustum arrays.

    PubMed

    Park, Haesung; Shin, Dongheok; Kang, Gumin; Baek, Seunghwa; Kim, Kyoungsik; Padilla, Willie J

    2011-12-22

    Based on conventional colloidal nanosphere lithography, we experimentally demonstrate novel graded-index nanostructures for broadband optical antireflection enhancement including the near-ultraviolet (NUV) region by integrating residual polystyrene antireflective (AR) nanoislands coating arrays with silicon nano-conical-frustum arrays. This is a feasible optimized integration method of two major approaches for antireflective surfaces: quarter-wavelength AR coating and biomimetic moth's eye structure. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  11. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  12. Chitosan-rectorite nanospheres embedded aminated polyacrylonitrile nanofibers via shoulder-to-shoulder electrospinning and electrospraying for enhanced heavy metal removal

    NASA Astrophysics Data System (ADS)

    Huang, Mengtian; Tu, Hu; Chen, Jiajia; Liu, Rong; Liang, Zhaoyi; Jiang, Linbin; Shi, Xiaowen; Du, Yumin; Deng, Hongbing

    2018-04-01

    Chitosan (CS) has a high amine group content, while polyacrylonitrile (PAN) contains cyano-groups that can be easily converted to amine groups. Herein, a novel adsorbent consisting of PAN-CS mats was successfully prepared via the shoulder-to-shoulder electrospinning and electrospraying techniques, which could eliminate the obstacle of selecting a co-solvent system for dissolving PAN and CS together. The morphology of the resultant adsorbent with adherent nanofibers-nanospheres was observed due to the immobilization of the CS electrosprayed nanospheres into PAN electrospun nanofibrous mats. Furthermore, CS nanospheres and PAN nanofibers were alternately arranged which could enlarge the space between the nanofibers, facilitating the diffusion of heavy metals in solution. Afterwards, rectorite (REC) was introduced into the mats to achieve the predesigned intercalated structure formed between the CS chains and the interlayer of REC even acquired the desirable enhanced adsorption ability towards heavy metals. Based on this improvement, chemical modification was performed on the surface of PAN nanofibers to form aminated PAN (APAN) with more amine groups for reinforcing the adsorption performance. The adsorption experiments results showed that APAN-CS/REC mats exhibited at least a 2.0 times increase in the adsorption capacity of Pb2+ compared to the original PAN-CS composite mats.

  13. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  14. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  15. Photothermal optical coherence tomography of epidermal growth factor receptor in live cells using immunotargeted gold nanospheres

    NASA Astrophysics Data System (ADS)

    Skala, Melissa C.; Crow, Matthew J.; Wax, Adam; Izatt, Joseph A.

    2009-02-01

    Molecular imaging is a powerful tool for investigating disease processes and potential therapies in both in vivo and in vitro systems. However, high resolution molecular imaging has been limited to relatively shallow penetration depths that can be accessed with microscopy. Optical coherence tomography (OCT) is an optical analogue to ultrasound with relatively good penetration depth (1-2 mm) and resolution (~1-10 μm). We have developed and characterized photothermal OCT as a molecular contrast mechanism that allows for high resolution molecular imaging at deeper penetration depths than microscopy. Our photothermal system consists of an amplitude-modulated heating beam that spatially overlaps with the focused spot of the sample arm of a spectral-domain OCT microscope. Validation experiments in tissue-like phantoms containing gold nanospheres that absorb at 532 nm revealed a sensitivity of 14 parts per million nanospheres (weight/weight) in a tissue-like environment. The nanospheres were then conjugated to anti-EGFR, and molecular targeting was confirmed in cells that over-express EGFR (MDA-MB-468) and cells that express low levels of EGFR (MDA-MB-435). Molecular imaging in three-dimensional tissue constructs was confirmed with a significantly lower photothermal signal (p<0.0001) from the constructs composed of cells that express low levels of EGFR compared to the over-expressing cell constructs (300% signal increase). This technique could potentially augment confocal and multiphoton microscopy as a method for deep-tissue, depth-resolved molecular imaging with relatively high resolution and target sensitivity, without photobleaching or cytotoxicity.

  16. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  17. Synthesis of Carbon Nanotubes and Nanospheres from Coconut Fibre and the Role of Synthesis Temperature on Their Growth

    NASA Astrophysics Data System (ADS)

    Adewumi, Gloria A.; Inambao, Freddie; Eloka-Eboka, Andrew; Revaprasadu, Neerish

    2018-07-01

    Carbon nanotubes (CNT) and carbon nanospheres were successfully synthesized from coconut fibre-activated carbon. The biomass was first carbonized then physically activated, followed by treatment using ethanol vapor at 700°C to 1100°C at 100°C intervals. The effect of synthesis temperature on the formation of the nanomaterials was studied using scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive x-ray spectrometry, x-ray diffraction (XRD), Fourier transform infrared microscopy (FTIR) and thermogravimetric analysis. SEM analysis revealed that nanospheres were formed at higher temperatures of 1000°C and 1100°C, while lower temperatures of 800°C and 900°C favored the growth of CNT. At 700°C, however, no tubes or spheres were formed. TEM and FTIR were used to observe spectral features, such as the peak positions, intensity and bandwidth, which are linked to some structural properties of the samples investigated. All these observations provided facts on the nanosphere and nanotube dimensions, vibrational modes and the degree of purity of the obtained samples. The TEM results show spheres of diameter in the range 50 nm to 250 nm while the tubes had diameters between 50 nm to 100 nm. XRD analysis reveals the materials synthesized are amorphous in nature with a hexagonal graphite structure.

  18. Synthesis of Carbon Nanotubes and Nanospheres from Coconut Fibre and the Role of Synthesis Temperature on Their Growth

    NASA Astrophysics Data System (ADS)

    Adewumi, Gloria A.; Inambao, Freddie; Eloka-Eboka, Andrew; Revaprasadu, Neerish

    2018-04-01

    Carbon nanotubes (CNT) and carbon nanospheres were successfully synthesized from coconut fibre-activated carbon. The biomass was first carbonized then physically activated, followed by treatment using ethanol vapor at 700°C to 1100°C at 100°C intervals. The effect of synthesis temperature on the formation of the nanomaterials was studied using scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive x-ray spectrometry, x-ray diffraction (XRD), Fourier transform infrared microscopy (FTIR) and thermogravimetric analysis. SEM analysis revealed that nanospheres were formed at higher temperatures of 1000°C and 1100°C, while lower temperatures of 800°C and 900°C favored the growth of CNT. At 700°C, however, no tubes or spheres were formed. TEM and FTIR were used to observe spectral features, such as the peak positions, intensity and bandwidth, which are linked to some structural properties of the samples investigated. All these observations provided facts on the nanosphere and nanotube dimensions, vibrational modes and the degree of purity of the obtained samples. The TEM results show spheres of diameter in the range 50 nm to 250 nm while the tubes had diameters between 50 nm to 100 nm. XRD analysis reveals the materials synthesized are amorphous in nature with a hexagonal graphite structure.

  19. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  20. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  1. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  2. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  3. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  4. SiO{sub 2} nanospheres with tailorable interiors by directly controlling Zn{sup 2+} and NH{sub 3}.H{sub 2}O species in an emulsion process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao Yuchao; Graduate University of Chinese Academy of Sciences, Beijing 100049; Wu Xiaofeng

    2011-07-15

    SiO{sub 2} nanospheres with tailorable interiors were synthesized by a facile one-spot microemulsion process using TEOS as silica source, wherein cyclohexane including triton X-100 and n-octanol as oil phase and Zn{sup 2+} or NH{sub 3}.H{sub 2}O aqueous solution as dispersive phase, respectively. The products were characterized by Scanning Electron Microscopy, Transmission Electron Microscopy and X-ray Powder Diffraction. It was suggested that the as-synthesized silica nanospheres possessed grape-stone-like porous or single hollow interior, and also found that the ammonia dosage and aging time played key roles in controlling the size and structure of silica nanospheres. Furthermore, the comparative results confirmed thatmore » in-situ zinc species [ZnO/Zn(OH){sub 2}] acted as the temporary templates to construct grape-stone-like interior, and a simultaneously competing etching process occurred owing to the soluble Zn(NH{sub 3}){sub 4}{sup 2+} complex formation while the additional excessive ammonia was introduced. With the aging time being extended, the in-situ nanocrystals tended to grow into bigger ones by Ostwald Ripening, producing single hollow interior. - Graphical Abstract: Formation process of SiO{sub 2} nanospheres with porous and single hollow interior. Highlights: > ZnO/Zn(OH){sub 2} nanocrystals as the temporary templates shape the interior structures of SiO{sub 2} nanospheres. > Fabrication of porous and single hollow interiors needs no additional processes such as roasting or dissolving. > Tailorable interiors can be easily obtained through adjusting the aging time of temporary templates.« less

  5. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  6. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  7. SU-E-T-645: Dose Enhancement to Cell Nucleus Due to Hard Collisions of Protons with Electrons in Gold Nanospheres

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eley, J; Krishnan, S

    2014-06-15

    Purpose: The purpose of this study was to investigate the theoretical dose enhancement to a cell nucleus due to increased fluence of secondary electrons when gold nanospheres are present in the cytoplasm during proton therapy. Methods: We modeled the irradiation of prostate cancer cells using protons of variable energies when 10,000 gold nanoparticles, each with radius of 10 nm, were randomly distributed in the cytoplasm. Using simple analytical equations, we calculated the increased mean dose to the cell nucleus due to secondary electrons produced by hard collisions of 0.1, 1, 10, and 100 MeV protons with orbital electrons in gold.more » We only counted electrons with kinetic energy higher than 1 keV. In addition to calculating the increase in the mean dose to the cell nucleus, we also calculated the increase in local dose in the “shadow,” i.e., the umbra, of individual gold nanospheres due to forward scattered electrons. Results: For proton energies of 0.1, 1, 10, and 100 MeV, we calculated increases to the mean nuclear dose of 0.15, 0.09, 0.05, and 0.04%, respectively. When we considered local dose increases in the shadows of individual gold spheres, we calculated local dose increases of 5.5, 3.2, 1.9, and 1.3%, respectively. Conclusion: We found negligible, less than 0.2%, increases in the mean dose to the cell nucleus due to electrons produced by hard collisions of protons with electrons in gold nanospheres. However, we observed increases up to 5.5% in the local dose in the shadow of gold nanospheres. Considering the shadow radius of 10 nm, these local dose enhancements may have implications for slightly increased probability of clustered DNA damage when gold nanoparticles are close to the nuclear membrane.« less

  8. Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.

    2016-05-23

    We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less

  9. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  10. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  11. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  12. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    PubMed Central

    Morris, Michael A.

    2017-01-01

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance. PMID:28973987

  13. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  14. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    PubMed

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  15. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  16. Hollow carbon nanospheres using an asymmetric triblock copolymer structure directing agent.

    PubMed

    Li, Yunqi; Tan, Haibo; Salunkhe, Rahul R; Tang, Jing; Shrestha, Lok Kumar; Bastakoti, Bishnu Prasad; Rong, Hongpan; Takei, Toshiaki; Henzie, Joel; Yamauchi, Yusuke; Ariga, Katsuhiko

    2016-12-20

    We introduce a simple method to prepare hollow carbon nanospheres (HCNs) by using triblock copolymer poly(styrene-b-2-vinylpyridine-b-ethylene oxide) (PS-b-P2VP-b-PEO) micelles as a new class of soft-templates. Simply by changing the solvent we can prepare ultra-small sized micelles of the triblock copolymer PS-b-P2VP-b-PEO soft template to obtain HCNs with ultra-small diameters (43 nm) and hollow cores (19 nm). Furthermore, we use these HCNs to make electric double-layer capacitors (EDLCs) that exhibit superior performance.

  17. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  18. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  19. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  20. A fuzzy pattern matching method based on graph kernel for lithography hotspot detection

    NASA Astrophysics Data System (ADS)

    Nitta, Izumi; Kanazawa, Yuzi; Ishida, Tsutomu; Banno, Koji

    2017-03-01

    In advanced technology nodes, lithography hotspot detection has become one of the most significant issues in design for manufacturability. Recently, machine learning based lithography hotspot detection has been widely investigated, but it has trade-off between detection accuracy and false alarm. To apply machine learning based technique to the physical verification phase, designers require minimizing undetected hotspots to avoid yield degradation. They also need a ranking of similar known patterns with a detected hotspot to prioritize layout pattern to be corrected. To achieve high detection accuracy and to prioritize detected hotspots, we propose a novel lithography hotspot detection method using Delaunay triangulation and graph kernel based machine learning. Delaunay triangulation extracts features of hotspot patterns where polygons locate irregularly and closely one another, and graph kernel expresses inner structure of graphs. Additionally, our method provides similarity between two patterns and creates a list of similar training patterns with a detected hotspot. Experiments results on ICCAD 2012 benchmarks show that our method achieves high accuracy with allowable range of false alarm. We also show the ranking of the similar known patterns with a detected hotspot.

  1. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  2. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  3. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  4. Controllable synthesis of mesoporous carbon nanospheres and Fe-N/carbon nanospheres as efficient oxygen reduction electrocatalysts

    NASA Astrophysics Data System (ADS)

    Wei, Jing; Liang, Yan; Zhang, Xinyi; Simon, George P.; Zhao, Dongyuan; Zhang, Jin; Jiang, Sanping; Wang, Huanting

    2015-03-01

    The synthesis of mesoporous carbon nanospheres (MCNs), especially with diameters below 200 nm remains a great challenge due to weak interactions between the carbon precursors and soft templates, as well as the uncontrollable cross-linking rate of carbon precursors. Herein, we demonstrate a simple acid-assisted, hydrothermal synthesis approach to synthesizing such uniform MCNs with well controlled diameters ranging from 20 to 150 nm under highly acidic conditions (2 M HCl). Both the carbon precursor and the template are partly protonated under such conditions and show additional Coulombic interactions with chloride ions (acts as mediators). This kind of enhanced interaction is similar to that of the ``I+X-S+'' mechanism in the synthesis of mesoporous metal oxide, which can effectively retard the cross-linking rate of resol molecules and avoid macroscopic phase separation during the hydrothermal synthesis. Due to their uniform spherical morphology, small diameter, and high surface areas, MCNs can be modified with Fe and N species via impregnation of cheap precursors (ferric nitrate and dicyandiamide), which are further converted into nonprecious electrocatalysts for oxygen reduction reactions. The resulting Fe-N/MCNs exhibit high catalytic activities, long-term stability and improved methanol tolerance under alkaline conditions, which can be potentially used in direct methanol fuel cells and metal-air batteries.The synthesis of mesoporous carbon nanospheres (MCNs), especially with diameters below 200 nm remains a great challenge due to weak interactions between the carbon precursors and soft templates, as well as the uncontrollable cross-linking rate of carbon precursors. Herein, we demonstrate a simple acid-assisted, hydrothermal synthesis approach to synthesizing such uniform MCNs with well controlled diameters ranging from 20 to 150 nm under highly acidic conditions (2 M HCl). Both the carbon precursor and the template are partly protonated under such conditions

  5. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  6. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  7. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  8. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  9. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  10. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  11. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  12. Method for maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    2000-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  13. Achieving pattern uniformity in plasmonic lithography by spatial frequency selection

    NASA Astrophysics Data System (ADS)

    Liang, Gaofeng; Chen, Xi; Zhao, Qing; Guo, L. Jay

    2018-01-01

    The effects of the surface roughness of thin films and defects on photomasks are investigated in two representative plasmonic lithography systems: thin silver film-based superlens and multilayer-based hyperbolic metamaterial (HMM). Superlens can replicate arbitrary patterns because of its broad evanescent wave passband, which also makes it inherently vulnerable to the roughness of the thin film and imperfections of the mask. On the other hand, the HMM system has spatial frequency filtering characteristics and its pattern formation is based on interference, producing uniform and stable periodic patterns. In this work, we show that the HMM system is more immune to such imperfections due to its function of spatial frequency selection. The analyses are further verified by an interference lithography system incorporating the photoresist layer as an optical waveguide to improve the aspect ratio of the pattern. It is concluded that a system capable of spatial frequency selection is a powerful method to produce deep-subwavelength periodic patterns with high degree of uniformity and fidelity.

  14. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  15. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  16. Finding the right way: DFM versus area efficiency for 65 nm gate layer lithography

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra S.; Scheer, Steven; Herold, Klaus; Fonseca, Carlos; Thomas, Alan; Schroeder, Uwe P.

    2006-03-01

    DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.

  17. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  18. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  19. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  20. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  1. Ultra-high sensitive substrates for surface enhanced Raman scattering, made of 3 nm gold nanoparticles embedded on SiO2 nanospheres

    NASA Astrophysics Data System (ADS)

    Phatangare, A. B.; Dhole, S. D.; Dahiwale, S. S.; Bhoraskar, V. N.

    2018-05-01

    The surface properties of substrates made of 3 nm gold nanoparticles embedded on SiO2 nanospheres enabled fingerprint detection of thiabendazole (TBZ), crystal violet (CV) and 4-Aminothiophenol (4-ATP) at an ultralow concentration of ∼10-18 M by surface enhanced Raman spectroscopy (SERS). Gold nanoparticles of an average size of ∼3 nm were synthesized and simultaneously embedded on SiO2 nanospheres by the electron irradiation method. The substrates made from the 3 nm gold nanoparticles embedded on SiO2 nanospheres were successfully used for recording fingerprint SERS spectra of TBZ, CV and 4-ATP over a wide range of concentrations from 10-6 M to 10-18 M using 785 nm laser. The unique features of these substrates are roughness near the surface due to the inherent structural defects of 3 nm gold nanoparticles, nanogaps of ≤ 1 nm between the embedded nanoparticles and their high number. These produced an abundance of nanocavities which act as active centers of hot-spots and provided a high electric field at the reporter molecules and thus an enhancement factor required to record the SERS spectra at ultra low concentration of 10-18 M. The SERS spectra recorded by the substrates of 4 nm and 6 nm gold nanoparticles are discussed.

  2. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  3. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  4. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  5. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  6. Pt NPs and DNAzyme functionalized polymer nanospheres as triple signal amplification strategy for highly sensitive electrochemical immunosensor of tumour marker.

    PubMed

    Chang, Honghong; Zhang, Haochun; Lv, Jia; Zhang, Bing; Wei, Wenlong; Guo, Jingang

    2016-12-15

    Highly sensitive determination of tumour markers is the key for early diagnosis of cancer. Herein, triple signal amplification strategy resulting from polymer nanospheres, Pt NPs, and DNAzyme was proposed in the developed electrochemical immunosensor. First, electroactive polymer nanospheres were synthesized by infinite coordination polymerization of ferrocenedicarboxylic acid, which could generate strong electrochemical signals due to plentiful ferrocene molecules. Further, the polymer nanospheres were functionalized by Pt NPs and DNAzyme (hemin/G-quadruplex) with the ability of catalyzing H2O2, which contributes to enhance the electrochemical signals. The prepared conjugations were characterized by transmission electron microscope (TEM) and energy dispersive X-ray spectroscopy (EDX). And the process of preparation was monitored by zeta potential. Based on the sandwich-type immunoassay, the electrochemical immunosensor was constructed employing the conjugations as signal tags. Under optimal conditions, the DPV peak increased with the increasing of alpha fetal protein (AFP) concentration, and the linear range was from 0.1pgmL(-1) to 100ngmL(-1) with low detection limit of 0.086pgmL(-1). Meanwhile, the designed immunosensor exhibited excellent selectivity and anti-interference property, good reproducibility and stability. More importantly, there were no significant differences in analyzing real clinical samples between designed immunosensor and commercial ELISA. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. Amorphous silicon-carbon nanospheres synthesized by chemical vapor deposition using cheap methyltrichlorosilane as improved anode materials for Li-ion batteries.

    PubMed

    Zhang, Zailei; Zhang, Meiju; Wang, Yanhong; Tan, Qiangqiang; Lv, Xiao; Zhong, Ziyi; Li, Hong; Su, Fabing

    2013-06-21

    We report the preparation and characterization of amorphous silicon-carbon (Si-C) nanospheres as anode materials in Li-ion batteries. These nanospheres were synthesized by a chemical vapor deposition at 900 °C using methyltrichlorosilane (CH3SiCl3) as both the Si and C precursor, which is a cheap byproduct in the organosilane industry. The samples were characterized by X-ray diffraction, transmission electron microscopy, scanning electron microscopy, nitrogen adsorption, thermal gravimetric analysis, Raman spectroscopy, and X-ray photoelectron spectroscopy. It was found that the synthesized Si-C nanospheres composed of amorphous C (about 60 wt%) and Si (about 40 wt%) had a diameter of 400-600 nm and a surface area of 43.8 m(2) g(-1). Their charge capacities were 483.6, 331.7, 298.6, 180.6, and 344.2 mA h g(-1) at 50, 200, 500, 1000, and 50 mA g(-1) after 50 cycles, higher than that of the commercial graphite anode. The Si-C amorphous structure could absorb a large volume change of Si during Li insertion and extraction reactions and hinder the cracking or crumbling of the electrode, thus resulting in the improved reversible capacity and cycling stability. The work opens a new way to fabricate low cost Si-C anode materials for Li-ion batteries.

  8. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  9. Economic consequences of high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Hartley, John G.; Govindaraju, Lakshmi

    2005-11-01

    Many people in the semiconductor industry bemoan the high costs of masks and view mask cost as one of the significant barriers to bringing new chip designs to market. All that is needed is a viable maskless technology and the problem will go away. Numerous sites around the world are working on maskless lithography but inevitably, the question asked is "Wouldn't a one wafer per hour maskless tool make a really good mask writer?" Of course, the answer is yes, the hesitation you hear in the answer isn't based on technology concerns, it's financial. The industry needs maskless lithography because mask costs are too high. Mask costs are too high because mask pattern generators (PG's) are slow and expensive. If mask PG's become much faster, mask costs go down, the maskless market goes away and the PG supplier is faced with an even smaller tool demand from the mask shops. Technical success becomes financial suicide - or does it? In this paper we will present the results of a model that examines some of the consequences of introducing high throughput maskless pattern generation. Specific features in the model include tool throughput for masks and wafers, market segmentation by node for masks and wafers and mask cost as an entry barrier to new chip designs. How does the availability of low cost masks and maskless tools affect the industries tool makeup and what is the ultimate potential market for high throughput maskless pattern generators?

  10. Method for maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.« less

  11. Self-Supported Mesostructured Pt-Based Bimetallic Nanospheres Containing an Intermetallic Phase as Ultrastable Oxygen Reduction Electrocatalysts.

    PubMed

    Kim, Ho Young; Cho, Seonghun; Sa, Young Jin; Hwang, Sun-Mi; Park, Gu-Gon; Shin, Tae Joo; Jeong, Hu Young; Yim, Sung-Dae; Joo, Sang Hoon

    2016-10-01

    Developing highly active and stable cathode catalysts is of pivotal importance for proton exchange membrane fuel cells (PEMFCs). While carbon-supported nanostructured Pt-based catalysts have so far been the most active cathode catalysts, their durability and single-cell performance are yet to be improved. Herein, self-supported mesostructured Pt-based bimetallic (Meso-PtM; M = Ni, Fe, Co, Cu) nanospheres containing an intermetallic phase are reported, which can combine the beneficial effects of transition metals (M), an intermetallic phase, a 3D interconnected framework, and a mesoporous structure. Meso-PtM nanospheres show enhanced oxygen reduction reaction (ORR) activity, compared to Pt black and Pt/C catalysts. Notably, Meso-PtNi containing an intermetallic phase exhibits ultrahigh stability, showing enhanced ORR activity even after 50 000 potential cycles, whereas Pt black and Pt/C undergo dramatic degradation. Importantly, Meso-PtNi with an intermetallic phase also demonstrated superior activity and durability when used in a PEMFC single-cell, with record-high initial mass and specific activities. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Driving imaging and overlay performance to the limits with advanced lithography optimization

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  13. Fabrication of superconducting nanowire single-photon detectors by nonlinear femtosecond optical lithography

    NASA Astrophysics Data System (ADS)

    Minaev, N. V.; Tarkhov, M. A.; Dudova, D. S.; Timashev, P. S.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-02-01

    This paper describes a new approach to the fabrication of superconducting nanowire single-photon detectors from ultrathin NbN films on SiO2 substrates. The technology is based on nonlinear femtosecond optical lithography and includes direct formation of the sensitive element of the detector (the meander) through femtosecond laser exposure of the polymethyl methacrylate resist at a wavelength of 525 nm and subsequent removal of NbN using plasma-chemical etching. The nonlinear femtosecond optical lithography method allows the formation of planar structures with a spatial resolution of ~50 nm. These structures were used to fabricate single-photon superconducting detectors with quantum efficiency no worse than 8% at a wavelength of 1310 nm and dark count rate of 10 s-1 at liquid helium temperature.

  14. Chitosan-rectorite nanospheres immobilized on polystyrene fibrous mats via alternate electrospinning/electrospraying techniques for copper ions adsorption

    NASA Astrophysics Data System (ADS)

    Tu, Hu; Huang, Mengtian; Yi, Yang; Li, Zhenshun; Zhan, Yingfei; Chen, Jiajia; Wu, Yang; Shi, Xiaowen; Deng, Hongbing; Du, Yumin

    2017-12-01

    Chitosan (CS), as a kind of well characterized biopolymer, has been used for heavy metal adsorption due to its low cost and high efficacy. However, when used directly, chitosan particles had small surface area and weak mechanical strength which is unfavorable to metal adsorption and reused. Besides, it cannot be easily recycled that may cause a secondary pollution. In this paper, CS and layered silicate rectorite (REC) were fully mixed and the mixtures were subsequently electrosprayed nano-sized spheres, which were immobilized on the surface of electrospun polystyrene (PS) mats for metal adsorption. The morphology analysis taken from SEM confirmed that CS-REC nanospheres were loaded on the surface of PS fibrous mats. Small Angle X-ray diffraction patterns showed that the interlayer distance of REC in composite mats was enlarged by the intercalation of CS chains; such structure meant bigger surface area which was helpful for metal adsorption. The data of contact angle implied that PS mats coated with CS-REC nanospheres exhibited better hydrophilicity than PS mats, which was conductive to adsorption rate. Besides, the copper ions adsorption of composite mats was tested at different conditions including the adsorption time, the initial pH and the initial concentration of copper ion. The results demonstrated that PS mats coated with CS-REC nanospheres had the adsorption capacity up to 134 mg/g. In addition, the addition of REC containing Ca2+ could also improve the metal adsorption because of cation exchange. The desorption assay indicated that PS mats immobilized with CS and CS-REC still kept high adsorption ability which retained 74% and 78% after three adsorption-desorption cycles.

  15. Formulation of polylactide-co-glycolic acid nanospheres for encapsulation and sustained release of poly(ethylene imine)-poly(ethylene glycol) copolymers complexed to oligonucleotides

    PubMed Central

    Sirsi, Shashank R; Schray, Rebecca C; Wheatley, Margaret A; Lutz, Gordon J

    2009-01-01

    Antisense oligonucleotides (AOs) have been shown to induce dystrophin expression in muscles cells of patients with Duchenne Muscular Dystrophy (DMD) and in the mdx mouse, the murine model of DMD. However, ineffective delivery of AOs limits their therapeutic potential. Copolymers of cationic poly(ethylene imine) (PEI) and non-ionic poly(ethylene glycol) (PEG) form stable nanoparticles when complexed with AOs, but the positive surface charge on the resultant PEG-PEI-AO nanoparticles limits their biodistribution. We adapted a modified double emulsion procedure for encapsulating PEG-PEI-AO polyplexes into degradable polylactide-co-glycolic acid (PLGA) nanospheres. Formulation parameters were varied including PLGA molecular weight, ester end-capping, and sonication energy/volume. Our results showed successful encapsulation of PEG-PEI-AO within PLGA nanospheres with average diameters ranging from 215 to 240 nm. Encapsulation efficiency ranged from 60 to 100%, and zeta potential measurements confirmed shielding of the PEG-PEI-AO cationic charge. Kinetic measurements of 17 kDa PLGA showed a rapid burst release of about 20% of the PEG-PEI-AO, followed by sustained release of up to 65% over three weeks. To evaluate functionality, PEG-PEI-AO polyplexes were loaded into PLGA nanospheres using an AO that is known to induce dystrophin expression in dystrophic mdx mice. Intramuscular injections of this compound into mdx mice resulted in over 300 dystrophin-positive muscle fibers distributed throughout the muscle cross-sections, approximately 3.4 times greater than for injections of AO alone. We conclude that PLGA nanospheres are effective compounds for the sustained release of PEG-PEI-AO polyplexes in skeletal muscle and concomitant expression of dystrophin, and may have translational potential in treating DMD. PMID:19351396

  16. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  17. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  18. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  19. Photoinhibition superresolution lithography

    NASA Astrophysics Data System (ADS)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  20. GEM-loaded magnetic albumin nanospheres modified with cetuximab for simultaneous targeting, magnetic resonance imaging, and double-targeted thermochemotherapy of pancreatic cancer cells.

    PubMed

    Wang, Ling; An, Yanli; Yuan, Chenyan; Zhang, Hao; Liang, Chen; Ding, Fengan; Gao, Qi; Zhang, Dongsheng

    2015-01-01

    Targeted delivery is a promising strategy to improve the diagnostic imaging and therapeutic effect of cancers. In this paper, novel cetuximab (C225)-conjugated, gemcitabine (GEM)-containing magnetic albumin nanospheres (C225-GEM/MANs) were fabricated and applied as a theranostic nanocarrier to conduct simultaneous targeting, magnetic resonance imaging (MRI), and double-targeted thermochemotherapy against pancreatic cancer cells. Fe3O4 nanoparticles (NPs) and GEM co-loaded albumin nanospheres (GEM/MANs) were prepared, and then C225 was further conjugated to synthesize C225-GEM/MANs. Their morphology, mean particle size, GEM encapsulation ratio, specific cell-binding ability, and thermal dynamic profiles were characterized. The effects of discriminating different EGFR-expressing pancreatic cancer cells (AsPC-1 and MIA PaCa-2) and monitoring cellular targeting effects were assessed by targeted MRI. Lastly, the antitumor efficiency of double/C225/magnetic-targeted and nontargeted thermochemotherapy was compared with chemotherapy alone using 3-(4, 5-dimethyl-2-thiazolyl)-2,5-diphenyl-2H-tetrazolium bromide (MTT) and flow cytometry (FCM) assay. When treated with targeted nanospheres, AsPC-1 cells showed a significantly less intense MRI T2 signal than MIA PaCa-2 cells, while both cells had similar signal strength when incubated with nontargeted nanospheres. T2 signal intensity was significantly lower when magnetic and C225 targeting were combined, rather than used alone. The inhibitory and apoptotic rates of each thermochemotherapy group were significantly higher than those of the chemotherapy-alone groups. Additionally, both MTT and FCM analysis verified that double-targeted thermochemotherapy had the highest targeted killing efficiency among all groups. The C225-GEM/MANs can distinguish various EGFR-expressing live pancreatic cancer cells, monitor diverse cellular targeting effects using targeted MRI imaging, and efficiently mediate double-targeted thermochemotherapy

  1. Influence of cationic lipid concentration on properties of lipid-polymer hybrid nanospheres for gene delivery.

    PubMed

    Bose, Rajendran J C; Arai, Yoshie; Ahn, Jong Chan; Park, Hansoo; Lee, Soo-Hong

    2015-01-01

    Nanoparticles have been widely used for nonviral gene delivery. Recently, cationic hybrid nanoparticles consisting of two different materials were suggested as a promising delivery vehicle. In this study, nanospheres with a poly(D,L-lactic-co-glycolic acid) (PLGA) core and cationic lipid shell were prepared, and the effect of cationic lipid concentrations on the properties of lipid polymer hybrid nanocarriers investigated. Lipid-polymer hybrid nanospheres (LPHNSs) were fabricated by the emulsion-solvent evaporation method using different concentrations of cationic lipids and characterized for size, surface charge, stability, plasmid DNA-binding capacity, cytotoxicity, and transfection efficiency. All LPHNSs had narrow size distribution with positive surface charges (ζ-potential 52-60 mV), and showed excellent plasmid DNA-binding capacity. In vitro cytotoxicity measurements with HEK293T, HeLa, HaCaT, and HepG2 cells also showed that LPHNSs exhibited less cytotoxicity than conventional transfection agents, such as Lipofectamine and polyethyleneimine-PLGA. As cationic lipid concentrations increased, the particle size of LPHNSs decreased while their ζ-potential increased. In addition, the in vitro transfection efficiency of LPHNSs increased as lipid concentration increased.

  2. Influence of cationic lipid concentration on properties of lipid–polymer hybrid nanospheres for gene delivery

    PubMed Central

    Bose, Rajendran JC; Arai, Yoshie; Ahn, Jong Chan; Park, Hansoo; Lee, Soo-Hong

    2015-01-01

    Nanoparticles have been widely used for nonviral gene delivery. Recently, cationic hybrid nanoparticles consisting of two different materials were suggested as a promising delivery vehicle. In this study, nanospheres with a poly(d,l-lactic-co-glycolic acid) (PLGA) core and cationic lipid shell were prepared, and the effect of cationic lipid concentrations on the properties of lipid polymer hybrid nanocarriers investigated. Lipid–polymer hybrid nanospheres (LPHNSs) were fabricated by the emulsion-solvent evaporation method using different concentrations of cationic lipids and characterized for size, surface charge, stability, plasmid DNA-binding capacity, cytotoxicity, and transfection efficiency. All LPHNSs had narrow size distribution with positive surface charges (ζ-potential 52–60 mV), and showed excellent plasmid DNA-binding capacity. In vitro cytotoxicity measurements with HEK293T, HeLa, HaCaT, and HepG2 cells also showed that LPHNSs exhibited less cytotoxicity than conventional transfection agents, such as Lipofectamine and polyethyleneimine–PLGA. As cationic lipid concentrations increased, the particle size of LPHNSs decreased while their ζ-potential increased. In addition, the in vitro transfection efficiency of LPHNSs increased as lipid concentration increased. PMID:26379434

  3. A novel method to obtain chitosan/DNA nanospheres and a study of their release properties

    NASA Astrophysics Data System (ADS)

    Masotti, Andrea; Bordi, Federico; Ortaggi, Giancarlo; Marino, Federica; Palocci, Cleofe

    2008-02-01

    Polysaccharides and other cationic polymers have recently been used in pharmaceutical research and industry for their properties to control the release of antibiotics, DNA, proteins, peptide drugs or vaccines, and they have also been extensively studied as non-viral DNA carriers for gene delivery and therapy. Among them, chitosan is the most used since it can promote long-term release of incorporated drugs. This work is focused on the preparation of chitosan and chitosan/DNA nanospheres by using a novel and simple osmosis-based method, recently patented. The morphology of chitosan/DNA particles is spherical (as observed by scanning electron microscopy, SEM) and the nanospheres' average diameter is 38 ± 4 nm (obtained by dynamic light scattering, DLS). With this method, DNA is incorporated with high yield (up to 30%) and the release process is gradual and prolonged in time. The novelty of the reported method resides in the general applicability to various synthetic or natural biopolymers. Solvent, temperature and membrane cut-off are the physicochemical parameters that one is able to use to control the overall osmotic process, leading to several nanostructured systems with different size and shape that may be used in several biotechnological applications.

  4. Nanospheres with a smectic hydrophobic core and an amorphous PEG hydrophilic shell: structural changes and implications for drug delivery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murthy, N. Sanjeeva; Zhang, Zheng; Borsadia, Siddharth

    The structural changes in nanospheres with a crystalline core and an amorphous diffuse shell were investigated by small-angle neutron scattering (SANS), small-, medium-, and wide-angle X-ray scattering (SAXS, MAXS and WAXS), and differential scanning calorimetry (DSC).

  5. Forging a morphological system out of two dimensions: Agentivity and number

    PubMed Central

    Horton, L.; Goldin-Meadow, S.; Coppola, M.; Senghas, A.; Brentari, D.

    2015-01-01

    Languages have diverse strategies for marking agentivity and number. These strategies are negotiated to create combinatorial systems. We consider the emergence of these strategies by studying features of movement in a young sign language in Nicaragua (NSL). We compare two age cohorts of Nicaraguan signers (NSL1 and NSL2), adult homesigners in Nicaragua (deaf individuals creating a gestural system without linguistic input), signers of American and Italian Sign Languages (ASL and LIS), and hearing individuals asked to gesture silently. We find that all groups use movement axis and repetition to encode agentivity and number, suggesting that these properties are grounded in action experiences common to all participants. We find another feature – unpunctuated repetition – in the sign systems (ASL, LIS, NSL, Homesign) but not in silent gesture. Homesigners and NSL1 signers use the unpunctuated form, but limit its use to No-Agent contexts; NSL2 signers use the form across No-Agent and Agent contexts. A single individual can thus construct a marker for number without benefit of a linguistic community (homesign), but generalizing this form across agentive conditions requires an additional step. This step does not appear to be achieved when a linguistic community is first formed (NSL1), but requires transmission across generations of learners (NSL2). PMID:26740937

  6. Forging a morphological system out of two dimensions: Agentivity and number.

    PubMed

    Horton, L; Goldin-Meadow, S; Coppola, M; Senghas, A; Brentari, D

    2015-12-01

    Languages have diverse strategies for marking agentivity and number. These strategies are negotiated to create combinatorial systems. We consider the emergence of these strategies by studying features of movement in a young sign language in Nicaragua (NSL). We compare two age cohorts of Nicaraguan signers (NSL1 and NSL2), adult homesigners in Nicaragua (deaf individuals creating a gestural system without linguistic input), signers of American and Italian Sign Languages (ASL and LIS), and hearing individuals asked to gesture silently. We find that all groups use movement axis and repetition to encode agentivity and number, suggesting that these properties are grounded in action experiences common to all participants. We find another feature - unpunctuated repetition - in the sign systems (ASL, LIS, NSL, Homesign) but not in silent gesture. Homesigners and NSL1 signers use the unpunctuated form, but limit its use to No-Agent contexts; NSL2 signers use the form across No-Agent and Agent contexts. A single individual can thus construct a marker for number without benefit of a linguistic community (homesign), but generalizing this form across agentive conditions requires an additional step. This step does not appear to be achieved when a linguistic community is first formed (NSL1), but requires transmission across generations of learners (NSL2).

  7. A Low-Cost Hands-On Laboratory to Introduce Lithography Concepts

    ERIC Educational Resources Information Center

    Jalali, M.; Marti, J. J.; Kirchhoff, A. L.; Lawrenz, F.; Campbell, S. A.

    2012-01-01

    A lithography lab course has been developed that is applicable to students from the middle-school level up to college students. It can also be inserted into electronics technology or similar courses in two- and four-year colleges, or used to demonstrate applications of polymers in chemistry classes. Some of these techniques would enable research…

  8. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  9. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    PubMed

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  10. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    NASA Astrophysics Data System (ADS)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  11. Polyelectrolyte multilayer-assisted fabrication of non-periodic silicon nanocolumn substrates for cellular interface applications

    NASA Astrophysics Data System (ADS)

    Lee, Seyeong; Kim, Dongyoon; Kim, Seong-Min; Kim, Jeong-Ah; Kim, Taesoo; Kim, Dong-Yu; Yoon, Myung-Han

    2015-08-01

    Recent advances in nanostructure-based biotechnology have resulted in a growing demand for vertical nanostructure substrates with elaborate control over the nanoscale geometry and a high-throughput preparation. In this work, we report the fabrication of non-periodic vertical silicon nanocolumn substrates via polyelectrolyte multilayer-enabled randomized nanosphere lithography. Owing to layer-by-layer deposited polyelectrolyte adhesives, uniformly-separated polystyrene nanospheres were securely attached on large silicon substrates and utilized as masks for the subsequent metal-assisted silicon etching in solution. Consequently, non-periodic vertical silicon nanocolumn arrays were successfully fabricated on a wafer scale, while each nanocolumn geometric factor, such as the diameter, height, density, and spatial patterning, could be fully controlled in an independent manner. Finally, we demonstrate that our vertical silicon nanocolumn substrates support viable cell culture with minimal cell penetration and unhindered cell motility due to the blunt nanocolumn morphology. These results suggest that vertical silicon nanocolumn substrates may serve as a useful cellular interface platform for performing a statistically meaningful number of cellular experiments in the fields of biomolecular delivery, stem cell research, etc.Recent advances in nanostructure-based biotechnology have resulted in a growing demand for vertical nanostructure substrates with elaborate control over the nanoscale geometry and a high-throughput preparation. In this work, we report the fabrication of non-periodic vertical silicon nanocolumn substrates via polyelectrolyte multilayer-enabled randomized nanosphere lithography. Owing to layer-by-layer deposited polyelectrolyte adhesives, uniformly-separated polystyrene nanospheres were securely attached on large silicon substrates and utilized as masks for the subsequent metal-assisted silicon etching in solution. Consequently, non-periodic vertical

  12. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    NASA Astrophysics Data System (ADS)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  13. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    NASA Astrophysics Data System (ADS)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  14. Uniformity of LED light illumination in application to direct imaging lithography

    NASA Astrophysics Data System (ADS)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  15. Rapid prototyping of microstructures in polydimethylsiloxane (PDMS) by direct UV-lithography.

    PubMed

    Scharnweber, Tim; Truckenmüller, Roman; Schneider, Andrea M; Welle, Alexander; Reinhardt, Martina; Giselbrecht, Stefan

    2011-04-07

    Microstructuring of polydimethylsiloxane (PDMS) is a key step for many lab-on-a-chip (LOC) applications. In general, the structure is generated by casting the liquid prepolymer against a master. The production of the master in turn calls for special equipment and know how. Furthermore, a given master only allows the reproduction of the defined structure. We report on a simple, cheap and practical method to produce microstructures in already cured PDMS by direct UV-lithography followed by chemical development. Due to the available options during the lithographic process like multiple exposures, the method offers a high design flexibility granting easy access to complex and stepped structures. Furthermore, no master is needed and the use of pre-cured PDMS allows processing at ambient (light) conditions. Features down to approximately 5 µm and a depth of 10 µm can be realised. As a proof of principle, we demonstrate the feasibility of the process by applying the structures to various established soft lithography techniques.

  16. New self-assembly strategies for next generation lithography

    NASA Astrophysics Data System (ADS)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  17. Extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Sweeney, Donald W.; Shafer, David; McGuire, James

    2001-01-01

    Condenser system for use with a ringfield camera in projection lithography where the condenser includes a series of segments of a parent aspheric mirror having one foci at a quasi-point source of radiation and the other foci at the radius of a ringfield have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ringfield camera about one of the beams and fall onto the ringfield radius as a coincident image as an arc of the ringfield. The condenser has a set of correcting mirrors with one of the correcting mirrors of each set, or a mirror that is common to said sets of mirrors, from which the radiation emanates, is a concave mirror that is positioned to shape a beam segment having a chord angle of about 25 to 85 degrees into a second beam segment having a chord angle of about 0 to 60 degrees.

  18. Mask manufacturing of advanced technology designs using multi-beam lithography (Part 1)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-10-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.

  19. Hierarchical inorganic-organic multi-shell nanospheres for intervention and treatment of lead-contaminated blood

    NASA Astrophysics Data System (ADS)

    Khairy, Mohamed; El-Safty, Sherif A.; Shenashen, Mohamed. A.; Elshehy, Emad A.

    2013-08-01

    The highly toxic properties, bioavailability, and adverse effects of Pb2+ species on the environment and living organisms necessitate periodic monitoring and removal whenever possible of Pb2+ concentrations in the environment. In this study, we designed a novel optical multi-shell nanosphere sensor that enables selective recognition, unrestrained accessibility, continuous monitoring, and efficient removal (on the order of minutes) of Pb2+ ions from water and human blood, i.e., red blood cells (RBCs). The consequent decoration of the mesoporous core/double-shell silica nanospheres through a chemically responsive azo-chromophore with a long hydrophobic tail enabled us to create a unique hierarchical multi-shell sensor. We examined the efficiency of the multi-shell sensor in removing lead ions from the blood to ascertain the potential use of the sensor in medical applications. The lead-induced hemolysis of RBCs in the sensing/capture assay was inhibited by the ability of the hierarchical sensor to remove lead ions from blood. The results suggest the higher flux and diffusion of Pb2+ ions into the mesopores of the core/multi-shell sensor than into the RBC membranes. These findings indicate that the sensor could be used in the prevention of health risks associated with elevated blood lead levels such as anemia.The highly toxic properties, bioavailability, and adverse effects of Pb2+ species on the environment and living organisms necessitate periodic monitoring and removal whenever possible of Pb2+ concentrations in the environment. In this study, we designed a novel optical multi-shell nanosphere sensor that enables selective recognition, unrestrained accessibility, continuous monitoring, and efficient removal (on the order of minutes) of Pb2+ ions from water and human blood, i.e., red blood cells (RBCs). The consequent decoration of the mesoporous core/double-shell silica nanospheres through a chemically responsive azo-chromophore with a long hydrophobic tail enabled

  20. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.