Sample records for nanowire arrays sinws

  1. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  2. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  3. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    PubMed

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  4. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  5. Broadband High Efficiency Fractal-Like and Diverse Geometry Silicon Nanowire Arrays for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    AL-Zoubi, Omar H.

    Solar energy has many advantages over conventional sources of energy. It is abundant, clean and sustainable. One way to convert solar energy directly into electrical energy is by using the photovoltaic solar cells (PVSC). Despite PVSC are becoming economically competitive, they still have high cost and low light to electricity conversion efficiency. Therefore, increasing the efficiency and reducing the cost are key elements for producing economically more competitive PVSC that would have significant impact on energy market and saving environment. A significant percentage of the PVSC cost is due to the materials cost. For that, thin films PVSC have been proposed which offer the benefits of the low amount of material and fabrication costs. Regrettably, thin film PVSC show poor light to electricity conversion efficiency because of many factors especially the high optical losses. To enhance conversion efficiency, numerous techniques have been proposed to reduce the optical losses and to enhance the absorption of light in thin film PVSC. One promising technique is the nanowire (NW) arrays in general and the silicon nanowire (SiNW) arrays in particular. The purpose of this research is to introduce vertically aligned SiNW arrays with enhanced and broadband absorption covering the entire solar spectrum while simultaneously reducing the amount of material used. To this end, we apply new concept for designing SiNW arrays based on employing diversity of physical dimensions, especially radial diversity within certain lattice configurations. In order to study the interaction of light with SiNW arrays and compute their optical properties, electromagnetic numerical modeling is used. A commercial numerical electromagnetic solver software package, high frequency structure simulation (HFSS), is utilized to model the SiNW arrays and to study their optical properties. We studied different geometries factors that affect the optical properties of SiNW arrays. Based on this study, we

  6. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  7. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  8. Fabrication of flexible and vertical silicon nanowire electronics.

    PubMed

    Weisse, Jeffrey M; Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2012-06-13

    Vertical silicon nanowire (SiNW) array devices directly connected on both sides to metallic contacts were fabricated on various non-Si-based substrates (e.g., glass, plastics, and metal foils) in order to fully exploit the nanomaterial properties for final applications. The devices were realized with uniform length Ag-assisted electroless etched SiNW arrays that were detached from their fabrication substrate, typically Si wafers, reattached to arbitrary substrates, and formed with metallic contacts on both sides of the NW array. Electrical characterization of the SiNW array devices exhibits good current-voltage characteristics consistent with the SiNW morphology.

  9. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  10. Graphene quantum dots modified silicon nanowire array for ultrasensitive detection in the gas phase

    NASA Astrophysics Data System (ADS)

    Li, T. Y.; Duan, C. Y.; Zhu, Y. X.; Chen, Y. F.; Wang, Y.

    2017-03-01

    Si nanostructure-based gas detectors have attracted much attention due to their huge surface areas, relatively high carrier mobility, maneuverability for surface functionalization and compatibility to modern electronic industry. However, the unstable surface of Si, especially for the nanostructures in a corrosive atmosphere, hinders their sensitivity and reproducibility when used for detection in the gas phase. In this study, we proposed a novel strategy to fabricate a Si-based gas detector by using the vertically aligned Si nanowire (SiNW) array as a skeleton and platform, and decorated chemically inert graphene quantum dots (GQDs) to protect the SiNWs from oxidation and promote the carriers’ interaction with the analytes. The radial core-shell structures of the GQDs/SiNW array were then assembled into a resistor-based gas detection system and evaluated by using nitrogen dioxide (NO2) as the model analyte. Compared to the bare SiNW array, our novel sensor exhibited ultrahigh sensitivity for detecting trace amounts of NO2 with the concentration as low as 10 ppm in room temperature and an immensely reduced recovery time, which is of significant importance for their practical application. Meanwhile, strikingly, reproducibility and stability could also be achieved by showing no sensitivity decline after storing the GQDs/SiNW array in air for two weeks. Our results demonstrate that protecting the surface of the SiNW array with chemically inert GQDs is a feasible strategy to realize ultrasensitive detection in the gas phase.

  11. Effects of silicon nanowires (SiNWs) contents on the optical and dielectric properties of poly(3-hexylthiophene):SiNWs nanocomposites

    NASA Astrophysics Data System (ADS)

    Saidi, Hamza; Walid, Aloui; Bouazizi, Abdelaziz; Herrero, Beatriz Romero; Saidi, Faouzi

    2017-08-01

    In this study, we investigated the dependency of the optical and electrical proprieties of poly(3-hexylthiophene):silicon nanowires (P3HT:SiNWs) nanocomposites on the concentration of SiNWs based on photoluminescence (PL) and impedance spectroscopy. The PL spectra indicated the presence of charge transfer at low concentrations of SiNWs. The effects of the SiNWs contents on the loss mechanism were determined based on permittivity measurements, which were related to the distribution of the SiNWs contents on the polymer backbones, as well as being correlated with the PL and conductance results. The imaginary part of the impedance exhibited a high relaxation frequency attributable to Maxwell-Wagner polarization, where the extracted relaxation time was in the range of milliseconds. The Cole-Cole diagram had an excellent fit via the equivalent circuit, which incorporated the chemical capacitance Cμ, contact electrical resistance Rs, and recombination resistance Rp.

  12. Dependence of performance of Si nanowire solar cells on geometry of the nanowires.

    PubMed

    Khan, Firoz; Baek, Seong-Ho; Kim, Jae Hyun

    2014-01-01

    The dependence of performance of silicon nanowires (SiNWs) solar cells on the growth condition of the SiNWs has been described. Metal-assisted electroless etching (MAE) technique has been used to grow SiNWs array. Different concentration of aqueous solution containing AgNO3 and HF for Ag deposition is used. The diameter and density of SiNWs are found to be dependent on concentration of solution used for Ag deposition. The diameter and density of SiNWs have been used to calculate the filling ratio of the SINWs arrays. The filling ratio is increased with increase in AgNO3 concentration, whereas it is decreased with increase in HF concentration. The minimum reflectance value achieved is ~1% for SiNWs of length of ~1.2 μ m in the wavelength range of 300-1000 nm. The performance and diode parameters strongly depend on the geometry of SiNWs. The maximum short circuit current density achieved is 35.6 mA/cm(2). The conversion efficiency of solar cell is 9.73% for SiNWs with length, diameter, and wire density of ~1.2 μ m, ~75 nm, and 90 μ m(-2), respectively.

  13. Core-shell heterojunction of silicon nanowire arrays and carbon quantum dots for photovoltaic devices and self-driven photodetectors.

    PubMed

    Xie, Chao; Nie, Biao; Zeng, Longhui; Liang, Feng-Xia; Wang, Ming-Zheng; Luo, Linbao; Feng, Mei; Yu, Yongqiang; Wu, Chun-Yan; Wu, Yucheng; Yu, Shu-Hong

    2014-04-22

    Silicon nanostructure-based solar cells have lately intrigued intensive interest because of their promising potential in next-generation solar energy conversion devices. Herein, we report a silicon nanowire (SiNW) array/carbon quantum dot (CQD) core-shell heterojunction photovoltaic device by directly coating Ag-assisted chemical-etched SiNW arrays with CQDs. The heterojunction with a barrier height of 0.75 eV exhibited excellent rectifying behavior with a rectification ratio of 10(3) at ±0.8 V in the dark and power conversion efficiency (PCE) as high as 9.10% under AM 1.5G irradiation. It is believed that such a high PCE comes from the improved optical absorption as well as the optimized carrier transfer and collection capability. Furthermore, the heterojunction could function as a high-performance self-driven visible light photodetector operating in a wide switching wavelength with good stability, high sensitivity, and fast response speed. It is expected that the present SiNW array/CQD core-shell heterojunction device could find potential applications in future high-performance optoelectronic devices.

  14. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  15. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  16. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  17. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  18. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  19. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  20. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  1. a-Si:H/SiNW shell/core for SiNW solar cell applications

    PubMed Central

    2013-01-01

    Vertically aligned silicon nanowires have been synthesized by the chemical etching of silicon wafers. The influence of a hydrogenated amorphous silicon (a-Si:H) layer (shell) on top of a silicon nanowire (SiNW) solar cell has been investigated. The optical properties of a-Si:H/SiNWs and SiNWs are examined in terms of optical reflection and absorption properties. In the presence of the a-Si:H shell, 5.2% reflection ratio in the spectral range (250 to 1,000 nm) is achieved with a superior absorption property with an average over 87% of the incident light. In addition, the characteristics of the solar cell have been significantly improved, which exhibits higher open-circuit voltage, short-circuit current, and efficiency by more than 15%, 12%, and 37%, respectively, compared with planar SiNW solar cells. Based on the current–voltage measurements and morphology results, we show that the a-Si:H shell can passivate the defects generated by wet etching processes. PMID:24195734

  2. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  3. Facile synthesis of silicon nanowire-nanopillar superhydrophobic structures

    NASA Astrophysics Data System (ADS)

    Roy, Abhijit; Satpati, Biswarup

    2018-04-01

    We have used metal assisted chemical etching (MACE) method to produce silicon (Si) nanowire-nanopillar array. Nanowire-nanopillar combined structures show higher degree of hydrophobicity compared to its nanowire (Si-NW) counterparts. The rate of etching is depended on initial metal deposition. The structural analysis was carried out using scanning electron microscopy (SEM) in combination with transmission electron microscopy (TEM) to determine different parameters like etching direction, crystallinity etc.

  4. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  5. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  6. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  7. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  8. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  9. Evident Enhancement of Photoelectrochemical Hydrogen Production by Electroless Deposition of M-B (M = Ni, Co) Catalysts on Silicon Nanowire Arrays.

    PubMed

    Yang, Yong; Wang, Mei; Zhang, Peili; Wang, Weihan; Han, Hongxian; Sun, Licheng

    2016-11-09

    Modification of p-type Si surface by active and stable earth-abundant electrocatalysts is an effective strategy to improve the sluggish kinetics for the hydrogen evolution reaction (HER) at p-Si/electrolyte interface and to develop highly efficient and low-cost photocathodes for hydrogen production from water. To this end, Si nanowire (Si-NW) array has been loaded with highly efficient electrocatalysts, M-B (M = Ni, Co), by facile and quick electroless plating to build M-B catalyst-modified Si nanowire-array-textured photocathodes for water reduction to H 2 . Compared with the bare Si-NW array, composite Si-NWs/M-B arrays display evidently enhanced photoelectrochemical (PEC) performance. The onset potential (V phon ) of cathodic photocurrent is positively shifted by 530-540 mV to 0.44-0.45 V vs RHE, and the short-circuit current density (J sc ) is up to 19.5 mA cm -2 in neutral buffer solution under simulated 1 sun illumination. Impressively, the half-cell photopower conversion efficiencies (η hc ) of the optimized Si-NWs/Co-B (2.53%) and Si-NWs/Ni-B (2.45%) are comparable to that of Si-NWs/Pt (2.46%). In terms of the large J sc , V phon , and η hc values, as well as the high Faradaic efficiency, Si-NWs/M-B electrodes are among the top performing Si photocathodes which are modified with HER electrocatalysts but have no buried solid/solid junction.

  10. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  11. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  12. Scaling and Graphical Transport-Map Analysis of Ambipolar Schottky-Barrier Thin-Film Transistors Based on a Parallel Array of Si Nanowires.

    PubMed

    Jeon, Dae-Young; Pregl, Sebastian; Park, So Jeong; Baraban, Larysa; Cuniberti, Gianaurelio; Mikolajick, Thomas; Weber, Walter M

    2015-07-08

    Si nanowire (Si-NW) based thin-film transistors (TFTs) have been considered as a promising candidate for next-generation flexible and wearable electronics as well as sensor applications with high performance. Here, we have fabricated ambipolar Schottky-barrier (SB) TFTs consisting of a parallel array of Si-NWs and performed an in-depth study related to their electrical performance and operation mechanism through several electrical parameters extracted from the channel length scaling based method. Especially, the newly suggested current-voltage (I-V) contour map clearly elucidates the unique operation mechanism of the ambipolar SB-TFTs, governed by Schottky-junction between NiSi2 and Si-NW. Further, it reveals for the first-time in SB based FETs the important internal electrostatic coupling between the channel and externally applied voltages. This work provides helpful information for the realization of practical circuits with ambipolar SB-TFTs that can be transferred to different substrate technologies and applications.

  13. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  14. A facile fluorescent sensor based on silicon nanowires for dithionite

    NASA Astrophysics Data System (ADS)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  15. Disordered array of Au covered Silicon nanowires for SERS biosensing combined with electrochemical detection

    NASA Astrophysics Data System (ADS)

    Convertino, Annalisa; Mussi, Valentina; Maiolo, Luca

    2016-04-01

    We report on highly disordered array of Au coated silicon nanowires (Au/SiNWs) as surface enhanced Raman scattering (SERS) probe combined with electrochemical detection for biosensing applications. SiNWs, few microns long, were grown by plasma enhanced chemical vapor deposition on common microscope slides and covered by Au evaporated film, 150 nm thick. The capability of the resulting composite structure to act as SERS biosensor was studied via the biotin-avidin interaction: the Raman signal obtained from this structure allowed to follow each surface modification step as well as to detect efficiently avidin molecules over a broad range of concentrations from micromolar down to the nanomolar values. The metallic coverage wrapping SiNWs was exploited also to obtain a dual detection of the same bioanalyte by electrochemical impedance spectroscopy (EIS). Indeed, the SERS signal and impedance modifications induced by the biomolecule perturbations on the metalized surface of the NWs were monitored on the very same three-electrode device with the Au/SiNWs acting as both working electrode and SERS probe.

  16. Fabrication of vertical nanowire resonators for aerosol exposure assessment

    NASA Astrophysics Data System (ADS)

    Merzsch, Stephan; Wasisto, Hutomo Suryo; Stranz, Andrej; Hinze, Peter; Weimann, Thomas; Peiner, Erwin; Waag, Andreas

    2013-05-01

    Vertical silicon nanowire (SiNW) resonators are designed and fabricated in order to assess exposure to aerosol nanoparticles (NPs). To realize SiNW arrays, nanolithography and inductively coupled plasma (ICP) deep reactive ion etching (DRIE) at cryogenic temperature are utilized in a top-down fabrication of SiNW arrays which have high aspect ratios (i.e., up to 34). For nanolithography process, a resist film thickness of 350 nm is applied in a vacuum contact mode to serve as a mask. A pattern including various diameters and distances for creating pillars is used (i.e., 400 nm up to 5 μm). In dry etching process, the etch rate is set high of 1.5 μm/min to avoid underetching. The etch profiles of Si wires can be controlled aiming to have either perpendicularly, negatively or positively profiled sidewalls by adjusting the etching parameters (e.g., temperature and oxygen content). Moreover, to further miniaturize the wire, multiple sacrificial thermal oxidations and subsequent oxide stripping are used yielding SiNW arrays of 650 nm in diameter and 40 μm in length. In the resonant frequency test, a piezoelectric shear actuator is integrated with the SiNWs inside a scanning electron microscope (SEM) chamber. The observation of the SiNW deflections are performed and viewed from the topside of the SiNWs to reduce the measurement redundancy. Having a high deflection of ~10 μm during its resonant frequency of 452 kHz and a low mass of 31 pg, the proposed SiNW is potential for assisting the development of a portable aerosol resonant sensor.

  17. Effects of silicon nanowire morphology on optical properties and hybrid solar cell performance

    NASA Astrophysics Data System (ADS)

    Syu, Hong-Jhang; Shiu, Shu-Chia; Hung, Yung-Jr; Lee, San-Liang; Lin, Ching-Fuh

    2012-10-01

    Silicon nanowire (SiNW) arrays are widespread applied on hybrid photovoltaic devices because SiNW arrays can substitute the pyramid texture and anti-reflection coating due to its strong light trapping. Also, SiNWs can be prepared through a cost-efficient process of metal-assisted chemical etching. However, though longer SiNW arrays have lower reflectance, the top of long SiNWs aggregate together to make junction synthesis difficult for SiNW/organic hybrid solar cell. To control and analyze the effect of SiNW array morphology on hybrid solar cells, here we change the metal deposition condition for metal-assisted chemical etching to obtain different SiNW array morphologies. The experiment was separated to two groups, by depositing metal, say, Ag, before etching (BE) or during etching (DE). For group BE, Ag was deposited on n-type Si (n-Si) wafers by thermal evaporation; then etched by H2O2 and HF. For group DE, n-Si was etched by Ag+ and HF directly. Ag was deposited on n-Si during etching process. Afterwards, residual Ag and SiO2 were removed by HNO3 and buffered HF, successively; then Ti and Ag were evaporated on the bottom of Si to be a cathode. Finally, SiNWs were stuck on the poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) that was spincoated on the ITO coated glass to form SiNW/organic heterojunction. The results show that group BE has reflectance lower than that in group DE in solar spectrum. However, group BE has smaller power conversion efficiency (PCE) of 8.65% and short-circuit current density (Jsc) of 24.94 mA/cm2 than group DE of PCE of 9.47% and Jsc of 26.81 mA/cm2.

  18. Tip-Enhanced Photoinduced Electron Transfer and Ionization on Vertical Silicon Nanowires.

    PubMed

    Chen, Xiaoming; Wang, Tao; Lin, Leimiao; Wo, Fangjie; Liu, Yaqin; Liang, Xiao; Ye, Hui; Wu, Jianmin

    2018-05-02

    Nanostructured semiconductors are one of the most potent candidates for matrix-free laser desorption/ionization mass spectrometric (LDI-MS) analysis of low-molecular-weight molecules. Herein, the enhanced photoinduced electron transfer and LDI on the tip of a vertical silicon nanowire (SiNW) array were investigated. Theoretical simulation and LDI detection of indigo and isatin molecules in negative ion mode revealed that the electric field can be enhanced on the tip end of SiNWs, thereby promoting the energy and electron transfer to the analytes adsorbed on the tip of SiNWs. On the basis of this finding, a tip-contact sampling method coupled with LDI-MS detection was established. In this strategy, the tip of SiNWs can be regarded as microextraction heads for the sampling of molecules when they come in contact with analytes. Impression of skin, tissue, and pericarp on the vertical SiNW array can effectively transfer endogenous metabolites or exogenous substances onto the tip. Upon laser irradiation, the adsorbed molecules on the SiNW tip can be efficiently ionized and detected in negative ion mode because of the tip-enhanced electron transfer and LDI effect. We believe this work may significantly expand the application of LDI-MS in various fields.

  19. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  20. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  1. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    de Santiago, F.; Trejo, A.; Miranda, A.; Salazar, F.; Carvajal, E.; Pérez, L. A.; Cruz-Irisson, M.

    2018-05-01

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O2. Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A[B-doped] > E A[Al-doped] > E A[Ga-doped] > E A[undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  2. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  3. Realization of radial p-n junction silicon nanowire solar cell based on low-temperature and shallow phosphorus doping

    NASA Astrophysics Data System (ADS)

    Dong, Gangqiang; Liu, Fengzhen; Liu, Jing; Zhang, Hailong; Zhu, Meifang

    2013-12-01

    A radial p-n junction solar cell based on vertically free-standing silicon nanowire (SiNW) array is realized using a novel low-temperature and shallow phosphorus doping technique. The SiNW arrays with excellent light trapping property were fabricated by metal-assisted chemical etching technique. The shallow phosphorus doping process was carried out in a hot wire chemical vapor disposition chamber with a low substrate temperature of 250°C and H2-diluted PH3 as the doping gas. Auger electron spectroscopy and Hall effect measurements prove the formation of a shallow p-n junction with P atom surface concentration of above 1020 cm-3 and a junction depth of less than 10 nm. A short circuit current density of 37.13 mA/cm2 is achieved for the radial p-n junction SiNW solar cell, which is enhanced by 7.75% compared with the axial p-n junction SiNW solar cell. The quantum efficiency spectra show that radial transport based on the shallow phosphorus doping of SiNW array improves the carrier collection property and then enhances the blue wavelength region response. The novel shallow doping technique provides great potential in the fabrication of high-efficiency SiNW solar cells.

  4. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires.

    PubMed

    de Santiago, F; Trejo, A; Miranda, A; Salazar, F; Carvajal, E; Pérez, L A; Cruz-Irisson, M

    2018-05-18

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O 2 . Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A [B-doped] > E A [Al-doped] > E A [Ga-doped] > E A [undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O 2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  5. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  6. First-principles simulation on Seebeck coefficient in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Nakamura, Koichi

    2017-06-01

    The Seebeck coefficients of silicon nanowires (SiNWs) were simulated on the basis of first-principles calculation using various atomistic structure models. The electronic band structures of fully hydrogen-terminated SiNW models give the correct image of quantum mechanical confinement from bulk silicon to SiNW for each axial direction, and the change in the density of states by dimensional reduction to SiNW enhances the thermoelectric performance in terms of the Seebeck coefficient, compared with those of bulk silicon and silicon nanosheets. The uniaxial tensile strain for the SiNW models does not strongly affect the Seebeck coefficient even for the SiNW system with giant piezoresistivity. In contrast, dangling bonds on a wire wall sharply reduce the Seebeck coefficient of SiNW and totally degrade thermoelectric performance from the viewpoint of the power factor. The exclusion of dangling bonds is a key element for the design and application of high-performance thermoelectric nanowires of semiconducting materials.

  7. Simultaneous isolation and detection of circulating tumor cells with a microfluidic silicon-nanowire-array integrated with magnetic upconversion nanoprobes.

    PubMed

    Wang, Chao; Ye, Min; Cheng, Liang; Li, Rui; Zhu, Wenwen; Shi, Zhen; Fan, Chunhai; He, Jinkang; Liu, Jian; Liu, Zhuang

    2015-06-01

    The development of sensitive and convenient methods for detection, enrichment, and analysis of circulating tumor cells (CTCs), which serve as an importance diagnostic indicator for metastatic progression of cancer, has received tremendous attention in recent years. In this work, a new approach characteristic of simultaneous CTC capture and detection is developed by integrating a microfluidic silicon nanowire (SiNW) array with multifunctional magnetic upconversion nanoparticles (MUNPs). The MUNPs were conjugated with anti-EpCAM antibody, thus capable to specifically recognize tumor cells in the blood samples and pull them down under an external magnetic field. The capture efficiency of CTCs was further improved by the integration with a microfluidic SiNW array. Due to the autofluorescence free nature in upconversion luminescence (UCL) imaging, our approach allows for highly sensitive detection of small numbers of tumor cells, which afterward could be collected for further analysis and re-culturing. We have further demonstrated that this approach can be applied to detect CTCs in clinical blood samples from lung cancer patients, and obtained consistent results by analyzing the UCL signals and the clinical outcomes of lung cancer metastasis. Therefore our approach represents a promising platform in CTC capture and detection with potential clinical utilization in cancer diagnosis and prognosis. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Composite films of highly ordered Si nanowires embedded in SiGe0.3 for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Kikuchi, Akiou; Yao, Akifumi; Mori, Isamu; Ono, Takahito; Samukawa, Seiji

    2017-10-01

    We fabricated a high-density array of silicon nanowires (SiNWs) with a diameter of 10 nm embedded in silicon germanium (SiGe0.3) to give a composite thin film for thermoelectric device applications. The SiNW array was first fabricated by bio-template mask and neutral beam etching techniques. The SiNW array was then embedded in SiGe0.3 by thermal chemical vapor deposition. The cross-plane thermal conductivity of the SiNW-SiGe0.3 composite film with a thickness of 100 nm was 3.5 ± 0.3 W/mK in the temperature range of 300-350 K. Moreover, the temperature dependences of the in-plane electrical conductivity and in-plane Seebeck coefficient of the SiNW-SiGe0.3 composite were evaluated. The fabricated SiNW-SiGe0.3 composite film displayed a maximum power factor of 1 × 103 W/m K2 (a Seebeck coefficient of 4.8 × 103 μV/K and an electrical conductivity of 4.4 × 103 S/m) at 873 K. The present high-density SiNW array structure represents a new route to realize practical thermoelectric devices using mature Si processes without any rare metals.

  9. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  10. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  11. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  12. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  13. Ultra-sensitive and selective detection of mercury ion (Hg2+) using free-standing silicon nanowire sensors

    NASA Astrophysics Data System (ADS)

    Jin, Yan; Gao, Anran; Jin, Qinghui; Li, Tie; Wang, Yuelin; Zhao, Jianlong

    2018-04-01

    In this paper, ultra-sensitive and highly selective Hg2+ detection in aqueous solutions was studied by free-standing silicon nanowire (SiNW) sensors. The all-around surface of SiNW arrays was functionalized with (3-Mercaptopropyl)trimethoxysilane serving as Hg2+ sensitive layer. Due to effective electrostatic control provided by the free-standing structure, a detection limit as low as 1 ppt was obtained. A linear relationship (R 2 = 0.9838) between log(CHg2+ ) and a device current change from 1 ppt to 5 ppm was observed. Furthermore, the developed SiNW sensor exhibited great selectivity for Hg2+ over other heavy metal ions, including Cd2+. Given the extraordinary ability for real-time Hg2+ detection, the small size and low cost of the SiNW device, it is expected to be a potential candidate in field detection of environmentally toxic mercury.

  14. Direct electrodeposition of porous gold nanowire arrays for biosensing applications.

    PubMed

    Zhang, Xinyi; Li, Dan; Bourgeois, Laure; Wang, Huanting; Webley, Paul A

    2009-02-02

    Nanochannel alumina templates are used as templates for fabrication of porous gold nanowire arrays by a direct electrodeposition method. After modification with glucose oxidase, a porous gold nanowire-array electrode is shown to be an excellent electrochemical biosensor for the detection of glucose. The picture shows an SEM image of a nanowire array after removal of the alumina template by acid dissolution. We report the fabrication of porous gold nanowire arrays by means of a one-step electrodeposition method utilizing nanochannel alumina templates. The microstructure of gold nanowires depends strongly on the current density. The formation of porous gold nanowires is attributed to disperse crystallization under conditions of low nucleation rate. Interfacial electron transport through the porous gold nanowires is studied by electrochemical impedance spectroscopy. Cyclic voltammetric studies on the porous gold nanowire arrays reveal a low-potential electrocatalytic response towards hydrogen peroxide. The properties of the glucose oxidase modified porous gold nanowire array electrode are elucidated and compared with those of nonporous enzyme electrodes. The glucose oxidase modified porous gold nanowire-array electrode is shown to be an excellent electrochemical biosensor for the detection of glucose.

  15. Functionalization of silicon nanowires by conductive and non-conductive polymers

    NASA Astrophysics Data System (ADS)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  16. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics

    PubMed Central

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°). PMID:25435833

  17. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics.

    PubMed

    Kayes, Md Imrul; Leu, Paul W

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°).

  18. Directed branch growth in aligned nanowire arrays.

    PubMed

    Beaudry, Allan L; LaForge, Joshua M; Tucker, Ryan T; Sorge, Jason B; Adamski, Nicholas L; Li, Peng; Taschuk, Michael T; Brett, Michael J

    2014-01-01

    Branch growth is directed along two, three, or four in-plane directions in vertically aligned nanowire arrays using vapor-liquid-solid glancing angle deposition (VLS-GLAD) flux engineering. In this work, a dynamically controlled collimated vapor flux guides branch placement during the self-catalyzed epitaxial growth of branched indium tin oxide nanowire arrays. The flux is positioned to grow branches on select nanowire facets, enabling fabrication of aligned nanotree arrays with L-, T-, or X-branching. In addition, a flux motion algorithm is designed to selectively elongate branches along one in-plane axis. Nanotrees are found to be aligned across large areas by X-ray diffraction pole figure analysis and through branch length and orientation measurements collected over 140 μm(2) from scanning electron microscopy images for each array. The pathway to guided assembly of nanowire architectures with controlled interconnectivity in three-dimensions using VLS-GLAD is discussed.

  19. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  20. Neuron-like differentiation of mesenchymal stem cells on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Hyunju; Kim, Ilsoo; Choi, Heon-Jin; Kim, So Yeon; Yang, Eun Gyeong

    2015-10-01

    The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal stem cells (hMSCs) were cultured on the longest SiNWs for 3 days, most of the cells exhibited elongated shapes with neurite-like extensions and dot-like focal adhesions that were prominently observed along with actin filaments. Under these circumstances, the cell motility analyzed by live cell imaging was found to decrease due to the presence of SiNWs. In addition, the slowed growth rate, as well as the reduced population of S phase cells, suggested that the cell cycle was likely arrested in response to the differentiation process. Furthermore, we measured the mRNA levels of several lineage-specific markers to confirm that the SiNWs actually induced neuron-like differentiation of the hMSCs while hampering their osteogenic differentiation. Taken together, our results implied that SiNWs were capable of inducing active reorganization of cellular behaviors, collectively guiding the fate of hMSCs into the neural lineage even in the absence of any inducing reagent.The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal

  1. Enhanced photovoltaic performance of an inclined nanowire array solar cell.

    PubMed

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2015-11-30

    An innovative solar cell based on inclined p-i-n nanowire array is designed and analyzed. The results show that the inclined geometry can sufficiently increase the conversion efficiency of solar cells by enhancing the absorption of light in the active region. By tuning the nanowire array density, nanowire diameter, nanowire length, as well as the proportion of intrinsic region of the inclined nanowire solar cell, a remarkable efficiency in excess of 16% can be obtained in GaAs. Similar results have been obtained in InP and Si nanowire solar cells, demonstrating the universality of the performance enhancement of inclined nanowire arrays.

  2. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  3. Simulation study on discrete charge effects of SiNW biosensors according to bound target position using a 3D TCAD simulator.

    PubMed

    Chung, In-Young; Jang, Hyeri; Lee, Jieun; Moon, Hyunggeun; Seo, Sung Min; Kim, Dae Hwan

    2012-02-17

    We introduce a simulation method for the biosensor environment which treats the semiconductor and the electrolyte region together, using the well-established semiconductor 3D TCAD simulator tool. Using this simulation method, we conduct electrostatic simulations of SiNW biosensors with a more realistic target charge model where the target is described as a charged cube, randomly located across the nanowire surface, and analyze the Coulomb effect on the SiNW FET according to the position and distribution of the target charges. The simulation results show the considerable variation in the SiNW current according to the bound target positions, and also the dependence of conductance modulation on the polarity of target charges. This simulation method and the results can be utilized for analysis of the properties and behavior of the biosensor device, such as the sensing limit or the sensing resolution.

  4. Silicon nanowires reliability and robustness investigation using AFM-based techniques

    NASA Astrophysics Data System (ADS)

    Bieniek, Tomasz; Janczyk, Grzegorz; Janus, Paweł; Grabiec, Piotr; Nieprzecki, Marek; Wielgoszewski, Grzegorz; Moczała, Magdalena; Gotszalk, Teodor; Buitrago, Elizabeth; Badia, Montserrat F.; Ionescu, Adrian M.

    2013-07-01

    Silicon nanowires (SiNWs) have undergone intensive research for their application in novel integrated systems such as field effect transistor (FET) biosensors and mass sensing resonators profiting from large surface-to-volume ratios (nano dimensions). Such devices have been shown to have the potential for outstanding performances in terms of high sensitivity, selectivity through surface modification and unprecedented structural characteristics. This paper presents the results of mechanical characterization done for various types of suspended SiNWs arranged in a 3D array. The characterization has been performed using techniques based on atomic force microscopy (AFM). This investigation is a necessary prerequisite for the reliable and robust design of any biosensing system. This paper also describes the applied investigation methodology and reports measurement results aggregated during series of AFM-based tests.

  5. Nanowire sensor, sensor array, and method for making the same

    NASA Technical Reports Server (NTRS)

    Homer, Margie (Inventor); Fleurial, Jean-Pierre (Inventor); Bugga, Ratnakumar (Inventor); Vasquez, Richard (Inventor); Yun, Minhee (Inventor); Myung, Nosang (Inventor); Choi, Daniel (Inventor); Goddard, William (Inventor); Ryan, Margaret (Inventor); Yen, Shiao-Pin (Inventor)

    2012-01-01

    The present invention relates to a nanowire sensor and method for forming the same. More specifically, the nanowire sensor comprises at least one nanowire formed on a substrate, with a sensor receptor disposed on a surface of the nanowire, thereby forming a receptor-coated nanowire. The nanowire sensor can be arranged as a sensor sub-unit comprising a plurality of homogeneously receptor-coated nanowires. A plurality of sensor subunits can be formed to collectively comprise a nanowire sensor array. Each sensor subunit in the nanowire sensor array can be formed to sense a different stimulus, allowing a user to sense a plurality of stimuli. Additionally, each sensor subunit can be formed to sense the same stimuli through different aspects of the stimulus. The sensor array is fabricated through a variety of techniques, such as by creating nanopores on a substrate and electrodepositing nanowires within the nanopores.

  6. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    NASA Astrophysics Data System (ADS)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  7. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  8. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  9. Conducting polymer nanowire arrays for high performance supercapacitors.

    PubMed

    Wang, Kai; Wu, Haiping; Meng, Yuena; Wei, Zhixiang

    2014-01-15

    This Review provides a brief summary of the most recent research developments in the fabrication and application of one-dimensional ordered conducting polymers nanostructure (especially nanowire arrays) and their composites as electrodes for supercapacitors. By controlling the nucleation and growth process of polymerization, aligned conducting polymer nanowire arrays and their composites with nano-carbon materials can be prepared by employing in situ chemical polymerization or electrochemical polymerization without a template. This kind of nanostructure (such as polypyrrole and polyaniline nanowire arrays) possesses high capacitance, superior rate capability ascribed to large electrochemical surface, and an optimal ion diffusion path in the ordered nanowire structure, which is proved to be an ideal electrode material for high performance supercapacitors. Furthermore, flexible, micro-scale, threadlike, and multifunctional supercapacitors are introduced based on conducting polyaniline nanowire arrays and their composites. These prototypes of supercapacitors utilize the high flexibility, good processability, and large capacitance of conducting polymers, which efficiently extend the usage of supercapacitors in various situations, and even for a complicated integration system of different electronic devices. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. High-performance silicon nanowire bipolar phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  11. Recrystallized arrays of bismuth nanowires with trigonal orientation.

    PubMed

    Limmer, Steven J; Yelton, W Graham; Erickson, Kristopher J; Medlin, Douglas L; Siegal, Michael P

    2014-01-01

    We demonstrate methods to improve the crystalline-quality of free-standing Bi nanowires arrays on a Si substrate and enhance the preferred trigonal orientation for thermoelectric performance by annealing the arrays above the 271.4 °C Bi melting point. The nanowires maintain their geometry during melting due to the formation of a thin Bi-oxide protective shell that contains the molten Bi. Recrystallizing nanowires from the melt improves crystallinity; those cooled rapidly demonstrate a strong trigonal orientation preference.

  12. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    NASA Astrophysics Data System (ADS)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  13. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  14. A reliable method to grow vertically-aligned silicon nanowires by a novel ramp-cooling process

    NASA Astrophysics Data System (ADS)

    Ho, Tzuen-Wei; Hong, Franklin Chau-Nan

    2012-08-01

    We have grown silicon nanowires (SiNWs) on Si (1 1 1) substrates by gold-catalyzed vapor-liquid-solid (VLS) process using tetrachlorosilane (SiCl4) in a hot-wall chemical vapor deposition reactor. Even under the optimized conditions including H2 annealing to reduce the surface native oxide, epitaxial SiNWs of 150-200 nm in diameter often grew along all four <1 1 1> family directions with one direction vertical and three others inclined to the surface. Therefore, the growth of high degree ordered SiNW arrays along [1 1 1] only was attempted on Au-coated Si (1 1 1) by a ramp-cooling process utilizing the liquid phase epitaxy (LPE) mechanism. The Au-coated Si substrate was first annealed in H2 at 650 °C to form Au-Si alloy nanoparticles, and then ramp-cooled at a controlled rate to precipitate epitaxial Si seeds on the substrate based on LPE mechanism. The substrate was further heated in SiCl4/H2 to 850 °C for the VLS growths of SiNWs on the Si seeds. Thus, almost 100% vertically-aligned SiNWs along [1 1 1] only could be reproducibly grown on Si (1 1 1), without using a template or patterning the metal catalyst. The high-density vertically-aligned SiNWs have good potentials for solar cells and nano-devices.

  15. Nanowire Photonic Systems

    DTIC Science & Technology

    2009-12-22

    b) From top to bottom, (i) AFM topograph of the p-i-n SiNW, (ii) plot of EFM phase-shift vs . position recorded along the nanowire axis and (iii...c) Current vs . applied voltage curve for a typical SiNW p-i-n junction at room temperature. (d) Current vs . applied reverse voltage data of a p-i...incident laser power. Iph vs . laser power (Figure 3c) measured at 22, 20 and 18 V show linear dependences with slopes of 1.16, 0.94 and 0.72 nA/μW

  16. Large-scale fabrication of vertically aligned ZnO nanowire arrays

    DOEpatents

    Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo

    2013-02-05

    In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.

  17. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  18. Novel gradient-diameter magnetic nanowire arrays with unconventional magnetic anisotropy behaviors.

    PubMed

    Wang, Jing; Zuo, Zhili; Huang, Liang; Warsi, Muhammad Asif; Xiao, John Q; Hu, Jun

    2018-06-21

    Fe-Co-Ni gradient-diameter magnetic nanowire arrays were fabricated via direct-current electrodeposition into a tapered anodic aluminium oxide template. In contrast to the magnetic behaviors of uniform-diameter nanowire arrays, these arrays exhibited tailorable magnetic anisotropy that can be used to switch magnetic nanowires easily and unconventional temperature-dependent coercivity with much better thermal stability.

  19. Exact comprehensive equations for the photon management properties of silicon nanowire

    PubMed Central

    Li, Yingfeng; Li, Meicheng; Li, Ruike; Fu, Pengfei; Wang, Tai; Luo, Younan; Mbengue, Joseph Michel; Trevor, Mwenya

    2016-01-01

    Unique photon management (PM) properties of silicon nanowire (SiNW) make it an attractive building block for a host of nanowire photonic devices including photodetectors, chemical and gas sensors, waveguides, optical switches, solar cells, and lasers. However, the lack of efficient equations for the quantitative estimation of the SiNW’s PM properties limits the rational design of such devices. Herein, we establish comprehensive equations to evaluate several important performance features for the PM properties of SiNW, based on theoretical simulations. Firstly, the relationships between the resonant wavelengths (RW), where SiNW can harvest light most effectively, and the size of SiNW are formulized. Then, equations for the light-harvesting efficiency at RW, which determines the single-frequency performance limit of SiNW-based photonic devices, are established. Finally, equations for the light-harvesting efficiency of SiNW in full-spectrum, which are of great significance in photovoltaics, are established. Furthermore, using these equations, we have derived four extra formulas to estimate the optimal size of SiNW in light-harvesting. These equations can reproduce majority of the reported experimental and theoretical results with only ~5% error deviations. Our study fills up a gap in quantitatively predicting the SiNW’s PM properties, which will contribute significantly to its practical applications. PMID:27103087

  20. Nanowire sensors and arrays for chemical/biomolecule detection

    NASA Technical Reports Server (NTRS)

    Yun, Minhee; Lee, Choonsup; Vasquez, Richard P.; Ramanathan, K.; Bangar, M. A.; Chen, W.; Mulchandan, A.; Myung, N. V.

    2005-01-01

    We report electrochemical growth of single nanowire based sensors using e-beam patterned electrolyte channels, potentially enabling the controlled fabrication of individually addressable high density arrays. The electrodeposition technique results in nanowires with controlled dimensions, positions, alignments, and chemical compositions. Using this technique, we have fabricated single palladium nanowires with diameters ranging between 75 nm and 300 nm and conducting polymer nanowires (polypyrrole and polyaniline) with diameters between 100 nm and 200 nm. Using these single nanowires, we have successfully demonstrated gas sensing with Pd nanowires and pH sensing with polypirrole nanowires.

  1. Impact of the air gap in nanowire array transistors

    NASA Astrophysics Data System (ADS)

    Mativetsky, Jeffrey; Yang, Tong; Mehta, Jeremy

    Organic and inorganic semiconducting nanowires are promising for flexible electronic, energy harvesting, and sensing applications. Nanowire arrays processed from solution are particularly attractive for their ease of processing coupled with their potential for high performance. Random stacking has been observed, however, to hinder the collective electrical performance of such nanowire arrays. Here, we employ solution-processed organic semiconducting nanowires as a model system to assess the impact of the air gap that exists under a large portion of the active material in nanowire array transistors. Confocal Raman spectroscopy is used to non-invasively quantify the average air gap thickness which is found to be unexpectedly large - two to three times the nanowire diameter. This substantial air gap acts as an additional dielectric layer that diminishes the buildup of charge carriers, and can affect the measured charge carrier mobility and current on/off ratio by more than one order of magnitude. These results establish the importance of taking the air gap into account when fabricating and analyzing the performance of transistors based on one-dimensional nanostructures, such as organic and inorganic nanowires, or carbon nanotubes. NSF CAREER award DMR-1555028, NSF CMMI-1537648 , NSF MRI CMMI-1429176.

  2. Nanowire array and nanowire solar cells and methods for forming the same

    DOEpatents

    Yang, Peidong [Berkeley, CA; Greene, Lori [Berkeley, CA; Law, Matthew [Berkeley, CA

    2007-09-04

    Homogeneous and dense arrays of nanowires are described. The nanowires can be formed in solution and can have average diameters of 40-300 nm and lengths of 1-3 .mu.m. They can be formed on any suitable substrate. Photovoltaic devices are also described.

  3. Nanowire array and nanowire solar cells and methods for forming the same

    DOEpatents

    Yang, Peidong; Greene, Lori E.; Law, Matthew

    2009-06-09

    Homogeneous and dense arrays of nanowires are described. The nanowires can be formed in solution and can have average diameters of 40-300 nm and lengths of 1-3 .mu.m. They can be formed on any suitable substrate. Photovoltaic devices are also described.

  4. Nanodevices based on silicon nanowires.

    PubMed

    Wan, Yuting; Sha, Jian; Chen, Bo; Fang, Yanjun; Wang, Zongli; Wang, Yewu

    2009-01-01

    Silicon nanowires (SiNWs) have been demonstrated as one of the promising building blocks for future nanodevices such as field effect transistors, solar cells, sensors and lithium battery; much progress has been made in this field during last decades. In this review paper, the synthesis and physical properties of SiNWs are introduced briefly. Significant advances of SiNWs-related nanodevices reported in recent literature and registered patents are reviewed. The latest development and prospects of SiNWs-related nanodevices are also discussed.

  5. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation

    PubMed Central

    Xue, Zhaoguo; Xu, Mingkun; Zhao, Yaolong; Wang, Jimmy; Jiang, Xiaofan; Yu, Linwei; Wang, Junzhuan; Xu, Jun; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere

    2016-01-01

    The ability to program highly modulated morphology upon silicon nanowires (SiNWs) has been fundamental to explore new phononic and electronic functionalities. We here exploit a nanoscale locomotion of metal droplets to demonstrate a large and readily controllable morphology engineering of crystalline SiNWs, from straight ones into continuous or discrete island-chains, at temperature <350 °C. This has been accomplished via a tin (Sn) droplet mediated in-plane growth where amorphous Si thin film is consumed as precursor to produce crystalline SiNWs. Thanks to a significant interface-stretching effect, a periodic Plateau-Rayleigh instability oscillation can be stimulated in the liquid Sn droplet, and the temporal oscillation of the Sn droplets is translated faithfully, via the deformable liquid/solid deposition interface, into regular spatial modulation upon the SiNWs. Combined with a unique self-alignment and positioning capability, this new strategy could enable a rational design and single-run fabrication of a wide variety of nanowire-based optoelectronic devices. PMID:27682161

  6. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  7. Photovoltaic Performance of a Nanowire/Quantum Dot Hybrid Nanostructure Array Solar Cell.

    PubMed

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-02-23

    An innovative solar cell based on a nanowire/quantum dot hybrid nanostructure array is designed and analyzed. By growing multilayer InAs quantum dots on the sidewalls of GaAs nanowires, not only the absorption spectrum of GaAs nanowires is extended by quantum dots but also the light absorption of quantum dots is dramatically enhanced due to the light-trapping effect of the nanowire array. By incorporating five layers of InAs quantum dots into a 500-nm high-GaAs nanowire array, the power conversion efficiency enhancement induced by the quantum dots is six times higher than the power conversion efficiency enhancement in thin-film solar cells which contain the same amount of quantum dots, indicating that the nanowire array structure can benefit the photovoltaic performance of quantum dot solar cells.

  8. Photovoltaic Performance of a Nanowire/Quantum Dot Hybrid Nanostructure Array Solar Cell

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-02-01

    An innovative solar cell based on a nanowire/quantum dot hybrid nanostructure array is designed and analyzed. By growing multilayer InAs quantum dots on the sidewalls of GaAs nanowires, not only the absorption spectrum of GaAs nanowires is extended by quantum dots but also the light absorption of quantum dots is dramatically enhanced due to the light-trapping effect of the nanowire array. By incorporating five layers of InAs quantum dots into a 500-nm high-GaAs nanowire array, the power conversion efficiency enhancement induced by the quantum dots is six times higher than the power conversion efficiency enhancement in thin-film solar cells which contain the same amount of quantum dots, indicating that the nanowire array structure can benefit the photovoltaic performance of quantum dot solar cells.

  9. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  10. Geometrical optics, electrostatics, and nanophotonic resonances in absorbing nanowire arrays.

    PubMed

    Anttu, Nicklas

    2013-03-01

    Semiconductor nanowire arrays have shown promise for next-generation photovoltaics and photodetection, but enhanced understanding of the light-nanowire interaction is still needed. Here, we study theoretically the absorption of light in an array of vertical InP nanowires by moving continuously, first from the electrostatic limit to the nanophotonic regime and then to the geometrical optics limit. We show how the absorption per volume of semiconductor material in the array can be varied by a factor of 200, ranging from 10 times weaker to 20 times stronger than in a bulk semiconductor sample.

  11. Structure, morphology, and photoluminescence of porous Si nanowires: effect of different chemical treatments

    PubMed Central

    2013-01-01

    The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material. PMID:24025542

  12. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  13. Three-dimensional electrodes for dye-sensitized solar cells: synthesis of indium-tin-oxide nanowire arrays and ITO/TiO2 core-shell nanowire arrays by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Wang, Hong-Wen; Ting, Chi-Feng; Hung, Miao-Ken; Chiou, Chwei-Huann; Liu, Ying-Ling; Liu, Zongwen; Ratinac, Kyle R.; Ringer, Simon P.

    2009-02-01

    Dye-sensitized solar cells (DSSCs) show promise as a cheaper alternative to silicon-based photovoltaics for specialized applications, provided conversion efficiency can be maximized and production costs minimized. This study demonstrates that arrays of nanowires can be formed by wet-chemical methods for use as three-dimensional (3D) electrodes in DSSCs, thereby improving photoelectric conversion efficiency. Two approaches were employed to create the arrays of ITO (indium-tin-oxide) nanowires or arrays of ITO/TiO2 core-shell nanowires; both methods were based on electrophoretic deposition (EPD) within a polycarbonate template. The 3D electrodes for solar cells were constructed by using a doctor-blade for coating TiO2 layers onto the ITO or ITO/TiO2 nanowire arrays. A photoelectric conversion efficiency as high as 4.3% was achieved in the DSSCs made from ITO nanowires; this performance was better than that of ITO/TiO2 core-shell nanowires or pristine TiO2 films. Cyclic voltammetry confirmed that the reaction current was significantly enhanced when a 3D ITO-nanowire electrode was used. Better separation of charge carriers and improved charge transport, due to the enlarged interfacial area, are thought to be the major advantages of using 3D nanowire electrodes for the optimization of DSSCs.

  14. Angle-dependent photodegradation over ZnO nanowire arrays on flexible paper substrates

    PubMed Central

    2014-01-01

    In this study, we grew zinc oxide (ZnO) nanowire arrays on paper substrates using a two-step growth strategy. In the first step, we formed single-crystalline ZnO nanoparticles of uniform size distribution (ca. 4 nm) as seeds for the hydrothermal growth of the ZnO nanowire arrays. After spin-coating of these seeds onto paper, we grew ZnO nanowire arrays conformally on these substrates. The crystal structure of a ZnO nanowire revealed that the nanowires were single-crystalline and had grown along the c axis. Further visualization through annular bright field scanning transmission electron microscopy revealed that the hydrothermally grown ZnO nanowires possessed Zn polarity. From photocatalytic activity measurements of the ZnO nanowire (NW) arrays on paper substrate, we extracted rate constants of 0.415, 0.244, 0.195, and 0.08 s-1 for the degradation of methylene blue at incident angles of 0°, 30°, 60°, and 75°, respectively; that is, the photocatalytic activity of these ZnO nanowire arrays was related to the cosine of the incident angle of the UV light. Accordingly, these materials have promising applications in the design of sterilization systems and light-harvesting devices. PMID:25593556

  15. Manipulation and Investigation of Uniformly-Spaced Nanowire Array on a Substrate via Dielectrophoresis and Electrostatic Interaction.

    PubMed

    Choi, U Hyeok; Park, Ji Hun; Kim, Jaekyun

    2018-06-21

    Directed-assembly of nanowires on the dielectrics-covered parallel electrode structure is capable of producing uniformly-spaced nanowire array at the electrode gap due to dielectrophoretic nanowire attraction and electrostatic nanowire repulsion. Beyond uniformly-spaced nanowire array formation, the control of spacing in the array is beneficial in that it should be the experimental basis of the precise positioning of functional nanowires on a circuit. Here, we investigate the material parameters and bias conditions to modulate the nanowire spacing in the ordered array, where the nanowire array formation is readily attained due to the electrostatic nanowire interaction. A theoretical model for the force calculation and the simulation of the induced charge in the assembled nanowire verifies that the longer nanowires on thicker dielectric layer tend to be assembled with a larger pitch due to the stronger nanowire-nanowire electrostatic repulsion, which is consistent with the experimental results. It was claimed that the stronger dielectrophoretic force is likely to attract more nanowires that are suspended in solution at the electrode gap, causing them to be less-spaced. Thus, we propose a generic mechanism, competition of dielectrophoretic and electrostatic force, to determine the nanowire pitch in an ordered array. Furthermore, this spacing-controlled nanowire array offers a way to fabricate the high-density nanodevice array without nanowire registration.

  16. A force sensor using nanowire arrays to understand biofilm formation (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Sahoo, Prasana K.; Cavalli, Alessandro; Pelegati, Vitor B.; Murillo, Duber M.; Souza, Alessandra A.; Cesar, Carlos L.; Bakkers, Erik P. A. M.; Cotta, Monica A.

    2016-03-01

    Understanding the cellular signaling and function at the nano-bio interface can pave the way towards developing next-generation smart diagnostic tools. From this perspective, limited reports detail so far the cellular and subcellular forces exerted by bacterial cells during the interaction with abiotic materials. Nanowire arrays with high aspect ratio have been used to detect such small forces. In this regard, live force measurements were performed ex-vivo during the interaction of Xylella fastidiosa bacterial cells with InP nanowire arrays. The influence of nanowire array topography and surface chemistry on the response and motion of bacterial cells was studied in detail. The nanowire arrays were also functionalized with different cell adhesive promoters, such as amines and XadA1, an afimbrial protein of X.fastidiosa. By employing the well-defined InP nanowire arrays platform, and single cell confocal imaging system, we were able to trace the bacterial growth pattern, and show that their initial attachment locations are strongly influenced by the surface chemistry and nanoscale surface topography. In addition, we measure the cellular forces down to few nanonewton range using these nanowire arrays. In case of nanowire functionalized with XadA1, the force exerted by vertically and horizontally attached single bacteria on the nanowire is in average 14% and 26% higher than for the pristine array, respectively. These results provide an excellent basis for live-cell force measurements as well as unravel the range of forces involved during the early stages of bacterial adhesion and biofilm formation.

  17. Assembly of Nanowire Arrays: Exploring Interparticle Interactions, Particle Orientation, and Mixed Particle Arrays

    NASA Astrophysics Data System (ADS)

    Kirby, David J.

    This dissertation explores the fundamental interparticle and particle-substrate forces that contribute to nanowire assembly. Nanowires have a large aspect ratio which has made them favorable materials for applications in energy and sensing technologies. However, this anisotropy means that nanowires must be positioned and oriented during an assembly process. Within this work, the roles of gravity, van der Waals (VDW) attractions, and electrostatic repulsions are explored when different nanowire assemblies are created. Particles were synthesized by the template electrodeposition process so that stripes of different materials and therefore different VDW interactions could be patterned along the particle length. Electrostatic repulsions were provided by a small molecule coating or a porous silica shell to prevent aggregation during the assembly process. Chapters 2, 3, 5, 6, and 8 all used particles whose asymmetry was further adjusted by removal of a sacrificial segment to leave a partially etched nanowire (PEN), a rigid silica shell partially filled with a metal core. For these particles, the role of gravity was amplified due to the drastic density differences between the two segments. Topographic and high VDW surface interactions were patterned onto assembly substrates using photolithographic processing. These forces served as a passive template to direct nanowire assembly. The segment anisotropy of PENs allowed gravity to drive their sedimentation with the long axis perpendicular to the surface. The density difference between the two ends allowed them to convert between the horizontal and vertical orientation as they diffused on the substrate. Vertical arrays formed as particle concentrations increased while VDW attractions from neighboring PENs or the physical barrier of a microwell wall supported this structure. While vertical arrays were typically PENs, microwell walls were also able to enforce a vertical orientation on solid Au nanowires. These particles

  18. Magnetic-optical bifunctional CoPt3/Co multilayered nanowire arrays

    NASA Astrophysics Data System (ADS)

    Su, Yi-Kun; Yan, Zhi-Long; Wu, Xi-Ming; Liu, Huan; Ren, Xiao; Yang, Hai-Tao

    2015-10-01

    CoPt3/Co multilayered nanowire (NW) arrays are synthesized by pulsed electrodeposition into nanoporous anodic aluminum oxide (AAO) templates. The electrochemistry deposition parameters are determined by cyclic voltammetry to realize the well control of the ratio of Co to Pt and the length of every segment. The x-ray diffraction (XRD) patterns show that both Co and CoPt3 NWs exhibit face-centered cubic (fcc) structures. In the UV-visible absorption spectra, CoPt3/Co NW arrays show a red-shift with respect to pure CoPt3NWs. Compared with the pure Co nanowire arrays, the CoPt3/Co multilayered nanowire arrays show a weak shape anisotropy and well-modulated magnetic properties. CoPt3/Co multilayered nanowires are highly encouraging that new families of bimetallic nanosystems may be developed to meet the needs of nanomaterials in emerging multifunctional nanotechnologies. Project supported by the National Natural Science Foundation of China (Grant Nos. 51472165, 51471185, and 11274370).

  19. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  20. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  1. A general melt-injection-decomposition route to oriented metal oxide nanowire arrays

    NASA Astrophysics Data System (ADS)

    Han, Dongqiang; Zhang, Xinwei; Hua, Zhenghe; Yang, Shaoguang

    2016-12-01

    In this manuscript, a general melt-injection-decomposition (MID) route has been proposed and realized for the fabrication of oriented metal oxide nanowire arrays. Nitrate was used as the starting materials, which was injected into the nanopores of the anodic aluminum oxide (AAO) membrane through the capillarity action in its liquid state. At higher temperature, the nitrate decomposed into corresponding metal oxide within the nanopores of the AAO membrane. Oriented metal oxide nanowire arrays were formed within the AAO membrane as a result of the confinement of the nanopores. Four kinds of metal oxide (CuO, Mn2O3, Co3O4 and Cr2O3) nanowire arrays are presented here as examples fabricated by this newly developed process. X-ray diffraction, scanning electron microscopy and transmission electron microscopy studies showed clear evidence of the formations of the oriented metal oxide nanowire arrays. Formation mechanism of the metal oxide nanowire arrays is discussed based on the Thermogravimetry and Differential Thermal Analysis measurement results.

  2. Ferromagnetic resonance in low interacting permalloy nanowire arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Raposo, V.; Zazo, M.; Flores, A. G.

    2016-04-14

    Dipolar interactions on magnetic nanowire arrays have been investigated by various techniques. One of the most powerful techniques is the ferromagnetic resonance spectroscopy, because the resonance field depends directly on the anisotropy field strength and its frequency dependence. In order to evaluate the influence of magnetostatic dipolar interactions among ferromagnetic nanowire arrays, several densely packed hexagonal arrays of NiFe nanowires have been prepared by electrochemical deposition filling self-ordered nanopores of alumina membranes with different pore sizes but keeping the same interpore distance. Nanowires’ diameter was changed from 90 to 160 nm, while the lattice parameter was fixed to 300 nm, which wasmore » achieved by carefully reducing the pore diameter by means of Atomic Layer Deposition of conformal Al{sub 2}O{sub 3} layers on the nanoporous alumina templates. Field and frequency dependence of ferromagnetic resonance have been studied in order to obtain the dispersion diagram which gives information about anisotropy, damping factor, and gyromagnetic ratio. The relationship between resonance frequency and magnetic field can be explained by the roles played by the shape anisotropy and dipolar interactions among the ferromagnetic nanowires.« less

  3. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  4. A High-Efficiency Si Nanowire Array/Perovskite Hybrid Solar Cell.

    PubMed

    Yan, Xin; Zhang, Chen; Wang, Jiamin; Zhang, Xia; Ren, Xiaomin

    2017-12-01

    A low-cost Si nanowire array/perovskite hybrid solar cell is proposed and simulated. The solar cell consists of a Si p-i-n nanowire array filled with CH 3 NH 3 PbI 3 , in which both the nanowires and perovskite absorb the incident light while the nanowires act as the channels for transporting photo-generated electrons and holes. The hybrid structure has a high absorption efficiency in a broad wavelength range of 300~800 nm. A large short-circuit current density of 28.8 mA/cm 2 and remarkable conversion efficiency of 13.3% are obtained at a thin absorber thickness of 1.6 μm, which are comparable to the best results of III-V nanowire solar cells.

  5. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  6. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  7. MnO 2 nanotube and nanowire arrays by electrochemical deposition for supercapacitors

    NASA Astrophysics Data System (ADS)

    Xia, Hui; Feng, Jinkui; Wang, Hailong; Lai, Man On; Lu, Li

    Highly ordered MnO 2 nanotube and nanowire arrays are successfully synthesized via a electrochemical deposition technique using porous alumina templates. The morphologies and microstructures of the MnO 2 nanotube and nanowire arrays are investigated by field emission scanning electron microscopy and transmission electron microscopy. Electrochemical characterization demonstrates that the MnO 2 nanotube array electrode has superior capacitive behaviour to that of the MnO 2 nanowire array electrode. In addition to high specific capacitance, the MnO 2 nanotube array electrode also exhibits good rate capability and good cycling stability, which makes it promising candidate for supercapacitors.

  8. Electronic transport properties of single-crystal bismuth nanowire arrays

    NASA Astrophysics Data System (ADS)

    Zhang, Zhibo; Sun, Xiangzhong; Dresselhaus, M. S.; Ying, Jackie Y.; Heremans, J.

    2000-02-01

    We present here a detailed study of the electrical transport properties of single-crystal bismuth nanowire arrays embedded in a dielectric matrix. Measurements of the resistance of Bi nanowire arrays with different wire diameters (60-110 nm) have been carried out over a wide range of temperatures (2.0-300 K) and magnetic fields (0-5.4 T). The transport properties of a heavily Te-doped Bi nanowire array have also been studied. At low temperatures, we show that the wire boundary scattering is the dominant scattering process for carriers in the undoped single-crystal Bi nanowires, while boundary scattering is less important for a heavily Te-doped sample, consistent with general theoretical considerations. The temperature dependences of the zero-field resistivity and of the longitudinal magneto-coefficient of the Bi nanowires were also studied and were found to be sensitive to the wire diameter. The quantum confinement of carriers is believed to play an important role in determining the overall temperature dependence of the zero-field resistivity. Theoretical considerations of the quantum confinement effects on the electronic band structure and on the transport properties of Bi nanowires are discussed. Despite the evidence for localization effects and diffusive electron interactions at low temperatures (T<=4.0 K), localization effects are not the dominant mechanisms affecting the resistivity or the magnetoresistance in the temperature range of this study.

  9. Quantum dots coupled ZnO nanowire-array panels and their photocatalytic activities.

    PubMed

    Liao, Yulong; Que, Wenxiu; Zhang, Jin; Zhong, Peng; Yuan, Yuan; Qiu, Xinku; Shen, Fengyu

    2013-02-01

    Fabrication and characterization of a heterojunction structured by CdS quantum dots@ZnO nanowire-array panels were presented. Firstly, ZnO nanowire-array panels were prepared by using a chemical bath deposition approach where wurtzite ZnO nanowires with a diameter of about 100 nm and 3 microm in length grew perpendicularly to glass substrate. Secondly, CdS quantum dots were deposited onto the surface of the ZnO nanowire-arrays by using successive ion layer absorption and reaction method, and the CdS shell/ZnO core heterojunction were thus obtained. Field emission scanning electron microscopy and transmission electron microscope were employed to characterize the morphological properties of the as-obtained CdS quantum dots@ZnO nanowire-array panels. X-ray diffraction was adopted to characterize the crystalline properties of the as-obtained CdS quantum dots@ZnO nanowire-array panels. Methyl orange was taken as a model compound to confirm the photocatalytic activities of the CdS shell/ZnO core heterojunction. Results indicate that CdS with narrow band gap not only acts as a visible-light sensitizer but also is responsible for an effective charge separation.

  10. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires

    PubMed Central

    2012-01-01

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265

  11. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.

    PubMed

    Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi

    2012-07-16

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.

  12. Study of spin dynamics and damping on the magnetic nanowire arrays with various nanowire widths

    NASA Astrophysics Data System (ADS)

    Cho, Jaehun; Fujii, Yuya; Konioshi, Katsunori; Yoon, Jungbum; Kim, Nam-Hui; Jung, Jinyong; Miwa, Shinji; Jung, Myung-Hwa; Suzuki, Yoshishige; You, Chun-Yeol

    2016-07-01

    We investigate the spin dynamics including Gilbert damping in the ferromagnetic nanowire arrays. We have measured the ferromagnetic resonance of ferromagnetic nanowire arrays using vector-network analyzer ferromagnetic resonance (VNA-FMR) and analyzed the results with the micromagnetic simulations. We find excellent agreement between the experimental VNA-FMR spectra and micromagnetic simulations result for various applied magnetic fields. We find that the same tendency of the demagnetization factor for longitudinal and transverse conditions, Nz (Ny) increases (decreases) as increasing the nanowire width in the micromagnetic simulations while Nx is almost zero value in transverse case. We also find that the Gilbert damping constant increases from 0.018 to 0.051 as the increasing nanowire width for the transverse case, while it is almost constant as 0.021 for the longitudinal case.

  13. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  14. High energy density in PVDF nanocomposites using an optimized nanowire array.

    PubMed

    Guo, Ru; Luo, Hang; Liu, Weiwei; Zhou, Xuefan; Tang, Lin; Zhou, Kechao; Zhang, Dou

    2018-06-22

    TiO2 nanowire arrays are often utilized to prepare high performance polymer nanocomposites, however, the contribution to the energy density is limited due to their non-ferroelectric characteristics. A nanocomposite with an optimized nanowire array combining the ferroelectric properties of lead zirconate titanate (PZT) with TiO2, readily forming nanowires (denoted as a TiO2-P nanowire array), is prepared to enhance the permittivity. Poly(vinylidene fluoride) (PVDF) is used as the polymer matrix due to its high breakdown strength, e.g. 600-700 kV mm-1. As a result, the permittivity and breakdown electric field reach 53 at 1 kHz and 550 kV mm-1, respectively. Therefore, the nanocomposites achieve a higher discharge energy density of 12.4 J cm-3 with excellent cycle stability, which is the highest among nanocomposites based on a nanowire array as a filler in a PVDF matrix. This work provides not only a feasible approach to obtain high performance dielectric nanocomposites, but also a wide range of potential applications in the energy storage and energy harvesting fields.

  15. The microstructure and magnetic properties of Cu/CuO/Ni core/multi-shell nanowire arrays

    NASA Astrophysics Data System (ADS)

    Yang, Feng; Shi, Jie; Zhang, Xiaofeng; Hao, Shijie; Liu, Yinong; Feng, Chun; Cui, Lishan

    2018-04-01

    Multifunctional metal/oxide/metal core/multi-shell nanowire arrays were prepared mostly by physical or chemical vapor deposition. In our study, the Cu/CuO/Ni core/multi-shell nanowire arrays were prepared by AAO template-electrodeposition and oxidation processes. The Cu/Ni core/shell nanowire arrays were prepared by AAO template-electrodeposition method. The microstructure and chemical compositions of the core/multi-shell nanowires and core/shell nanowires have been characterized using transmission electron microscopy with HADDF-STEM and X-ray diffraction. Magnetization measurements revealed that the Cu/CuO/Ni and Cu/Ni nanowire arrays have high coercivity and remanence ratio.

  16. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  17. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  18. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  19. Synthesis and characterization of axial heterojunction inorganic-organic semiconductor nanowire arrays.

    PubMed

    Chen, Nan; Qian, Xuemin; Lin, Haowei; Liu, Huibiao; Li, Yongjun; Li, Yuliang

    2011-11-07

    The end-to-end P-N heterojunction nanowire arrays combined organic (poly[1,4-bis(pyrrol-2-yl)benzene], BPB) and inorganic (CdS) molecules have been successfully designed and fabricated. The electrical properties of P-N heterojunctions of organic-inorganic nanowire arrays were investigated. The diode nature and rectifying feature of P-N heterojunction nanowire arrays were observed. The rectification ratio of the diode increased from 29.9 to 129.7 as the illumination intensity increased. The material exhibits a new property, which is an improvement in the integration of the physical and chemical properties of the two independent components.

  20. Helical coil buckling mechanism for a stiff nanowire on an elastomeric substrate

    NASA Astrophysics Data System (ADS)

    Chen, Youlong; Liu, Yilun; Yan, Yuan; Zhu, Yong; Chen, Xi

    2016-10-01

    When a stiff nanowire is deposited on a compliant soft substrate, it may buckle into a helical coil form when the system is compressed. Using theoretical and finite element method (FEM) analyses, the detailed three-dimensional coil buckling mechanism for a silicon nanowire (SiNW) on a polydimethylsiloxane (PDMS) substrate is studied. A continuum mechanics approach based on the minimization of the strain energy in the SiNW and elastomeric substrate is developed. Due to the helical buckling, the bending strain in SiNW is significantly reduced and the maximum local strain is almost uniformly distributed along SiNW. Based on the theoretical model, the energy landscape for different buckling modes of SiNW on PDMS substrate is given, which shows that both the in-plane and out-of-plane buckling modes have the local minimum potential energy, whereas the helical buckling model has the global minimum potential energy. Furthermore, the helical buckling spacing and amplitudes are deduced, taking into account the influences of the elastic properties and dimensions of SiNWs. These features are verified by systematic FEM simulations and parallel experiments. As the effective compressive strain in elastomeric substrate increases, the buckling profile evolves from a vertical ellipse to a lateral ellipse, and then approaches to a circle when the effective compressive strain is larger than 30%. The study may shed useful insights on the design and optimization of high-performance stretchable electronics and 3D complex nano-structures.

  1. Recovery Based Nanowire Field-Effect Transistor Detection of Pathogenic Avian Influenza DNA

    NASA Astrophysics Data System (ADS)

    Lin, Chih-Heng; Chu, Chia-Jung; Teng, Kang-Ning; Su, Yi-Jr; Chen, Chii-Dong; Tsai, Li-Chu; Yang, Yuh-Shyong

    2012-02-01

    Fast and accurate diagnosis is critical in infectious disease surveillance and management. We proposed a DNA recovery system that can easily be adapted to DNA chip or DNA biosensor for fast identification and confirmation of target DNA. This method was based on the re-hybridization of DNA target with a recovery DNA to free the DNA probe. Functionalized silicon nanowire field-effect transistor (SiNW FET) was demonstrated to monitor such specific DNA-DNA interaction using high pathogenic strain virus hemagglutinin 1 (H1) DNA of avian influenza (AI) as target. Specific electric changes were observed in real-time for AI virus DNA sensing and device recovery when nanowire surface of SiNW FET was modified with complementary captured DNA probe. The recovery based SiNW FET biosensor can be further developed for fast identification and further confirmation of a variety of influenza virus strains and other infectious diseases.

  2. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  3. High efficiency silicon solar cell based on asymmetric nanowire.

    PubMed

    Ko, Myung-Dong; Rim, Taiuk; Kim, Kihyun; Meyyappan, M; Baek, Chang-Ki

    2015-07-08

    Improving the efficiency of solar cells through novel materials and devices is critical to realize the full potential of solar energy to meet the growing worldwide energy demands. We present here a highly efficient radial p-n junction silicon solar cell using an asymmetric nanowire structure with a shorter bottom core diameter than at the top. A maximum short circuit current density of 27.5 mA/cm(2) and an efficiency of 7.53% were realized without anti-reflection coating. Changing the silicon nanowire (SiNW) structure from conventional symmetric to asymmetric nature improves the efficiency due to increased short circuit current density. From numerical simulation and measurement of the optical characteristics, the total reflection on the sidewalls is seen to increase the light trapping path and charge carrier generation in the radial junction of the asymmetric SiNW, yielding high external quantum efficiency and short circuit current density. The proposed asymmetric structure has great potential to effectively improve the efficiency of the SiNW solar cells.

  4. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  5. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer

    PubMed Central

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-01-01

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/PEDOT:PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/PEDOT:PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/PEDOT:PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells. PMID:26610848

  6. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer.

    PubMed

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-11-27

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) ( PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/ PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/ PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/ PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells.

  7. Construction of 3D Metallic Nanowire Arrays on Arbitrarily-Shaped Substrate.

    NASA Astrophysics Data System (ADS)

    Chen, Fei; Li, Jingning; Yu, Fangfang; Peng, Ru-Wen; Wang, Mu; Mu Wang Team

    Formation of three-dimensional (3D) nanostructures is an important step of advanced manufacture for new concept devices with novel functionality. Despite of great achievements in fabricating nanostructures with state of the art lithography approaches, these nanostructures are normally limited on flat substrates. Up to now it remains challenging to build metallic nanostructures directly on a rough and bumpy surface. Here we demonstrate a unique approach to fabricate metallic nanowire arrays on an arbitrarily-shaped surface by electrodeposition, which is unknown before 2016. Counterintuitively here the growth direction of the nanowires is perpendicular to their longitudinal axis, and the specific geometry of nanowires can be achieved by introducing specially designed shaped substrate. The spatial separation and the width of the nanowires can be tuned by voltage, electrolyte concentration and temperature in electrodeposition. By taking cobalt nanowire array as an example, we demonstrate that head-to-head and tail-to-tail magnetic domain walls can be easily introduced and modulated in the nanowire arrays, which is enlightening to construct new devices such as domain wall racetrack memory. We acknowledge the foundation from MOST and NSF(China).

  8. Electrodeposition of bismuth:tellurium nanowire arrays into porous alumina templates for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Trahey, Lynn

    Bismuth telluride is a well-known thermoelectric material for refrigeration applications. Thermoelectrics possess several advantages over conventional refrigeration and power generation devices, yet are not widely-used due to low efficiencies. It has been predicted and shown experimentally that the efficiency of thermoelectric devices increases when the semiconducting materials have reduced dimensions. Therefore, the aim of this research was to show enhanced thermoelectric efficiency in one-dimensional nanowires. The nanowires were synthesized via electrochemical deposition into porous alumina templates. Electrodeposition is a versatile technique that ensures electrical continuity in the deposited material. The nanowire templates, porous alumina, were made by the double anodization of high-purity aluminum foil in oxalic acid solutions. This technique produces parallel, hexagonally packed, and nanometer-range diameter pores that can reach high aspect ratios (greater than 2000:1). The main anodization variables (electrolyte concentration, applied potential, 2nd anodization time, and temperature) were studied systematically in order to deconvolute their effects on the resulting pores and to obtain high aspect ratio pores. The porous alumina is of great importance because the pore dimensions determine the dimensions of the electrodeposited nanowires, which influence the thermoelectric performance of the nanowire arrays. Nanowire arrays were characterized in several ways. Powder X-ray diffraction was used to assess crystallinity and preferred orientation of the nanowires, revealing that the nanowires are highly crystalline and grow with strong preferred orientation such that the material is suited for optimal thermoelectric performance. Scanning electron microscopy was used to evaluate the nanowire nucleation percentage and growth-front uniformity, both of which were enhanced by pulsed-potential electrodeposition. Compositional analysis via electron microprobe indicates

  9. 3D hierarchical assembly of ultrathin MnO2 nanoflakes on silicon nanowires for high performance micro-supercapacitors in Li- doped ionic liquid

    PubMed Central

    Dubal, Deepak P.; Aradilla, David; Bidan, Gérard; Gentile, Pascal; Schubert, Thomas J.S.; Wimberg, Jan; Sadki, Saïd; Gomez-Romero, Pedro

    2015-01-01

    Building of hierarchical core-shell hetero-structures is currently the subject of intensive research in the electrochemical field owing to its potential for making improved electrodes for high-performance micro-supercapacitors. Here we report a novel architecture design of hierarchical MnO2@silicon nanowires (MnO2@SiNWs) hetero-structures directly supported onto silicon wafer coupled with Li-ion doped 1-Methyl-1-propylpyrrolidinium bis(trifluromethylsulfonyl)imide (PMPyrrBTA) ionic liquids as electrolyte for micro-supercapacitors. A unique 3D mesoporous MnO2@SiNWs in Li-ion doped IL electrolyte can be cycled reversibly across a voltage of 2.2 V and exhibits a high areal capacitance of 13 mFcm−2. The high conductivity of the SiNWs arrays combined with the large surface area of ultrathin MnO2 nanoflakes are responsible for the remarkable performance of these MnO2@SiNWs hetero-structures which exhibit high energy density and excellent cycling stability. This combination of hybrid electrode and hybrid electrolyte opens up a novel avenue to design electrode materials for high-performance micro-supercapacitors. PMID:25985388

  10. Fabrication and electrical characterization of silicon nanowires based resistors

    NASA Astrophysics Data System (ADS)

    Ni, L.; Demami, F.; Rogel, R.; Salaün, A. C.; Pichon, L.

    2009-11-01

    Silicon nanowires (SiNWs) are synthesized via the Vapor-Liquid-Solid (VLS) mechanism using gold (Au) as metal catalyst and silane (SiH4) as precursor gas. Au nanoparticles are employed as liquid droplets catalysis during the SiNWs growth performed in a hot wall LPCVD reactor at 480°C and 40 Pa. SiNWs local synthesis at micron scale is demonstrated using classical optical photolithography process. SiNWs grow with high density anchored at the dedicated catalyst islands. This resulting network is used to interconnect two heavily doped polysilicon interdigitated electrodes leading to the formation of electrical resistors in a coplanar structure. Current-voltage (I-V) characteristics highlight a symmetric shape. The temperature dependence of the electrical resistance is activated, with activation energy of 0.47 eV at temperatures greater than 300K.

  11. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  12. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  13. Effects of lithium insertion on thermal conductivity of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Wen; Institute of High Performance Computing, A*STAR, Singapore, Singapore 138632; Zhang, Gang, E-mail: zhangg@ihpc.a-star.edu.sg

    2015-04-27

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reductionmore » in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.« less

  14. Template-assisted fabrication of tin and antimony based nanowire arrays

    NASA Astrophysics Data System (ADS)

    Zaraska, Leszek; Kurowska, Elżbieta; Sulka, Grzegorz D.; Jaskuła, Marian

    2012-10-01

    Antimony nanowires with diameters ranging from 35 nm to 320 nm were successfully prepared by simple, galvanostatic electrodeposition inside the pores of anodic alumina membranes from a citrate based electrolyte. The use of the potassium antimonyl tartrate electrolyte for electrodeposition results in the formation of Sb/Sb2O3 nanowires. The structural features of the nanowire arrays were investigated by FE-SEM, and the nanowire composition was confirmed by EDS and XRD measurements. A distinct peak at about 27.5° in the XRD pattern recorded for nanowires formed in the tartrate electrolyte was attributed to the presence of co-deposited Sb2O3. Three types of dense arrays of Sn-SnSb nanowires with diameters ranging from 82 nm to 325 nm were also synthesized by DC galvanostatic electrodeposition into the anodic aluminum oxide (AAO) membranes for the first time. Only Sn and SnSb peaks appeared in the XRD pattern and both phases seem to have a relatively high degree of crystallinity. The influence of current density applied during electrodeposition on the composition of nanowires was investigated. It was found that the Sb content in fabricated nanowires decreases with increasing current density. The diameters of all synthesized nanowires roughly correspond to the dimensions of the nanochannels of AAO templates used for electrodeposition.

  15. Fabrication of silicon nanowires based on-chip micro-supercapacitor

    NASA Astrophysics Data System (ADS)

    Soam, Ankur; Arya, Nitin; Singh, Aniruddh; Dusane, Rajiv

    2017-06-01

    An on-chip micro-supercapacitor (μ-SC) based on Silicon nanowires (SiNWs) has been developed by Hot-wire chemical vapor process. First, finger patterned electrodes of Al were made on a silicon nitride coated Si wafer and SiNWs were then grown selectively on the Al electrodes. μ-SC performance has been tested in an ionic electrolyte and a capacitance of 13 μF/cm2 has been obtained by the μ-SC. The resulted μ-SC can be exploited to store the harvesting energy in micro-electro-mechanical-systems and coupled with battery for peak power leveling. Low temperature growth of SiNWs at 350 °C makes it suitable for prospective flexible electronics applications.

  16. Crystallographic alignment of high-density gallium nitride nanowire arrays.

    PubMed

    Kuykendall, Tevye; Pauzauskie, Peter J; Zhang, Yanfeng; Goldberger, Joshua; Sirbuly, Donald; Denlinger, Jonathan; Yang, Peidong

    2004-08-01

    Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.

  17. Uniaxial Magnetization Performance of Textured Fe Nanowire Arrays Electrodeposited by a Pulsed Potential Deposition Technique

    NASA Astrophysics Data System (ADS)

    Neetzel, C.; Ohgai, T.; Yanai, T.; Nakano, M.; Fukunaga, H.

    2017-11-01

    Textured ferromagnetic Fe nanowire arrays were electrodeposited using a rectangular-pulsed potential deposition technique into anodized aluminum oxide nanochannels. During the electrodeposition of Fe nanowire arrays at a cathodic potential of - 1.2 V, the growth rate of the nanowires was ca. 200 nm s-1. The aspect ratio of Fe nanowires with a diameter of 30 ± 5 nm reached ca. 2000. The long axis of Fe nanowires corresponded with the <200> direction when a large overpotential during the on-time pulse was applied, whereas it orientated to the <110> direction under the potentiostatic condition with a small overpotential. By shifting the on-time cathode potential up to - 1.8 V, the texture coefficient for the (200) plane, TC200, reached up to 1.94. Perpendicular magnetization performance was observed in Fe nanowire arrays. With increasing TC200, the squareness of Fe nanowire arrays increased up to 0.95 with the coercivity maintained at 1.4 kOe at room temperature. This research result has opened a novel possibility of Fe nanowire arrays that can be applied for a new permanent magnetic material without rare-earth metals.

  18. Determining the confined optical length of high index vertical Si nanoforest arrays for photonic applications

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Purohit, Zeel; Khanna, Sakshum; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2018-06-01

    The structural and the optical properties of different Si nanostructures have been compared. Detailed optical properties of Si nanowires arrays of different optical lengths, fabricated by facile electroless etching technique, have been reported. The theoretical calculation of exponential sine profile at constant λ = 600 nm shows a better explanation in terms of gradient index with optical length for vertical nanowires. The observations signify the possibility of strong light trapping due to an exponential gradient towards the high index along the nanowires and the existence of dense subwavelength features. The optical admittance (Ƶ) shows a strong impact on optical distance (Z) for Z < H, owing to the electromagnetic wave interaction with the nanowires that perceive a different Ƶ at the oblique angle of incidence (AOI). In addition, the experimental reflectance data and the theoretical model for transverse electric and transverse magnetic modes predict that an optical length of 5 μm can exhibit a very low reflectance value. This indicates that the Si nanowires are polarization insensitive over a wide range of AOI (0°-80°). Moreover, Raman spectra showed a very strong light confinement effect in the first order transverse optical band with increasing etching depths. The morphological dependent resonance theory predicts a strong localized light field confinement in the lower wavelength regime for SiNWs. The effect on the strong resonant absorption modes was further correlated with the simulation results obtained by using COMSOL. The obtained results are likely to enhance the maximum absorption of SiNWs for various photonic applications.

  19. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  20. Strong light absorption capability directed by structured profile of vertical Si nanowires

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2017-11-01

    Si nanowire arrays (SiNWAs) with random fractal geometry was fabricated using fast, mask-less, non-lithographic and facile approach by incorporating metal assisted electroless etching of n-type Si (111) substrates. The FESEM images demonstrate the formation of nano-porous surfaces that provide effective path for the incoming light to get trapped into the cavity of nanowires. The length of NWs increases from ∼1 to 10 μm with increase in the etching time having a diameter in the range of ∼25-82 nm. A transformation from zero to first order kinetics after a prolonged etching has been determined. The synthesized SiNWAs show high light trapping properties, including a maximum photon absorption across the entire visible and near IR range below the band gap of Si. The SiNWAs etched for 15 min exhibit extremely low specular and total reflectance of ∼0.2% and 4.5%, respectively over a broadband of wavelength. The reduction in the reflection loss is accompanied with the gradient of refractive index from air to Si substrate as well as due to the sub-wavelength structures, which manifests the light scattering effect. The COMSOL multiphysics simulation has been performed to study the high broadband light absorption capability in terms of the strong localized light field confinement by varying the length of the nanowire. Moreover, the SiNWs induces the dewetting ability at the solid/liquid interface and enhances the superhydrophobicity. Furthermore, a maximum length scale of 100-200 nm manifests a strong heterogeneity along the planar section of the surface of SiNWs. The study thus provides an insight on the light propagation into the random fractal geometries of Si nanowires. These outstanding properties should contribute to the structural optimization of various optoelectronic and photonic devices.

  1. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  2. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  3. Multiscale Study of Plasmonic Scattering and Light Trapping Effect in Silicon Nanowire Array Solar Cells.

    PubMed

    Meng, Lingyi; Zhang, Yu; Yam, ChiYung

    2017-02-02

    Nanometallic structures that support surface plasmons provide new ways to confine light at deep-subwavelength scales. The effect of light scattering in nanowire array solar cells is studied by a multiscale approach combining classical electromagnetic (EM) and quantum mechanical simulations. A photovoltaic device is constructed by integrating a silicon nanowire array with a plasmonic silver nanosphere. The light scatterings by plasmonic element and nanowire array are obtained via classical EM simulations, while current-voltage characteristics and optical properties of the nanowire cells are evaluated quantum mechanically. We found that the power conversion efficiency (PCE) of photovoltaic device is substantially improved due to the local field enhancement of the plasmonic effect and light trapping by the nanowire array. In addition, we showed that there exists an optimal nanowire number density in terms of optical confinement and solar cell PCE.

  4. Large-scale fabrication of single crystalline tin nanowire arrays

    NASA Astrophysics Data System (ADS)

    Luo, Bin; Yang, Dachi; Liang, Minghui; Zhi, Linjie

    2010-09-01

    Large-scale single crystalline tin nanowire arrays with preferred lattice orientation along the [100] direction were fabricated in porous anodic aluminium oxide (AAO) membranes by the electrodeposition method using copper nanorod as a second electrode.Large-scale single crystalline tin nanowire arrays with preferred lattice orientation along the [100] direction were fabricated in porous anodic aluminium oxide (AAO) membranes by the electrodeposition method using copper nanorod as a second electrode. Electronic supplementary information (ESI) available: Experimental details and the information for single crystalline copper nanorods. See DOI: 10.1039/c0nr00206b

  5. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    PubMed Central

    Agati, Marta; Amiard, Guillaume; Borgne, Vincent Le; Castrucci, Paola; Dolbec, Richard; De Crescenzi, Maurizio; El Khakani, My Alì

    2017-01-01

    Scanning transmission electron microscopy (STEM) was successfully applied to the analysis of silicon nanowires (SiNWs) that were self-assembled during an inductively coupled plasma (ICP) process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm) were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX) spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS) mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs. PMID:28326234

  6. Synthesis and high catalytic properties of mesoporous Pt nanowire array by novel conjunct template method

    NASA Astrophysics Data System (ADS)

    Zhong, Yi; Xu, Cai-Ling; Kong, Ling-Bin; Li, Hu-Lin

    2008-12-01

    A novel conjunct template method for fabricating mesoporous Pt nanowire array through direct current (DC) electrodeposition of Pt into the pores of anodic aluminum oxide (AAO) template on Ti/Si substrate from hexagonal structured lyotropic liquid crystalline phase is demonstrated in this paper. The morphology and structure of as-prepared Pt nanowire array are characterized by field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and X-ray diffraction (XRD). The electrocatalytic properties of Pt nanowire array for methanol are also investigated in detail. The results indicate that Pt nanowire array has the unique mesoporous structure of approximate 40-50 nm in diameter, which resulted in the high surface area and greatly improved electrocatalytic activity for methanol. The mesoporous Pt nanowire array synthesized by the new conjunct template method has a very promising application in portable fuel cell power sources.

  7. Plasmon resonant cavities in vertical nanowire arrays

    DOEpatents

    Bora, Mihail; Bond, Tiziana C.; Fasenfest, Benjamin J.; Behymer, Elaine M.

    2014-07-15

    Tunable plasmon resonant cavity arrays in paired parallel nanowire waveguides are presented. Resonances can be observed when the waveguide length is an odd multiple of quarter plasmon wavelengths, consistent with boundary conditions of node and antinode at the ends. Two nanowire waveguides can satisfy the dispersion relation of a planar metal-dielectric-metal waveguide of equivalent width equal to the square field average weighted gap. Confinement factors of over 10.sup.3 are possible due to plasmon focusing in the inter-wire space.

  8. Directed growth of horizontally aligned gallium nitride nanowires for nanoelectromechanical resonator arrays.

    PubMed

    Henry, Tania; Kim, Kyungkon; Ren, Zaiyuan; Yerino, Christopher; Han, Jung; Tang, Hong X

    2007-11-01

    We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.

  9. Simple Analytic Model for Nanowire Array Polarizers

    NASA Astrophysics Data System (ADS)

    Pelletier, Vincent; Asakawa, Koji; Wu, Mingshaw; Register, Richard; Chaikin, Paul

    2006-03-01

    Cylinder-forming diblock copolymers can be used to pattern nanowire arrays on a transparent substrate to be used as a polarizer, as described by Koji Asakawa in a complementary talk at this meeting. With a 33nm period, these wire arrays can polarize UV radiation, which is of great interest in lithography, astronomy and other areas. One can gain an analytical understanding of such an array made of non-perfectly conducting material of finite thickness using a model with an appropriately averaged complex dielectric function in an infinite wavelength approximation. This analysis predicts that the grid can go from an E-polarizer to an H-polarizer as the wavelength decreases below a cross-over wavelength, and experimental data confirm this cross-over. The overall response of the polarizing grid depends primarily on the plasma frequency of the metal used and the volume fraction of the nanowires, as well as the grid thickness. A numerical approach is also used to confirm the analytical model and assess departure from infinite wavelength effects. For our array dimensions, the polarization is only slightly different from this approximation for wavelengths down to 150nm.

  10. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  11. Superconductivity of a Sn film controlled by an array of Co nanowires

    NASA Astrophysics Data System (ADS)

    Wei, Zhiyuan; Ye, Zuxin; Rathnayaka, Daya; Lyuksyutov, Igor; Wu, Wenhao; Naugle, Donald

    2012-02-01

    Superconducting properties of a hybrid structure composed of ferromagnetic Co nanowire arrays and a superconducting Sn film have been investigated. Ordered Co nanowires arrays with 60 nm, 150 nm and 200 nm diameter were electroplated into the pores of self organized anodic aluminum oxide (AAO) membranes. Hysteretic dependence of the Sn film superconducting properties on applied magnetic field and critical current enhancement at moderate fields has been observed. This behavior strongly depends on the ratio of the Sn film thickness to the Co nanowire diameter.

  12. Superconductivity of a Sn film controlled by an array of Co nanowires

    NASA Astrophysics Data System (ADS)

    Wei, Z.; Ye, Z.; Rathnayaka, K. D. D.; Lyuksyutov, I. F.; Wu, W.; Naugle, D. G.

    2012-09-01

    Superconducting properties of a hybrid structure composed of ferromagnetic Co nanowire arrays and a superconducting Sn film have been investigated. Ordered Co nanowires arrays with 60 nm, 150 nm and 200 nm diameter were electroplated into the pores of self organized Anodic Aluminum Oxide (AAO) membranes. Hysteretic dependence of the Sn film superconducting properties on applied magnetic field and critical current enhancement at moderate fields has been observed. This behavior strongly depends on the ratio of the Sn film thickness to the Co nanowire diameter.

  13. Development of nanowire arrays for neural probe

    NASA Astrophysics Data System (ADS)

    Abraham, Jose K.; Xie, Jining; Varadan, Vijay K.

    2005-05-01

    It is already established that functional electrical stimulation is an effective way to restore many functions of the brain in disabled individuals. The electrical stimulation can be done by using an array of electrodes. Neural probes stimulate or sense the biopotentials mainly through the exposed metal sites. These sites should be smaller relative to the spatial potential distribution so that any potential averaging in the sensing area can be avoided. At the same time, the decrease in size of these sensing sites is limited due to the increase in impedance levels and the thermal noise while decreasing its size. It is known that current density in a planar electrode is not uniform and a higher current density can be observer around the perimeter of the electrodes. Electrical measurements conducted on many nanotubes and nanowires have already proved that it could be possible to use for current density applications and the drawbacks of the present design in neural probes can be overcome by incorporating many nanotechnology solutions. In this paper we present the design and development of nanowire arrays for the neural probe for the multisite contact which has the ability to collect and analyze isolated single unit activity. An array of vertically grown nanowires is used as contact site and many of such arrays can be used for stimulating as well as recording sites. The nanolevel interaction and wireless communication solution can extend to applications involving the treatment of many neurological disorders including Parkinson"s disease, Alzheimer"s disease, spinal injuries and the treatment of blindness and paralyzed patients with minimal or no invasive surgical procedures.

  14. Ultrahigh-density sub-10 nm nanowire array formation via surface-controlled phase separation.

    PubMed

    Tian, Yuan; Mukherjee, Pinaki; Jayaraman, Tanjore V; Xu, Zhanping; Yu, Yongsheng; Tan, Li; Sellmyer, David J; Shield, Jeffrey E

    2014-08-13

    We present simple, self-assembled, and robust fabrication of ultrahigh density cobalt nanowire arrays. The binary Co-Al and Co-Si systems phase-separate during physical vapor deposition, resulting in Co nanowire arrays with average diameter as small as 4.9 nm and nanowire density on the order of 10(16)/m(2). The nanowire diameters were controlled by moderating the surface diffusivity, which affected the lateral diffusion lengths. High resolution transmission electron microscopy reveals that the Co nanowires formed in the face-centered cubic structure. Elemental mapping showed that in both systems the nanowires consisted of Co with undetectable Al or Si and that the matrix consisted of Al with no distinguishable Co in the Co-Al system and a mixture of Si and Co in the Co-Si system. Magnetic measurements clearly indicate anisotropic behavior consistent with shape anisotropy. The dynamics of nanowire growth, simulated using an Ising model, is consistent with the experimental phase and geometry of the nanowires.

  15. Spectroscopic ellipsometry of columnar porous Si thin films and Si nanowires

    NASA Astrophysics Data System (ADS)

    Fodor, Bálint; Defforge, Thomas; Agócs, Emil; Fried, Miklós; Gautier, Gaël; Petrik, Péter

    2017-11-01

    Columnar mesoporous Si thin films and dense nanowire (SiNW) carpets were investigated by spectroscopic ellipsometry in the visible-near-infrared wavelength range. Porous Si layers were formed by electrochemical etching while structural anisotropy was controlled by the applied current. Layers of highly oriented SiNWs, with length up to 4.1 μm were synthesized by metal-assisted chemical etching. Ellipsometric spectra were fitted with different multi-layered, effective medium approximation-based (EMA) models. Isotropic, in-depth graded, anisotropic and hybrid EMA models were investigated with the help of the root mean square errors obtained from the fits. Ellipsometric-fitted layer thicknesses were also cross-checked by scanning electron microscopy showing an excellent agreement. Furthermore, in the case of mesoporous silicon, characterization also revealed that, at low current densities (<100 mA/cm2), in-depth inhomogeneity shows a more important feature in the ellipsometric spectra than anisotropy. On the other hand, at high current densities (>100 mA/cm2) this behavior turns around, and anisotropy becomes the dominant feature describing the spectra. Characterization of SiNW layers showed a very high geometrical anisotropy. However, the highest fitted geometrical anisotropy was obtained for the layer composed of ∼1 μm long SiNWs indicating that for thicker layers, collapse of the nanowires occurs.

  16. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  17. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    PubMed

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  18. A simple and transparent well-aligned ZnO nanowire array ultraviolet photodetector with high responsivity

    NASA Astrophysics Data System (ADS)

    Yin, Lei; Ding, Hesheng; Yuan, Zhaolin; Huang, Wendeng; Shuai, Chunjiang; Xiong, Zhaoxin; Deng, Jianping; Lv, Tengbo

    2018-06-01

    Well-aligned zinc oxide (ZnO) nanowire arrays were grown on an interdigital patterned fluorine tin oxide (FTO)-coated glass substrate by a facile chemical bath deposition at low temperature. Morphology, crystalline structure, and optical properties of the ZnO nanowire arrays were analyzed in detail. The results revealed that the ZnO nanowires had wurtzite structure, typically ∼40-60 nm in diameter, and ∼700-800 nm in length, a great number of highly uniform and dense nanowires grew vertically on the substrate to form the well-aligned ZnO nanowire arrays, which had very high optical transmission (>86%) in the visible light region. In addition, the performance of ZnO nanowire arrays ultraviolet (UV) photodetector was systematically examined. The photosensitivity (S), responsivity (R), response and decay time of the photodetector were 703 at +0.2 V, 113 A/W at +5 V, 23 s and 73 s respectively. Also, the photoresponse mechanism of the UV photodetector was illuminated in terms of the oxygen adsorption-photodesorption process.

  19. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  20. Self-transducing silicon nanowire electromechanical systems at room temperature.

    PubMed

    He, Rongrui; Feng, X L; Roukes, M L; Yang, Peidong

    2008-06-01

    Electronic readout of the motions of genuinely nanoscale mechanical devices at room temperature imposes an important challenge for the integration and application of nanoelectromechanical systems (NEMS). Here, we report the first experiments on piezoresistively transduced very high frequency Si nanowire (SiNW) resonators with on-chip electronic actuation at room temperature. We have demonstrated that, for very thin (~90 nm down to ~30 nm) SiNWs, their time-varying strain can be exploited for self-transducing the devices' resonant motions at frequencies as high as approximately 100 MHz. The strain of wire elongation, which is only second-order in doubly clamped structures, enables efficient displacement transducer because of the enhanced piezoresistance effect in these SiNWs. This intrinsically integrated transducer is uniquely suited for a class of very thin wires and beams where metallization and multilayer complex patterning on devices become impractical. The 30 nm thin SiNW NEMS offer exceptional mass sensitivities in the subzeptogram range. This demonstration makes it promising to advance toward NEMS sensors based on ultrathin and even molecular-scale SiNWs, and their monolithic integration with microelectronics on the same chip.

  1. Nanowire arrays restore vision in blind mice.

    PubMed

    Tang, Jing; Qin, Nan; Chong, Yan; Diao, Yupu; Yiliguma; Wang, Zhexuan; Xue, Tian; Jiang, Min; Zhang, Jiayi; Zheng, Gengfeng

    2018-03-06

    The restoration of light response with complex spatiotemporal features in retinal degenerative diseases towards retinal prosthesis has proven to be a considerable challenge over the past decades. Herein, inspired by the structure and function of photoreceptors in retinas, we develop artificial photoreceptors based on gold nanoparticle-decorated titania nanowire arrays, for restoration of visual responses in the blind mice with degenerated photoreceptors. Green, blue and near UV light responses in the retinal ganglion cells (RGCs) are restored with a spatial resolution better than 100 µm. ON responses in RGCs are blocked by glutamatergic antagonists, suggesting functional preservation of the remaining retinal circuits. Moreover, neurons in the primary visual cortex respond to light after subretinal implant of nanowire arrays. Improvement in pupillary light reflex suggests the behavioral recovery of light sensitivity. Our study will shed light on the development of a new generation of optoelectronic toolkits for subretinal prosthetic devices.

  2. Silicon nanowire based biosensing platform for electrochemical sensing of Mebendazole drug activity on breast cancer cells.

    PubMed

    Shashaani, Hani; Faramarzpour, Mahsa; Hassanpour, Morteza; Namdar, Nasser; Alikhani, Alireza; Abdolahad, Mohammad

    2016-11-15

    Electrochemical approaches have played crucial roles in bio sensing because of their Potential in achieving sensitive, specific and low-cost detection of biomolecules and other bio evidences. Engineering the electrochemical sensing interface with nanomaterials tends to new generations of label-free biosensors with improved performances in terms of sensitive area and response signals. Here we applied Silicon Nanowire (SiNW) array electrodes (in an integrated architecture of working, counter and reference electrodes) grown by low pressure chemical vapor deposition (LPCVD) system with VLS procedure to electrochemically diagnose the presence of breast cancer cells as well as their response to anticancer drugs. Mebendazole (MBZ), has been used as antitubulin drug. It perturbs the anodic/cathodic response of the cell covered biosensor by releasing Cytochrome C in cytoplasm. Reduction of cytochrome C would change the ionic state of the cells monitored by SiNW biosensor. By applying well direct bioelectrical contacts with cancer cells, SiNWs can detect minor signal transduction and bio recognition events, resulting in precise biosensing. Our device detected the trace of MBZ drugs (with the concentration of 2nM) on electrochemical activity MCF-7 cells. Also, experimented biological analysis such as confocal and Flowcytometry assays confirmed the electrochemical results. Copyright © 2016 Elsevier B.V. All rights reserved.

  3. Quantifying surface roughness effects on phonon transport in silicon nanowires.

    PubMed

    Lim, Jongwoo; Hippalgaonkar, Kedar; Andrews, Sean C; Majumdar, Arun; Yang, Peidong

    2012-05-09

    Although it has been qualitatively demonstrated that surface roughness can reduce the thermal conductivity of crystalline Si nanowires (SiNWs), the underlying reasons remain unknown and warrant quantitative studies and analysis. In this work, vapor-liquid-solid (VLS) grown SiNWs were controllably roughened and then thoroughly characterized with transmission electron microscopy to obtain detailed surface profiles. Once the roughness information (root-mean-square, σ, correlation length, L, and power spectra) was extracted from the surface profile of a specific SiNW, the thermal conductivity of the same SiNW was measured. The thermal conductivity correlated well with the power spectra of surface roughness, which varies as a power law in the 1-100 nm length scale range. These results suggest a new realm of phonon scattering from rough interfaces, which restricts phonon transport below the Casimir limit. Insights gained from this study can help develop a more concrete theoretical understanding of phonon-surface roughness interactions as well as aid the design of next generation thermoelectric devices.

  4. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction.

    PubMed

    Hsu, Hsun-Feng; Huang, Wan-Ru; Chen, Ting-Hsuan; Wu, Hwang-Yuan; Chen, Chun-An

    2013-05-10

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.

  5. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction

    PubMed Central

    2013-01-01

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation. PMID:23663726

  6. Effects of Nanowire Length and Surface Roughness on the Electrochemical Sensor Properties of Nafion-Free, Vertically Aligned Pt Nanowire Array Electrodes

    PubMed Central

    Li, Zhiyang; Leung, Calvin; Gao, Fan; Gu, Zhiyong

    2015-01-01

    In this paper, vertically aligned Pt nanowire arrays (PtNWA) with different lengths and surface roughnesses were fabricated and their electrochemical performance toward hydrogen peroxide (H2O2) detection was studied. The nanowire arrays were synthesized by electroplating Pt in nanopores of anodic aluminum oxide (AAO) template. Different parameters, such as current density and deposition time, were precisely controlled to synthesize nanowires with different surface roughnesses and various lengths from 3 μm to 12 μm. The PtNWA electrodes showed better performance than the conventional electrodes modified by Pt nanowires randomly dispersed on the electrode surface. The results indicate that both the length and surface roughness can affect the sensing performance of vertically aligned Pt nanowire array electrodes. Generally, longer nanowires with rougher surfaces showed better electrochemical sensing performance. The 12 μm rough surface PtNWA presented the largest sensitivity (654 μA·mM−1·cm−2) among all the nanowires studied, and showed a limit of detection of 2.4 μM. The 12 μm rough surface PtNWA electrode also showed good anti-interference property from chemicals that are typically present in the biological samples such as ascorbic, uric acid, citric acid, and glucose. The sensing performance in real samples (river water) was tested and good recovery was observed. These Nafion-free, vertically aligned Pt nanowires with surface roughness control show great promise as versatile electrochemical sensors and biosensors. PMID:26404303

  7. Hierarchically structured Co₃O₄@Pt@MnO₂ nanowire arrays for high-performance supercapacitors.

    PubMed

    Xia, Hui; Zhu, Dongdong; Luo, Zhentao; Yu, Yue; Shi, Xiaoqin; Yuan, Guoliang; Xie, Jianping

    2013-10-17

    Here we proposed a novel architectural design of a ternary MnO2-based electrode - a hierarchical Co3O4@Pt@MnO2 core-shell-shell structure, where the complemental features of the three key components (a well-defined Co3O4 nanowire array on the conductive Ti substrate, an ultrathin layer of small Pt nanoparticles, and a thin layer of MnO2 nanoflakes) are strategically combined into a single entity to synergize and construct a high-performance electrode for supercapacitors. Owing to the high conductivity of the well-defined Co3O4 nanowire arrays, in which the conductivity was further enhanced by a thin metal (Pt) coating layer, in combination with the large surface area provided by the small MnO2 nanoflakes, the as-fabricated Co3O4@Pt@MnO2 nanowire arrays have exhibited high specific capacitances, good rate capability, and excellent cycling stability. The architectural design demonstrated in this study provides a new approach to fabricate high-performance MnO2-based nanowire arrays for constructing next-generation supercapacitors.

  8. Field emission from in situ-grown vertically aligned SnO2 nanowire arrays

    PubMed Central

    2012-01-01

    Vertically aligned SnO2 nanowire arrays have been in situ fabricated on a silicon substrate via thermal evaporation method in the presence of a Pt catalyst. The field emission properties of the SnO2 nanowire arrays have been investigated. Low turn-on fields of 1.6 to 2.8 V/μm were obtained at anode-cathode separations of 100 to 200 μm. The current density fluctuation was lower than 5% during a 120-min stability test measured at a fixed applied electric field of 5 V/μm. The favorable field-emission performance indicates that the fabricated SnO2 nanowire arrays are promising candidates as field emitters. PMID:22330800

  9. Ultrahigh-Density Nanowire Arrays Grown in Self-Assembled Diblock Copolymer Templates

    NASA Astrophysics Data System (ADS)

    Thurn-Albrecht, T.; Schotter, J.; Kästle, G. A.; Emley, N.; Shibauchi, T.; Krusin-Elbaum, L.; Guarini, K.; Black, C. T.; Tuominen, M. T.; Russell, T. P.

    2000-12-01

    We show a simple, robust, chemical route to the fabrication of ultrahigh-density arrays of nanopores with high aspect ratios using the equilibrium self-assembled morphology of asymmetric diblock copolymers. The dimensions and lateral density of the array are determined by segmental interactions and the copolymer molecular weight. Through direct current electrodeposition, we fabricated vertical arrays of nanowires with densities in excess of 1.9 × 1011 wires per square centimeter. We found markedly enhanced coercivities with ferromagnetic cobalt nanowires that point toward a route to ultrahigh-density storage media. The copolymer approach described is practical, parallel, compatible with current lithographic processes, and amenable to multilayered device fabrication.

  10. Ultrahigh-density nanowire arrays grown in self-assembled diblock copolymer templates.

    PubMed

    Thurn-Albrecht, T; Schotter, J; Kästle, G A; Emley, N; Shibauchi, T; Krusin-Elbaum, L; Guarini, K; Black, C T; Tuominen, M T; Russell, T P

    2000-12-15

    We show a simple, robust, chemical route to the fabrication of ultrahigh-density arrays of nanopores with high aspect ratios using the equilibrium self-assembled morphology of asymmetric diblock copolymers. The dimensions and lateral density of the array are determined by segmental interactions and the copolymer molecular weight. Through direct current electrodeposition, we fabricated vertical arrays of nanowires with densities in excess of 1.9 x 10(11) wires per square centimeter. We found markedly enhanced coercivities with ferromagnetic cobalt nanowires that point toward a route to ultrahigh-density storage media. The copolymer approach described is practical, parallel, compatible with current lithographic processes, and amenable to multilayered device fabrication.

  11. Fabrication and characterization of high-K dielectric integrated silicon nanowire sensor for DNA sensing application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Jayakumar, Ganesh; Legallais, Maxime; Hellström, Per-Erik; Mouis, Mireille; Stambouli, Valérie; Ternon, Céline; Östling, Mikael

    2016-09-01

    1D silicon nanowires (SiNW) are attractive for charge based DNA sensing applications due to their small size and large surface to volume ratio. An ideal portable biosensor is expected to have repeatable and reliable sensitivity, selectivity, low production cost and small feature size. Instead of using tools such as e-beam that are capital and time intensive, we propose a low cost CMOS self-aligned-double-patterning I-line lithography process to fabricate 60 nm wide SiNW. DNA probes are grafted on a thin dielectric layer that is deposited on top of the SiNW surface. Here we used HfO2 instead of the usual SiO2. Indeed, compared to SiO2, HfO2 has been reported to have higher amount of OH groups on its surface leading to enhanced signal quality. We also report preliminary biosensor characterizations. After HfO2 functionalization and single-stranded DNA probe grafting onto the SiNWs, the sensors were first put in contact with fluorophore labelled complementary DNA targets in order to test the efficiency of DNA hybridization optically. Then, a sequence of hybridization, de-hybridization and re-hybridization steps was followed by Id-Vg measurements in order to measure the electrical response of the sensors to target DNA as well as recycling capability. After each step, SiNW devices exhibited a threshold voltage shift larger than device-to-device dispersion, showing that both complementary DNA hybridization and de-hybridization can be electrically detected. These results are very encouraging as they open new frontiers for heterogeneous integration of liquid interacting array of nano sensors with CMOS circuits to fabricate a complete lab on chip.

  12. Magnetic hysteresis in small-grained CoxPd1-x nanowire arrays

    NASA Astrophysics Data System (ADS)

    Viqueira, M. S.; Pozo-López, G.; Urreta, S. E.; Condó, A. M.; Cornejo, D. R.; Fabietti, L. M.

    2015-11-01

    Co-Pd nanowires with small grain size are fabricated by AC electrodeposition into hexagonally ordered alumina pores, 20-35 nm in diameter and about 1 μm long. The effects of the alloy composition, the nanowire diameter and the grain size on the hysteresis properties are considered. X-ray diffraction indicates that the nanowires are single phase, a fcc Co-Pd solid solution; electron microscopy results show that they are polycrystalline, with randomly oriented grains (7-12 nm), smaller than the wire diameter. Nanowire arrays are ferromagnetic, with an easy magnetization axis parallel to the nanowire long axis. Both, the coercive field and the loop squareness monotonously increase with the Co content and with the grain size, but no clear correlation with the wire diameter is found. The Co and Co-rich nanowire arrays exhibit coercive fields and reduced remanence values quite insensitive to temperature in the range 4 K-300 K; on the contrary, in Pd-rich nanowires both magnitudes are smaller and they largely increase during cooling below 100 K. These behaviors are systematized by considering the strong dependences displayed by the magneto-crystalline anisotropy and the saturation magnetostriction on composition and temperature. At low temperatures the effective anisotropy value and the domain-wall width to grain size ratio drastically change, promoting less cooperative and harder nucleation modes.

  13. Superconducting Properties of Lead-Bismuth Films Controlled by Ferromagnetic Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Ye, Zuxin; Lyuksyutov, Igor F.; Wu, Wenhao; Naugle, Donald G.

    2011-03-01

    Superconducting properties of lead-bismuth (82% Pb and 18% Bi) alloy films deposited on ferromagnetic nanowire arrays have been investigated. Ferromagnetic Co or Ni nanowires are first electroplated into the columnar pores of anodic aluminum oxide (AAO) membranes. Superconducting Pb 82 Bi 18 films are then quench-condensed onto the polished surface of the AAO membranes filled with magnetic nanowires. A strong dependence of the Pb 82 Bi 18 superconducting properties on the ratio of the superconducting film thickness to the magnetic nanowire diameter and the material variety was observed.

  14. Co/Au multisegmented nanowires: a 3D array of magnetostatically coupled nanopillars

    NASA Astrophysics Data System (ADS)

    Bran, C.; Ivanov, Yu P.; Kosel, J.; Chubykalo-Fesenko, O.; Vazquez, M.

    2017-03-01

    Arrays of multisegmented Co/Au nanowires with designed segment lengths and diameters have been prepared by electrodeposition into aluminum oxide templates. The high quality of the Co/Au interface and the crystallographic structure of Co segments have determined by high-resolution transmission electron microscopy. Magnetic hysteresis loop measurements show larger coercivity and squareness of multisegmented nanowires as compared to single segment Co nanowires. The complementary micromagnetic simulations are in good agreement with the experimental results, confirming that the magnetic behavior is defined mainly by magnetostatic coupling between different segments. The proposed structure constitutes an innovative route towards a 3D array of synchronized magnetic nano-oscillators with large potential in nanoelectronics.

  15. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    PubMed

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  16. Platinum nanowire microelectrode arrays for neurostimulation applications: Fabrication, characterization, and in-vitro retinal cell stimulation

    NASA Astrophysics Data System (ADS)

    Whalen, John J., III

    Implantable electrical neurostimulating devices are being developed for a number of applications, including artificial vision through retinal stimulation. The epiretinal prosthesis will use a two-dimensional array microelectrodes to address individual cells of the retina. MEMS fabrication processes can produce arrays of microelectrodes with these dimensions, but there are two critical issues that they cannot satisfy. One, the stimulating electrodes are the only part of the implanted electrical device that penetrate through the water impermeable package, and must do so without sacrificing hermeticity. Two, As electrode size decreases, the current density (A cm-2 ) increases, due to increased electrochemical impedance. This reduces the amount of charge that can be safely injected into the tissue. To date, MEMS processing method, cannot produce electrode arrays with good, prolonged hermetic properties. Similarly, MEMS approaches do not account for the increased impedance caused by decreased surface area. For these reasons there is a strong motivation for the development of a water-impermeable, substrate-penetrating electrode array with low electrochemical impedance. This thesis presents a stimulating electrode array fabricated from platinum nanowires using a modified electrochemical template synthesis approach. Nanowires are electrochemically deposited from ammonium hexachloroplatinate solution into lithographically patterned nanoporous anodic alumina templates to produce microarrays of platinum nanowires. The platinum nanowires penetrating through the ceramic aluminum oxide template serve as parallel electrical conduits through the water impermeable, electrically insulating substrate. Electrode impedance can be adjusted by either controlling the nanowire hydrous platinum oxide content or by partially etching the alumina template to expose additional surface area. A stepwise approach to this project was taken. First, the electrochemistry of ammonium

  17. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  18. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    PubMed Central

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  19. Fabrication of free-standing copper foils covered with highly-ordered copper nanowire arrays

    NASA Astrophysics Data System (ADS)

    Zaraska, Leszek; Sulka, Grzegorz D.; Jaskuła, Marian

    2012-07-01

    The through-hole nanoporous anodic aluminum oxide (AAO) membranes with relatively large surface area (ca. 2 cm2) were employed for fabrication of free-standing and mechanically stable copper foils covered with close-packed and highly-ordered copper nanowire arrays. The home-made AAO membranes with different pore diameters and interpore distances were fabricated via a two-step self-organized anodization of aluminum performed in sulfuric acid, oxalic acid and phosphoric acid followed by the pore opening/widening procedure. The direct current (DC) electrodeposition of copper was performed efficiently on both sides of AAO templates. The bottom side of the AAO templates was not insulated and consequently Cu nanowire arrays on thick Cu layers were obtained. The proposed template-assisted fabrication of free-standing copper nanowire array electrodes is a promising method for synthesis of nanostructured current collectors. The composition of Cu nanowires was confirmed by energy dispersive X-Ray spectroscopy (EDS) and X-ray diffraction (XRD) analyses. The structural features of nanowires were evaluated from field emission scanning electron microscopy (FE-SEM) images and compared with the characteristic parameters of anodic alumina membranes.

  20. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  1. Large-area fabrication of patterned ZnO-nanowire arrays using light stamping lithography.

    PubMed

    Hwang, Jae K; Cho, Sangho; Seo, Eun K; Myoung, Jae M; Sung, Myung M

    2009-12-01

    We demonstrate selective adsorption and alignment of ZnO nanowires on patterned poly(dimethylsiloxane) (PDMS) thin layers with (aminopropyl)siloxane self-assembled monolayers (SAMs). Light stamping lithography (LSL) was used to prepare patterned PDMS thin layers as neutral passivation regions on Si substrates. (3-Aminopropyl)triethoxysilane-based SAMs were selectively formed only on regions exposing the silanol groups of the Si substrates. The patterned positively charged amino groups define and direct the selective adsorption of ZnO nanowires with negative surface charges in the protic solvent. This procedure can be adopted in automated printing machines that generate patterned ZnO-nanowire arrays on large-area substrates. To demonstrate its usefulness, the LSL method was applied to prepare ZnO-nanowire transistor arrays on 4-in. Si wafers.

  2. Disposable sensor based on enzyme-free Ni nanowire array electrode to detect glutamate.

    PubMed

    Jamal, Mamun; Hasan, Maksudul; Mathewson, Alan; Razeeb, Kafil M

    2013-02-15

    Enzyme free electrochemical sensor platform based on a vertically aligned nickel nanowire array (NiNAE) and Pt coated nickel nanowire array (Pt/NiNAE) have been developed to detect glutamate. Morphological characterisation of Ni electrodes was carried out using scanning and transmission electron microscopy combined with energy dispersive X-ray (SEM-EDX), X-ray diffraction (XRD) and transmission electron microscopy (TEM). Cyclic voltammetry (CV) and amperometry were used to evaluate the catalytic activity of the NiNAE and the Pt/NiNAE for glutamate. It has been found that both NiNAE and Pt/NiNAE electrodes showed remarkably enhanced electrocatalytic activity towards glutamate compared to planar Ni electrodes, and showed higher catalytic activity when compared to other metallic nanostructure electrodes such as gold nanowire array electrodes (AuNAE) and Pt coated gold nanowire array electrode (Pt/AuNAE). The sensitivity of NiNAE and Pt/NiNAE has been found to be 65 and 96 μA mM(-1) cm(-2), respectively, which is approximately 6 to 9 times higher than the state of the art glutamate sensor. Under optimal detection conditions, the as prepared sensors exhibited linear behaviour for glutamate detection in the concentration up to 8mM for both NiNAE and Pt/NiNAE with a limit of detection of 68 and 83 μM, respectively. Experimental results show that the vertically aligned ordered nickel nanowire array electrode (NiNAE) has significant promise for fabricating cost effective, enzyme-less, sensitive, stable and selective sensor platform. Copyright © 2012 Elsevier B.V. All rights reserved.

  3. Tunable absorption resonances in the ultraviolet for InP nanowire arrays.

    PubMed

    Aghaeipour, Mahtab; Anttu, Nicklas; Nylund, Gustav; Samuelson, Lars; Lehmann, Sebastian; Pistol, Mats-Erik

    2014-11-17

    The ability to tune the photon absorptance spectrum is an attracting way of tailoring the response of devices like photodetectors and solar cells. Here, we measure the reflectance spectra of InP substrates patterned with arrays of vertically standing InP nanowires. Using the reflectance spectra, we calculate and analyze the corresponding absorptance spectra of the nanowires. We show that we can tune absorption resonances for the nanowire arrays into the ultraviolet by decreasing the diameter of the nanowires. When we compare our measurements with electromagnetic modeling, we generally find good agreement. Interestingly, the remaining differences between modeled and measured spectra are attributed to a crystal-phase dependence in the refractive index of InP. Specifically, we find indication of significant differences in the refractive index between the modeled zinc-blende InP nanowires and the measured wurtzite InP nanowires in the ultraviolet. We believe that such crystal-phase dependent differences in the refractive index affect the possibility to excite optical resonances in the large wavelength range of 345 < λ < 390 nm. To support this claim, we investigated how resonances in nanostructures can be shifted in wavelength by geometrical tuning. We find that dispersion in the refractive index can dominate over geometrical tuning and stop the possibility for such shifting. Our results open the door for using crystal-phase engineering to optimize the absorption in InP nanowire-based solar cells and photodetectors.

  4. Improving emission uniformity and linearizing band dispersion in nanowire arrays using quasi-aperiodicity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, P. Duke; Koleske, Daniel D.; Povinelli, Michelle L.

    For this study, we experimentally investigate a new class of quasi-aperiodic structures for improving the emission pattern in nanowire arrays. Efficient normal emission, as well as lasing, can be obtained from III-nitride photonic crystal (PhC) nanowire arrays that utilize slow group velocity modes near the Γ-point in reciprocal space. However, due to symmetry considerations, the emitted far-field pattern of such modes are often ‘donut’-like. Many applications, including lighting for displays or lasers, require a more uniform beam profile in the far-field. Previous work has improved far-field beam uniformity of uncoupled modes by changing the shape of the emitting structure. However,more » in nanowire systems, the shape of nanowires cannot always be arbitrarily changed due to growth or etch considerations. Here, we investigate breaking symmetry by instead changing the position of emitters. Using a quasi-aperiodic geometry, which changes the emitter position within a photonic crystal supercell (2x2), we are able to linearize the photonic bandstructure near the Γ-point and greatly improve emitted far-field uniformity. We realize the III-nitride nanowires structures using a top-down fabrication procedure that produces nanowires with smooth, vertical sidewalls. Comparison of room-temperature micro-photoluminescence (µ-PL) measurements between periodic and quasi-aperiodic nanowire arrays reveal resonances in each structure, with the simple periodic structure producing a donut beam in the emitted far-field and the quasi-aperiodic structure producing a uniform Gaussian-like beam. We investigate the input pump power vs. output intensity in both systems and observe the simple periodic array exhibiting a non-linear relationship, indicative of lasing. We believe that the quasi-aperiodic approach studied here provides an alternate and promising strategy for shaping the emission pattern of nanoemitter systems.« less

  5. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  6. Hydrothermal growth of ZnO nanowire arrays: fine tuning by precursor supersaturation

    DOE PAGES

    Yan, Danhua; Cen, Jiajie; Zhang, Wenrui; ...

    2016-12-20

    In this paper, we develop a technique that fine tunes the hydrothermal growth of ZnO nanowires to address the difficulties in controlling their growth in a conventional one-pot hydrothermal method. In our technique, precursors are separately and slowly supplied with the assistance of a syringe pump, through the entire course of the growth. Compared to the one-pot method, the significantly lowered supersaturation of precursors helps eliminating competitive homogeneous nucleation and improves the reproducibility. The supersaturation degree can be readily tuned by the precursor quantity and injection rate, thus forming ZnO nanowire arrays of various geometries and packing densities in amore » highly controllable fashion. The precise control of ZnO nanowire growth enables systematic studies on the correlation between the material's properties and its morphology. Finally, in this work, ZnO nanowire arrays of various morphologies are studied as photoelectrochemical (PEC) water splitting photoanodes, in which we establish clear correlations between the water splitting performance and the nanowires' size, shape, and packing density.« less

  7. CONDUCTING-POLYMER NANOWIRE IMMUNOSENSOR ARRAYS FOR MICROBIAL PATHOGENS

    EPA Science Inventory

    The lack of methods for routine rapid and sensitive detection and quantification of specific pathogens has limited the amount of information available on their occurrence in drinking water and other environmental samples. The nanowire biosensor arrays developed in this study w...

  8. Subeutectic Synthesis of Epitaxial Si-NWs with Diverse Catalysts Using a Novel Si Precursor

    PubMed Central

    2010-01-01

    The applicability of a novel silicon precursor with respect to reasonable nanowire (NW) growth rates, feasibility of epitaxial NW growth and versatility with respect to diverse catalysts was investigated. Epitaxial growth of Si-NWs was achieved using octochlorotrisilane (OCTS) as Si precursor and Au as catalyst. In contrast to the synthesis approach with SiCl4 as precursor, OCTS provides Si without the addition of H2. By optimizing the growth conditions, effective NW synthesis is shown for alternative catalysts, in particular, Cu, Ag, Ni, and Pt with the latter two being compatible to complementary metal-oxide-semiconductor technology. As for these catalysts, the growth temperatures are lower than the lowest liquid eutectic; we suggest that the catalyst particle is in the solid state during NW growth and that a solid-phase diffusion process, either in the bulk, on the surface, or both, must be responsible for NW nucleation. PMID:20843058

  9. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  10. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  11. Large-scale fabrication of single crystalline tin nanowire arrays.

    PubMed

    Luo, Bin; Yang, Dachi; Liang, Minghui; Zhi, Linjie

    2010-09-01

    Large-scale single crystalline tin nanowire arrays with preferred lattice orientation along the [100] direction were fabricated in porous anodic aluminium oxide (AAO) membranes by the electrodeposition method using copper nanorod as a second electrode.

  12. Controlling the growth and field emission properties of silicide nanowire arrays by direct silicification of Ni foil.

    PubMed

    Liu, Zhihong; Zhang, Hui; Wang, Lei; Yang, Deren

    2008-09-17

    Nickel silicide nanowire arrays have been achieved by the decomposition of SiH(4) on Ni foil at 650 °C. It is indicated that the nickel silicide nanowires consist of roots with diameter of about 100-200 nm and tips with diameter of about 10-50 nm. A Ni diffusion controlled mechanism is proposed to explain the formation of the nickel silicide nanowires. Field emission measurement shows that the turn-on field of the nickel silicide nanowire arrays is low, at about 3.7 V µm(-1), and the field enhancement factor is as high as 4280, so the arrays have promising applications as emitters.

  13. Amplified Thermionic Cooling Using Arrays of Nanowires

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Choi, Daniel; Shcheglov, Kirill; Hishinuma, Yoshikazu

    2007-01-01

    A class of proposed thermionic cooling devices would incorporate precise arrays of metal nanowires as electron emitters. The proposed devices could be highly miniaturized, enabling removal of heat from locations, very close to electronic devices, that have previously been inaccessible for heat-removal purposes. The resulting enhancement of removal of heat would enable operation of the devices at higher power levels and higher clock speeds. Moreover, the mass, complexity, and bulk of electronic circuitry incorporating these highly miniaturized cooling devices could be considerably reduced, relative to otherwise equivalent circuitry cooled by conventional electromechanical, thermoelectric, and fluidic means. In thermionic cooling, one exploits the fact that because only the highest-energy electrons are thermionically emitted, collecting those electrons to prevent their return to the emitting electrode results in the net removal of heat from that electrode. Collection is effected by applying an appropriate positive bias potential to another electrode placed near the emitting electrode. The concept underlying the proposal is that the thermionic-emission current and, hence, the cooling effect attainable by use of an array of nanowires could be significantly greater than that attainable by use of a single emitting electrode or other electron- emitting surface. The wires in an array according to the proposal would protrude perpendicularly from a planar surface and their heights would be made uniform to within a sub-nanometer level of precision

  14. Titanium dioxide nanowire sensor array integration on CMOS platform using deterministic assembly.

    PubMed

    Gall, Oren Z; Zhong, Xiahua; Schulman, Daniel S; Kang, Myungkoo; Razavieh, Ali; Mayer, Theresa S

    2017-06-30

    Nanosensor arrays have recently received significant attention due to their utility in a wide range of applications, including gas sensing, fuel cells, internet of things, and portable health monitoring systems. Less attention has been given to the production of sensor platforms in the μW range for ultra-low power applications. Here, we discuss how to scale the nanosensor energy demand by developing a process for integration of nanowire sensing arrays on a monolithic CMOS chip. This work demonstrates an off-chip nanowire fabrication method; subsequently nanowires link to a fused SiO 2 substrate using electric-field assisted directed assembly. The nanowire resistances shown in this work have the highest resistance uniformity reported to date of 18%, which enables a practical roadmap towards the coupling of nanosensors to CMOS circuits and signal processing systems. The article also presents the utility of optimizing annealing conditions of the off-chip metal-oxides prior to CMOS integration to avoid limitations of thermal budget and process incompatibility. In the context of the platform demonstrated here, directed assembly is a powerful tool that can realize highly uniform, cross-reactive arrays of different types of metal-oxide nanosensors suited for gas discrimination and signal processing systems.

  15. Titanium dioxide nanowire sensor array integration on CMOS platform using deterministic assembly

    NASA Astrophysics Data System (ADS)

    Gall, Oren Z.; Zhong, Xiahua; Schulman, Daniel S.; Kang, Myungkoo; Razavieh, Ali; Mayer, Theresa S.

    2017-06-01

    Nanosensor arrays have recently received significant attention due to their utility in a wide range of applications, including gas sensing, fuel cells, internet of things, and portable health monitoring systems. Less attention has been given to the production of sensor platforms in the μW range for ultra-low power applications. Here, we discuss how to scale the nanosensor energy demand by developing a process for integration of nanowire sensing arrays on a monolithic CMOS chip. This work demonstrates an off-chip nanowire fabrication method; subsequently nanowires link to a fused SiO2 substrate using electric-field assisted directed assembly. The nanowire resistances shown in this work have the highest resistance uniformity reported to date of 18%, which enables a practical roadmap towards the coupling of nanosensors to CMOS circuits and signal processing systems. The article also presents the utility of optimizing annealing conditions of the off-chip metal-oxides prior to CMOS integration to avoid limitations of thermal budget and process incompatibility. In the context of the platform demonstrated here, directed assembly is a powerful tool that can realize highly uniform, cross-reactive arrays of different types of metal-oxide nanosensors suited for gas discrimination and signal processing systems.

  16. Electrolyte-gated transistors based on conducting polymer nanowire junction arrays.

    PubMed

    Alam, Maksudul M; Wang, Jun; Guo, Yaoyao; Lee, Stephanie P; Tseng, Hsian-Rong

    2005-07-07

    In this study, we describe the electrolyte gating and doping effects of transistors based on conducting polymer nanowire electrode junction arrays in buffered aqueous media. Conducting polymer nanowires including polyaniline, polypyrrole, and poly(ethylenedioxythiophene) were investigated. In the presence of a positive gate bias, the device exhibits a large on/off current ratio of 978 for polyaniline nanowire-based transistors; these values vary according to the acidity of the gate medium. We attribute these efficient electrolyte gating and doping effects to the electrochemically fabricated nanostructures of conducting polymer nanowires. This study demonstrates that two-terminal devices can be easily converted into three-terminal transistors by simply immersing the device into an electrolyte solution along with a gate electrode. Here, the field-induced modulation can be applied for signal amplification to enhance the device performance.

  17. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks.

    PubMed

    Cao, Anping; Shan, Meixia; Paltrinieri, Laura; Evers, Wiel H; Chu, Liangyong; Poltorak, Lukasz; Klootwijk, Johan H; Seoane, Beatriz; Gascon, Jorge; Sudhölter, Ernst J R; de Smet, Louis C P M

    2018-04-19

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  18. Synchrotron emission from nanowire array targets irradiated by ultraintense laser pulses

    NASA Astrophysics Data System (ADS)

    Martinez, B.; d’Humières, E.; Gremillet, L.

    2018-07-01

    We present a numerical study, based on two-dimensional particle-in-cell simulations, of the synchrotron emission induced during the interaction of femtosecond laser pulses of intensities I = 1021–1023 W cm‑2 with nanowire arrays. Through an extensive parametric scan on the target parameters, we identify and characterize several dominant radiation mechanisms, mainly depending on the transparency or opacity of the plasma produced by the wire expansion. At I = 1022 W m‑2, the emission of high-energy (>10 keV) photons attains a maximum conversion efficiency of ∼10% for 36–50 nm wire widths and 1 μm interspacing. This maximum radiation yield is found to be similar to that achieved in a uniform plasma of same average (sub-solid) density, but nanowire arrays provide efficient radiation sources over a broader parameter range. Moreover, we examine the variations of the photon spectra with the laser intensity and the wire material, and we demonstrate that the radiation efficiency can be further enhanced by adding a plasma mirror at the backside of the nanowire array. Finally, we briefly consider the influence of a finite laser focal spot and oblique incidence angle.

  19. Electrical characteristics of silicon nanowire CMOS inverters under illumination.

    PubMed

    Yoo, Jeuk; Kim, Yoonjoong; Lim, Doohyeok; Kim, Sangsig

    2018-02-05

    In this study, we examine the electrical characteristics of complementary metal-oxide-semiconductor (CMOS) inverters with silicon nanowire (SiNW) channels on transparent substrates under illumination. The electrical characteristics vary with the wavelength and power of light due to the variation in the generation rates of the electric-hole pairs. Compared to conventional optoelectronic devices that sense the on/off states by the variation in the current, our device achieves the sensing of the on/off states with more precision by using the voltage variation induced by the wavelength or intensity of light. The device was fabricated on transparent substrates to maximize the light absorption using conventional CMOS technologies. The key difference between our SiNW CMOS inverters and conventional optoelectronic devices is the ability to control the flow of charge carriers more effectively. The improved sensitivity accomplished with the use of SiNW CMOS inverters allows better control of the on/off states.

  20. High thermoelectric properties of (Sb, Bi)2Te3 nanowire arrays by tilt-structure engineering

    NASA Astrophysics Data System (ADS)

    Tan, Ming; Hao, Yanming; Deng, Yuan; Chen, Jingyi

    2018-06-01

    In this paper, we present an innovative tilt-structure design concept for (Sb, Bi)2Te3 nanowire array assembled by high-quality nanowires with well oriented growth, utilizing a simple vacuum thermal evaporation technique. The unusual tilt-structure (Sb, Bi)2Te3 nanowire array with a tilted angle of 45° exhibits a high thermoelectric dimensionless figure-of-merit ZT = 1.72 at room temperature. The relatively high ZT value in contrast to that of previously reported (Sb, Bi)2Te3 materials and the vertical (Sb, Bi)2Te3 nanowire arrays evidently reveals the crucial role of the unique tilt-structure in favorably influencing carrier and phonon transport properties, resulting in a significantly improved ZT value. The transport mechanism of such tilt-structure is proposed and investigated. This method opens a new approach to optimize nano-structure in thin films for next-generation thermoelectric materials and devices.

  1. Seedless Growth of Bismuth Nanowire Array via Vacuum Thermal Evaporation

    PubMed Central

    Liu, Mingzhao; Nam, Chang-Yong; Zhang, Lihua

    2015-01-01

    Here a seedless and template-free technique is demonstrated to scalably grow bismuth nanowires, through thermal evaporation in high vacuum at RT. Conventionally reserved for the fabrication of metal thin films, thermal evaporation deposits bismuth into an array of vertical single crystalline nanowires over a flat thin film of vanadium held at RT, which is freshly deposited by magnetron sputtering or thermal evaporation. By controlling the temperature of the growth substrate the length and width of the nanowires can be tuned over a wide range. Responsible for this novel technique is a previously unknown nanowire growth mechanism that roots in the mild porosity of the vanadium thin film. Infiltrated into the vanadium pores, the bismuth domains (~ 1 nm) carry excessive surface energy that suppresses their melting point and continuously expels them out of the vanadium matrix to form nanowires. This discovery demonstrates the feasibility of scalable vapor phase synthesis of high purity nanomaterials without using any catalysts. PMID:26709727

  2. Localized temperature and chemical reaction control in nanoscale space by nanowire array.

    PubMed

    Jin, C Yan; Li, Zhiyong; Williams, R Stanley; Lee, K-Cheol; Park, Inkyu

    2011-11-09

    We introduce a novel method for chemical reaction control with nanoscale spatial resolution based on localized heating by using a well-aligned nanowire array. Numerical and experimental analysis shows that each individual nanowire could be selectively and rapidly Joule heated for local and ultrafast temperature modulation in nanoscale space (e.g., maximum temperature gradient 2.2 K/nm at the nanowire edge; heating/cooling time < 2 μs). By taking advantage of this capability, several nanoscale chemical reactions such as polymer decomposition/cross-linking and direct and localized hydrothermal synthesis of metal oxide nanowires were demonstrated.

  3. Controllable Synthesis of Copper Oxide/Carbon Core/Shell Nanowire Arrays and Their Application for Electrochemical Energy Storage

    PubMed Central

    Zhan, Jiye; Chen, Minghua; Xia, Xinhui

    2015-01-01

    Rational design/fabrication of integrated porous metal oxide arrays is critical for the construction of advanced electrochemical devices. Herein, we report self-supported CuO/C core/shell nanowire arrays prepared by the combination of electro-deposition and chemical vapor deposition methods. CuO/C nanowires with diameters of ~400 nm grow quasi-vertically to the substrates forming three-dimensional arrays architecture. A thin carbon shell is uniformly coated on the CuO nanowire cores. As an anode of lithium ion batteries, the resultant CuO/C nanowire arrays are demonstrated to have high specific capacity (672 mAh·g−1 at 0.2 C) and good cycle stability (425 mAh·g−1 at 1 C up to 150 cycles). The core/shell arrays structure plays positive roles in the enhancement of Li ion storage due to fast ion/electron transfer path, good strain accommodation and sufficient contact between electrolyte and active materials. PMID:28347084

  4. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  5. Superconducting properties of Pb82Bi18 films controlled by ferromagnetic nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ye, Zuxin; Lyuksyutov, Igor F.; Wu, Wenhao; Naugle, Donald G.

    2011-02-01

    The superconducting properties of Pb82Bi18 alloy films deposited on ferromagnetic nanowire arrays have been investigated. Ferromagnetic Co or Ni nanowires are first electroplated into the columnar pores of anodic aluminum oxide (AAO) membranes. Superconducting Pb82Bi18 films are then quench condensed onto the polished surface of the AAO membranes filled with magnetic nanowires. A strong dependence of the Pb82Bi18 superconducting properties on the ratio of the superconducting film thickness to the magnetic nanowire diameter and material variety was observed.

  6. Generation of Reactive Oxygen Species from Silicon Nanowires

    PubMed Central

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor–liquid–solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals. PMID:25452695

  7. Generation of reactive oxygen species from silicon nanowires.

    PubMed

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  8. Photovoltaic devices based on quantum dot functionalized nanowire arrays embedded in an organic matrix

    NASA Astrophysics Data System (ADS)

    Kung, Patrick; Harris, Nicholas; Shen, Gang; Wilbert, David S.; Baughman, William; Balci, Soner; Dawahre, Nabil; Butler, Lee; Rivera, Elmer; Nikles, David; Kim, Seongsin M.

    2012-01-01

    Quantum dot (QD) functionalized nanowire arrays are attractive structures for low cost high efficiency solar cells. QDs have the potential for higher quantum efficiency, increased stability and lifetime compared to traditional dyes, as well as the potential for multiple electron generation per photon. Nanowire array scaffolds constitute efficient, low resistance electron transport pathways which minimize the hopping mechanism in the charge transport process of quantum dot solar cells. However, the use of liquid electrolytes as a hole transport medium within such scaffold device structures have led to significant degradation of the QDs. In this work, we first present the synthesis uniform single crystalline ZnO nanowire arrays and their functionalization with InP/ZnS core-shell quantum dots. The structures are characterized using electron microscopy, optical absorption, photoluminescence and Raman spectroscopy. Complementing photoluminescence, transmission electron microanalysis is used to reveal the successful QD attachment process and the atomistic interface between the ZnO and the QD. Energy dispersive spectroscopy reveals the co-localized presence of indium, phosphorus, and sulphur, suggestive of the core-shell nature of the QDs. The functionalized nanowire arrays are subsequently embedded in a poly-3(hexylthiophene) hole transport matrix with a high degree of polymer infiltration to complete the device structure prior to measurement.

  9. Fabrication of ZnO Nanowires Arrays by Anodization and High-Vacuum Die Casting Technique, and Their Piezoelectric Properties

    PubMed Central

    Kuo, Chin-Guo; Chang, Ho; Wang, Jian-Hao

    2016-01-01

    In this investigation, anodic aluminum oxide (AAO) with arrayed and regularly arranged nanopores is used as a template in the high-vacuum die casting of molten zinc metal (Zn) into the nanopores. The proposed technique yields arrayed Zn nanowires with an aspect ratio of over 600. After annealing, arrayed zinc oxide (ZnO) nanowires are obtained. Varying the anodizing time yields AAO templates with thicknesses of approximately 50 μm, 60 μm, and 70 μm that can be used in the fabrication of nanowires of three lengths with high aspect ratios. Experimental results reveal that a longer nanowire generates a greater measured piezoelectric current. The ZnO nanowires that are fabricated using an alumina template are anodized for 7 h and produce higher piezoelectric current of up to 69 pA. PMID:27023546

  10. Fabrication of ZnO Nanowires Arrays by Anodization and High-Vacuum Die Casting Technique, and Their Piezoelectric Properties.

    PubMed

    Kuo, Chin-Guo; Chang, Ho; Wang, Jian-Hao

    2016-03-24

    In this investigation, anodic aluminum oxide (AAO) with arrayed and regularly arranged nanopores is used as a template in the high-vacuum die casting of molten zinc metal (Zn) into the nanopores. The proposed technique yields arrayed Zn nanowires with an aspect ratio of over 600. After annealing, arrayed zinc oxide (ZnO) nanowires are obtained. Varying the anodizing time yields AAO templates with thicknesses of approximately 50 μm, 60 μm, and 70 μm that can be used in the fabrication of nanowires of three lengths with high aspect ratios. Experimental results reveal that a longer nanowire generates a greater measured piezoelectric current. The ZnO nanowires that are fabricated using an alumina template are anodized for 7 h and produce higher piezoelectric current of up to 69 pA.

  11. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  12. Preparation and enhanced infrared response properties of ordered W-doped VO2 nanowire array

    NASA Astrophysics Data System (ADS)

    Xie, Bing He; Fu, Wen Biao; Fei, Guang Tao; Xu, Shao Hui; Gao, Xu Dong; Zhang, Li De

    2018-04-01

    In this article, pure and tungsten-doped (W-doped) highly ordered two-dimensional (2D) vanadium dioxide (VO2) nanowire arrays were successfully prepared by a hydrothermal treatment, followed by a self-assembly progress and the in-situ high temperature treatment. The infrared photodetector devices based on monoclinic VO2 (VO2(M)) and W-doped VO2(M) nanowires were comparatively studied . It was found that the device based on W-doped VO2(M) nanowires exhibits a rapid infrared response and an enhanced photoelectric responsivity of 21.4 mA/W under the incident infrared light intensity of 280 mW/cm2, which is nearly two orders of magnitude superior to pure VO2(M) nanowire array. Our experimental results provided a direct and convenient path for design of future high-performance photodetector devices.

  13. Waveguide modes in sparse III-V nanowire arrays for ultra-broadband tunable perfect absorbers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Fountaine, Katherine T.; Cheng, Wen-Hui; Bukowsky, Colton R.; Atwater, Harry A.

    2016-09-01

    Design of perfect absorbers and emitters has been a primary focus of the metamaterials community owing to their potential to enhance device efficiency and sensitivity in energy harvesting and sensing applications, specifically photovoltaics, thermal emission control, bolometers and photodetectors, to name a few. While reports of perfect absorbers/emitters for a specific frequency, wavevector, and polarization are ubiquitous, a broadband and polarization- and angle-insensitive perfect absorber remains a particular challenge. In this work, we report on directed optical design and fabrication of sparse III-V nanowire arrays as broadband, polarization- and angle-insensitive perfect absorbers and emitters. Specifically, we target response in the UV-Vis-NIR and NIR-SWIR-MWIR via two material systems, InP (Eg=1.34 eV) and InSb (Eg=0.17 eV), respectively. Herein, we present results on InP and InSb nanowire array broadband absorbers, supported by experiment, simulation and analytic theory. Electromagnetic simulations indicate that, with directed optical design, tapered nanowire arrays and multi-radii nanowire arrays with 5% fill fraction can achieve greater than 95% broadband absorption (λInP=400-900nm, λInSb=1.5-5.5µm), due to efficient excitation and interband transition-mediated attenuation of the HE11 waveguide mode. Experimentally-fabricated InP nanowire arrays embedded in PDMS achieved broadband, polarization- and angle-insensitive 90-95% absorption, limited primarily by reflection off the PDMS interface. Addition of a thin, planar VO2 layer above a sparse InSb nanowire array enables active thermal tunability in the infrared, effecting a 50% modulation, from 87% (insulating VO2) to 43% (metallic VO2) average absorption. These concepts and results along with photovoltaic and other optical and optoelectronic device applications will be discussed.

  14. Si-H induced synthesis of Si/Cu2O nanowire arrays for photoelectrochemical water splitting

    NASA Astrophysics Data System (ADS)

    Zhang, Shaoyang; She, Guangwei; Li, Shengyang; Mu, Lixuan; Shi, Wensheng

    2018-01-01

    We report a facile and low-cost method to synthesize Si/Cu2O heterojunction nanowire arrays, without SiOx, at the Si/Cu2O interface. The reductive Si-H bonds on the surface of Si nanowires plays a key role in situ by reducing Cu(II) ions to Cu2O nanocubes and avoiding the SiOx interface layer. Different pH values would vary the electrochemical potential of reactions and as a result, different products would be formed. Utilized as a photoanode for water splitting, Si/Cu2O nanowire arrays exhibit good photoelectrochemical performance.

  15. Hierarchically Structured Co3O4@Pt@MnO2 Nanowire Arrays for High-Performance Supercapacitors

    NASA Astrophysics Data System (ADS)

    Xia, Hui; Zhu, Dongdong; Luo, Zhentao; Yu, Yue; Shi, Xiaoqin; Yuan, Guoliang; Xie, Jianping

    2013-10-01

    Here we proposed a novel architectural design of a ternary MnO2-based electrode - a hierarchical Co3O4@Pt@MnO2 core-shell-shell structure, where the complemental features of the three key components (a well-defined Co3O4 nanowire array on the conductive Ti substrate, an ultrathin layer of small Pt nanoparticles, and a thin layer of MnO2 nanoflakes) are strategically combined into a single entity to synergize and construct a high-performance electrode for supercapacitors. Owing to the high conductivity of the well-defined Co3O4 nanowire arrays, in which the conductivity was further enhanced by a thin metal (Pt) coating layer, in combination with the large surface area provided by the small MnO2 nanoflakes, the as-fabricated Co3O4@Pt@MnO2 nanowire arrays have exhibited high specific capacitances, good rate capability, and excellent cycling stability. The architectural design demonstrated in this study provides a new approach to fabricate high-performance MnO2-based nanowire arrays for constructing next-generation supercapacitors.

  16. Artificial sensing intelligence with silicon nanowires for ultraselective detection in the gas phase.

    PubMed

    Wang, Bin; Cancilla, John C; Torrecilla, Jose S; Haick, Hossam

    2014-02-12

    The use of molecularly modified Si nanowire field effect transistors (SiNW FETs) for selective detection in the liquid phase has been successfully demonstrated. In contrast, selective detection of chemical species in the gas phase has been rather limited. In this paper, we show that the application of artificial intelligence on deliberately controlled SiNW FET device parameters can provide high selectivity toward specific volatile organic compounds (VOCs). The obtained selectivity allows identifying VOCs in both single-component and multicomponent environments as well as estimating the constituent VOC concentrations. The effect of the structural properties (functional group and/or chain length) of the molecular modifications on the accuracy of VOC detection is presented and discussed. The reported results have the potential to serve as a launching pad for the use of SiNW FET sensors in real-world counteracting conditions and/or applications.

  17. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    PubMed

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  18. Enhanced magnetic performance of metal-organic nanowire arrays by FeCo/polypyrrole co-electrodeposition

    NASA Astrophysics Data System (ADS)

    Luo, X. J.; Xia, W. B.; Gao, J. L.; Zhang, S. Y.; Li, Y. L.; Tang, S. L.; Du, Y. W.

    2013-05-01

    FeCo/polypyrrole (PPy) composite nanowire array, which shows enhanced magnetic remanence and coercivity along the nanowires, was fabricated by AC electrodeposition using anodic aluminum oxide templates. High resolution transmission electron microscopy shows that PPy grows on the surface of FeCo nanowires forming a coaxial nanowire structure, with a coating layer of about 4 nm. It suggests that the decreased dipolar interaction due to the reduced nanowire diameters is responsible for the enhancement of magnetic performance. The possible mechanism of this coating may be that PPy is inclined to nucleate along the pore wall of the templates.

  19. Magnetization reversal and coercivity of Fe3Se4 nanowire arrays

    NASA Astrophysics Data System (ADS)

    Li, D.; Li, S. J.; Zhou, Y. T.; Bai, Y.; Zhu, Y. L.; Ren, W. J.; Long, G.; Zeng, H.; Zhang, Z. D.

    2015-05-01

    The microstructure and magnetic properties of Fe3Se4 nanowire (NW) arrays in anodic aluminum oxide (AAO) porous membrane are studied. Cross-sectional SEM and plane-view TEM images show that the mean wire diameter (dw) and the center-to-center spacing (D) of Fe3Se4 nanowires are about 220 nm and 330 nm, respectively. The field-cooled magnetization dependent on the temperature indicates a Curie temperature around 334 K for the Fe3Se4 nanowires. The coercivities of Fe3Se4 nanowires at 10 K, obtained from the in-plane and out-of-plane hysteresis loops, are as high as 22.4 kOe and 23.3 kOe, which can be understood from the magnetocrystalline anisotropy and the magnetization reversal process.

  20. High-Performance Carbon Dioxide Electrocatalytic Reduction by Easily Fabricated Large-Scale Silver Nanowire Arrays.

    PubMed

    Luan, Chuhao; Shao, Yang; Lu, Qi; Gao, Shenghan; Huang, Kai; Wu, Hui; Yao, Kefu

    2018-05-30

    An efficient and selective catalyst is in urgent need for carbon dioxide electroreduction and silver is one of the promising candidates with affordable costs. Here we fabricated large-scale vertically standing Ag nanowire arrays with high crystallinity and electrical conductivity as carbon dioxide electroreduction catalysts by a simple nanomolding method that was usually considered not feasible for metallic crystalline materials. A great enhancement of current densities and selectivity for CO at moderate potentials was achieved. The current density for CO ( j co ) of Ag nanowire array with 200 nm in diameter was more than 2500 times larger than that of Ag foil at an overpotential of 0.49 V with an efficiency over 90%. The origin of enhanced performances are attributed to greatly increased electrochemically active surface area (ECSA) and higher intrinsic activity compared to those of polycrystalline Ag foil. More low-coordinated sites on the nanowires which can stabilize the CO 2 intermediate better are responsible for the high intrinsic activity. In addition, the impact of surface morphology that induces limited mass transportation on reaction selectivity and efficiency of nanowire arrays with different diameters was also discussed.

  1. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  2. Silicon nanowires as field-effect transducers for biosensor development: a review.

    PubMed

    Noor, M Omair; Krull, Ulrich J

    2014-05-12

    The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top-down or bottom-up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top-down and bottom-up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors. Copyright © 2014 Elsevier B.V. All rights reserved.

  3. Piezo-Phototronic Enhanced UV Sensing Based on a Nanowire Photodetector Array.

    PubMed

    Han, Xun; Du, Weiming; Yu, Ruomeng; Pan, Caofeng; Wang, Zhong Lin

    2015-12-22

    A large array of Schottky UV photodetectors (PDs) based on vertical aligned ZnO nanowires is achieved. By introducing the piezo-phototronic effect, the performance of the PD array is enhanced up to seven times in photoreponsivity, six times in sensitivity, and 2.8 times in detection limit. The UV PD array may have applications in optoelectronic systems, adaptive optical computing, and communication. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Time-dependent optical response of three-dimensional Au nanoparticle arrays formed on silica nanowires

    NASA Astrophysics Data System (ADS)

    Di Mario, Lorenzo; Otomalo, Tadele Orbula; Catone, Daniele; O'Keeffe, Patrick; Tian, Lin; Turchini, Stefano; Palpant, Bruno; Martelli, Faustino

    2018-03-01

    We present stationary and transient absorption measurements on 3D Au nanoparticle (NP)-decorated Si O2 nanowire arrays. The 3D NP array has been produced by the dewetting of a thin Au film deposited on silica nanowires produced by oxidation of silicon nanowires. The experimental behaviors of the spectral and temporal dynamics observed in the experiment are accurately described by a two-step, three-temperature model. Using an arbitrary set of Au NPs with different aspect ratios, we demonstrate that the width of the experimental spectra, the energy shift of their position with time, and the asymmetry between the two positive wings in the dynamical variation of absorption can all be attributed to the nonuniform shape distribution of the Au NPs in the sample.

  5. Fabrication and characterization of hexagonally patterned quasi-1D ZnO nanowire arrays

    PubMed Central

    2014-01-01

    Quasi-one-dimensional (quasi-1D) ZnO nanowire arrays with hexagonal pattern have been successfully synthesized via the vapor transport process without any metal catalyst. By utilizing polystyrene microsphere self-assembled monolayer, sol–gel-derived ZnO thin films were used as the periodic nucleation sites for the growth of ZnO nanowires. High-quality quasi-1D ZnO nanowires were grown from nucleation sites, and the original hexagonal periodicity is well-preserved. According to the experimental results, the vapor transport solid condensation mechanism was proposed, in which the sol–gel-derived ZnO film acting as a seed layer for nucleation. This simple method provides a favorable way to form quasi-1D ZnO nanostructures applicable to diverse fields such as two-dimensional photonic crystal, nanolaser, sensor arrays, and other optoelectronic devices. PMID:24521308

  6. Hierarchically Structured Co3O4@Pt@MnO2 Nanowire Arrays for High-Performance Supercapacitors

    PubMed Central

    Xia, Hui; Zhu, Dongdong; Luo, Zhentao; Yu, Yue; Shi, Xiaoqin; Yuan, Guoliang; Xie, Jianping

    2013-01-01

    Here we proposed a novel architectural design of a ternary MnO2-based electrode – a hierarchical Co3O4@Pt@MnO2 core-shell-shell structure, where the complemental features of the three key components (a well-defined Co3O4 nanowire array on the conductive Ti substrate, an ultrathin layer of small Pt nanoparticles, and a thin layer of MnO2 nanoflakes) are strategically combined into a single entity to synergize and construct a high-performance electrode for supercapacitors. Owing to the high conductivity of the well-defined Co3O4 nanowire arrays, in which the conductivity was further enhanced by a thin metal (Pt) coating layer, in combination with the large surface area provided by the small MnO2 nanoflakes, the as-fabricated Co3O4@Pt@MnO2 nanowire arrays have exhibited high specific capacitances, good rate capability, and excellent cycling stability. The architectural design demonstrated in this study provides a new approach to fabricate high-performance MnO2–based nanowire arrays for constructing next-generation supercapacitors. PMID:24132040

  7. Prevalence of information stored in arrays of magnetic nanowires against external fields

    NASA Astrophysics Data System (ADS)

    Ceballos, D.; Cisternas, E.; Vogel, E. E.; Allende, S.

    2018-04-01

    Arrays of magnetic nanowires in porous alumina can be used to store information inscribed on the system by orienting the magnetization of selected wires pointing in a desired direction, so symbols can be read as ferromagnetic sectors. However, this information is subject to aging and the stored information could be gradually lost. We investigate here two mechanisms proposed to improve the prevalence of the stored information: opposite ferromagnetic band at the center of the symbol and bi-segmented nanowires acting as two layers of nanowires storing the same information. Both mechanisms prove to increase resistance to the action of external magnetic fields for the case of Ni wires in a geometry compatible with actually grown nanowires. Advantages and disadvantages of these mechanisms are discussed.

  8. Fabrication of vertically aligned Pd nanowire array in AAO template by electrodeposition using neutral electrolyte.

    PubMed

    Taşaltın, Nevin; Oztürk, Sadullah; Kılınç, Necmettin; Yüzer, Hayrettin; Oztürk, Zaferziya

    2010-05-01

    A vertically aligned Pd nanowire array was successfully fabricated on an Au/Ti substrate using an anodic aluminum oxide (AAO) template by a direct voltage electrodeposition method at room temperature using diluted neutral electrolyte. The fabrication of Pd nanowires was controlled by analyzing the current-time transient during electrodeposition using potentiostat. The AAO template and the Pd nanowires were characterized by scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) methods and X-Ray diffraction (XRD). It was observed that the Pd nanowire array was standing freely on an Au-coated Ti substrate after removing the AAO template in a relatively large area of about 5 cm2, approximately 50 nm in diameter and 2.5 μm in length with a high aspect ratio. The nucleation rate and the number of atoms in the critical nucleus were determined from the analysis of current transients. Pd nuclei density was calculated as 3.55 × 108 cm-2. Usage of diluted neutral electrolyte enables slower growing of Pd nanowires owing to increase in the electrodeposition potential and thus obtained Pd nanowires have higher crystallinity with lower dislocations. In fact, this high crystallinity of Pd nanowires provides them positive effect for sensor performances especially.

  9. Fabrication of vertically aligned Pd nanowire array in AAO template by electrodeposition using neutral electrolyte

    PubMed Central

    2010-01-01

    A vertically aligned Pd nanowire array was successfully fabricated on an Au/Ti substrate using an anodic aluminum oxide (AAO) template by a direct voltage electrodeposition method at room temperature using diluted neutral electrolyte. The fabrication of Pd nanowires was controlled by analyzing the current–time transient during electrodeposition using potentiostat. The AAO template and the Pd nanowires were characterized by scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) methods and X-Ray diffraction (XRD). It was observed that the Pd nanowire array was standing freely on an Au-coated Ti substrate after removing the AAO template in a relatively large area of about 5 cm2, approximately 50 nm in diameter and 2.5 μm in length with a high aspect ratio. The nucleation rate and the number of atoms in the critical nucleus were determined from the analysis of current transients. Pd nuclei density was calculated as 3.55 × 108 cm−2. Usage of diluted neutral electrolyte enables slower growing of Pd nanowires owing to increase in the electrodeposition potential and thus obtained Pd nanowires have higher crystallinity with lower dislocations. In fact, this high crystallinity of Pd nanowires provides them positive effect for sensor performances especially. PMID:20596417

  10. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  11. Ni-silicide growth kinetics in Si and Si/SiO2 core/shell nanowires.

    PubMed

    Ogata, K; Sutter, E; Zhu, X; Hofmann, S

    2011-09-07

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from ∼ 10 to 100 nm is presented. For temperatures between 300 and 440 °C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for (111) orientated SiNWs. In situ TEM silicidation experiments show that NiSi(2) is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.

  12. Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, S.; Sutter, E.; Ogata, K.

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from {approx} 10 to 100 nm is presented. For temperatures between 300 and 440 C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Nimore » flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In situ TEM silicidation experiments show that NiSi{sub 2} is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.« less

  13. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: The effect of substrate on magnetic properties of Co/Cu multilayer nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ren, Yong; Wang, Jian-Bo; Liu, Qing-Fang; Han, Xiang-Hua; Xue, De-Sheng

    2009-08-01

    Ordered Co/Cu multilayer nanowire arrays have been fabricated into anodic aluminium oxide templates with Ag and Cu substrate by direct current electrodeposition. This paper studies the morphology, structure and magnetic properties by transmission electron microscopy, selective area electron diffraction, x-ray diffraction, and vibrating sample magnetometer. X-ray diffraction patterns reveal that both as-deposited nanowire arrays films exhibit face-centred cubic structure. Magnetic measurements indicate that the easy magnetization direction of Co/Cu multilayer nanowire arrays films on Ag substrate is perpendicular to the long axis of nanowire, whereas the easy magnetization direction of the sample with Cu substrate is parallel to the long axis of nanowire. The change of easy magnetization direction attributed to different substrates, and the magnetic properties of the nanowire arrays are discussed.

  14. Applying contact to individual silicon nanowires using a dielectrophoresis (DEP)-based technique

    NASA Astrophysics Data System (ADS)

    Leiterer, Christian; Broenstrup, Gerald; Jahr, Norbert; Urban, Matthias; Arnold, Cornelia; Christiansen, Silke; Fritzsche, Wolfgang

    2013-05-01

    One major challenge for the technological use of nanostructures is the control of their electrical and optoelectronic properties. For that purpose, extensive research into the electrical characterization and therefore a fast and reliable way of contacting these structures are needed. Here, we report on a new, dielectrophoresis (DEP)-based technique, which enables to apply sufficient and reliable contact to individual nanostructures, like semiconducting nanowires (NW), easily and without the need for lithography. The DEP contacting technique presented in this article can be done without high-tech equipment and monitored in situ with an optical microscope. In the presented experiments, individual SiNWs are trapped and subsequently welded between two photolithographically pre-patterned electrodes by applying varying AC voltages to the electrodes. To proof the quality of these contacts, I-V curves, photoresponse and photoconductivity of a single SiNW were measured. Furthermore, the measured photoconductivity in dependence on the wavelength of illuminated light and was compared with calculations predicting the absorption spectra of an individual SiNW.

  15. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    PubMed

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  16. Strikingly enhanced cooling performance for a micro-cooler using unique Cu nanowire array with high electrical conductivity and fast heat transfer behavior

    NASA Astrophysics Data System (ADS)

    Tan, Ming; Wang, Xiuzhen; Hao, Yanming; Deng, Yuan

    2017-06-01

    It was found that phonons/electrons are less scattered along (1 1 1)-preferred Cu nanowires than in ordinary structure films and that the interface of Cu nanowires electrode and thermoelectric materials are more compatible. Here highly ordered, high-crystal-quality, high-density Cu nanowire array was successfully fabricated by a magnetron sputtering method. The Cu nanowire array was successfully incorporated using mask-assisted deposition technology as electrodes for thin-film thermoelectric coolers, which would greatly improve electrical/thermal transport and enhance performance of micro-coolers. The cooling performance of the micro-cooler with Cu nanowire array electrode is over 200% higher than that of the cooler with ordinary film electrode.

  17. From immobilized cells to motile cells on a bed-of-nails: effects of vertical nanowire array density on cell behaviour

    PubMed Central

    Persson, Henrik; Li, Zhen; Tegenfeldt, Jonas O.; Oredsson, Stina; Prinz, Christelle N.

    2015-01-01

    The field of vertical nanowire array-based applications in cell biology is growing rapidly and an increasing number of applications are being explored. These applications almost invariably rely on the physical properties of the nanowire arrays, creating a need for a better understanding of how their physical properties affect cell behaviour. Here, we investigate the effects of nanowire density on cell migration, division and morphology for murine fibroblasts. Our results show that few nanowires are sufficient to immobilize cells, while a high nanowire spatial density enables a ”bed-of-nails” regime, where cells reside on top of the nanowires and are fully motile. The presence of nanowires decreases the cell proliferation rate, even in the “bed-of-nails” regime. We show that the cell morphology strongly depends on the nanowire density. Cells cultured on low (0.1 μm−2) and medium (1 μm−2) density substrates exhibit an increased number of multi-nucleated cells and micronuclei. These were not observed in cells cultured on high nanowire density substrates (4 μm−2). The results offer important guidelines to minimize cell-function perturbations on nanowire arrays. Moreover, these findings offer the possibility to tune cell proliferation and migration independently by adjusting the nanowire density, which may have applications in drug testing. PMID:26691936

  18. Surface effects on the thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  19. Scalable Direct Writing of Lanthanide-Doped KMnF3 Perovskite Nanowires into Aligned Arrays with Polarized Up-Conversion Emission.

    PubMed

    Shi, Shuo; Sun, Ling-Dong; Xue, Ying-Xian; Dong, Hao; Wu, Ke; Guo, Shi-Chen; Wu, Bo-Tao; Yan, Chun-Hua

    2018-05-09

    The use of one-dimensional nano- and microstructured semiconductor and lanthanide materials is attractive for polarized-light-emission studies. Up-conversion emission from single-nanorod or anisotropic nanoparticles with a degree of polarization has also been discussed. However, microscale arrays of nanoparticles, especially well-aligned one-dimensional nanostructures as well as their up-conversion polarization characterization, have not been investigated yet. Herein, we present a novel and facile paradigm for preparing highly aligned arrays of lanthanide-doped KMnF 3 (KMnF 3 :Ln) perovskite nanowires, which are good candidates for polarized up-conversion emission studies. These perovskite nanowires, with a width of 10 nm and length of a few micrometers, are formed through the oriented attachment of KMnF 3 :Ln nanocubes along the [001] direction. By the employment of KMnF 3 :Ln nanowire gel as nanoink, a direct-writing method is developed to obtain diverse types of aligned patterns from the nanoscale to the wafer scale. Up-conversion emissions from the highly aligned nanowire arrays are polarized along the array direction with a polarization degree up to 60%. Taking advantage of microscopic nanowire arrays, these polarized up-conversion emissions should offer potential applications in light or information transportation.

  20. Piezo-Phototronic Matrix via a Nanowire Array.

    PubMed

    Zhang, Yang; Zhai, Junyi; Wang, Zhong Lin

    2017-12-01

    Piezoelectric semiconductors, such as ZnO and GaN, demonstrate multiproperty coupling effects toward various aspects of mechanical, electrical, and optical excitation. In particular, the three-way coupling among semiconducting, photoexcitation, and piezoelectric characteristics in wurtzite-structured semiconductors is established as a new field, which was first coined as piezo-phototronics by Wang in 2010. The piezo-phototronic effect can controllably modulate the charge-carrier generation, separation, transport, and/or recombination in optical-electronic processes by modifying the band structure at the metal-semiconductor or semiconductor-semiconductor heterojunction/interface. Here, the progress made in using the piezo-phototronic effect for enhancing photodetectors, pressure sensors, light-emitting diodes, and solar cells is reviewed. In comparison with previous works on a single piezoelectric semiconducting nanowire, piezo-phototronic nanodevices built using nanowire arrays provide a promising platform for fabricating integrated optoelectronics with the realization of high-spatial-resolution imaging and fast responsivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  2. Transparent arrays of silver nanowire rings driven by evaporation of sessile droplets

    NASA Astrophysics Data System (ADS)

    Wang, Xiaofeng; Kang, Giho; Seong, Baekhoon; Chae, Illkyeong; Teguh Yudistira, Hadi; Lee, Hyungdong; Kim, Hyunggun; Byun, Doyoung

    2017-11-01

    A coffee-ring pattern can be yielded on the three-phase contact line following evaporation of sessile droplets with suspended insoluble solutes, such as particles, DNA molecules, and mammalian cells. The formation of such coffee-ring, together with their suppression has been applied in printing and coating technologies. We present here an experimental study on the assembly of silver nanowires inside an evaporating droplet of a colloidal suspension. The effects of nanowire length and concentration on coffee-ring formation of the colloidal suspension were investigated. Several sizes of NWs with an aspect ratio between 50 and 1000 were systematically investigated to fabricate coffee-ring patterns. Larger droplets containing shorter nanowires formed clearer ring deposits after evaporation. An order-to-disorder transition of the nanowires’ alignment was found inside the rings. A printing technique with the evaporation process enabled fabrication of arrays of silver nanowire rings. We could manipulate the patterns silver nanowire rings, which might be applied to the transparent and flexible electrode.

  3. Growth of high-aspect ratio horizontally-aligned ZnO nanowire arrays.

    PubMed

    Soman, Pranav; Darnell, Max; Feldman, Marc D; Chen, Shaochen

    2011-08-01

    A method of fabricating horizontally-aligned zinc-oxide (ZnO) nanowire (NW) arrays with full control over the width and length is demonstrated. SEM images reveal the hexagonal structure typical of zinc oxide NWs. Arrays of high-aspect ratio horizontal ZnO NWs are fabricated by making use of the lateral overgrowth from dot patterns created by electron beam lithography (EBL). An array of patterned wires are lifted off and transferred to a flexible PDMS substrate with possible applications in several key nanotechnology areas.

  4. Three-Dimensional Porous Iron Vanadate Nanowire Arrays as a High-Performance Lithium-Ion Battery.

    PubMed

    Cao, Yunhe; Fang, Dong; Liu, Ruina; Jiang, Ming; Zhang, Hang; Li, Guangzhong; Luo, Zhiping; Liu, Xiaoqing; Xu, Jie; Xu, Weilin; Xiong, Chuanxi

    2015-12-23

    Development of three-dimensional nanoarchitectures on current collectors has emerged as an effective strategy for enhancing rate capability and cycling stability of the electrodes. Herein, a new type of three-dimensional porous iron vanadate (Fe0.12V2O5) nanowire arrays on a Ti foil has been synthesized by a hydrothermal method. The as-prepared Fe0.12V2O5 nanowires are about 30 nm in diameter and several micrometers in length. The effect of reaction time on the resulting morphology is investigated and the mechanism for the nanowire formation is proposed. As an electrode material used in lithium-ion batteries, the unique configuration of the Fe0.12V2O5 nanowire arrays presents enhanced capacitance, satisfying rate capability and good cycling stability, as evaluated by cyclic voltammetry and galvanostatic discharge-charge cycling. It delivers a high discharge capacity of 293 mAh·g(-1) at 2.0-3.6 V or 382.2 mAh·g(-1) at 1.0-4.0 V after 50 cycles at 30 mA·g(-1).

  5. Heterogeneous NiCo2O4@polypyrrole core/sheath nanowire arrays on Ni foam for high performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Hu, Jing; Li, Minchan; Lv, Fucong; Yang, Mingyang; Tao, Pengpeng; Tang, Yougen; Liu, Hongtao; Lu, Zhouguang

    2015-10-01

    A novel heterogeneous NiCo2O4@PPy core/sheath nanowire arrays are directly grown on Ni foam involving three facile steps, hydrothermal synthesis and calcination of NiCo2O4 nanowire arrays and subsequent in-situ oxidative polymerization of polypyrrole (PPy). When investigated as binder- and conductive additive-free electrodes for supercapacitors (SCs) in 6 M KOH, the NiCo2O4@PPy core/sheath nanowire arrays exhibit high areal capacitance of 3.49 F cm-2 at a discharge current density of 5 mA cm-2, which is almost 1.5 times as much as the pristine NiCo2O4 (2.30 F cm-2). More importantly, it can remain 3.31 F cm-2 (94.8% retention) after 5000 cycles. The as-obtained electrode also displays excellent rate capability, whose areal capacitance can still remain 2.79 F cm-2 while the discharge current density is increased to 50 mA cm-2. The remarkable electrochemical performance is mainly attributed to the unique heterogeneous core/sheath nanowire-array architectures.

  6. Temperature-dependent structure and phase variation of nickel silicide nanowire arrays prepared by in situ silicidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hailong; She, Guangwei, E-mail: shegw@mail.ipc.ac.cn; Mu, Lixuan

    Graphical abstract: Display Omitted Highlight: ► Nickel silicides nanowire arrays prepared by a simple in situ silicidation method. ► Phases of nickel silicides could be varied by tuning the reaction temperature. ► A growth model was proposed for the nickel silicides nanowires. ► Diffusion rates of Ni and Si play a critical role for the phase variation. -- Abstract: In this paper, we report an in situ silicidizing method to prepare nickel silicide nanowire arrays with varied structures and phases. The in situ reaction (silicidation) between Si and NiCl{sub 2} led to conversion of Si nanowires to nickel silicide nanowires.more » Structures and phases of the obtained nickel silicides could be varied by changing the reaction temperature. At a relatively lower temperature of 700 °C, the products are Si/NiSi core/shell nanowires or NiSi nanowires, depending on the concentration of NiCl{sub 2} solution. At a higher temperature (800 °C and 900 °C), other phases of the nickel silicides, including Ni{sub 2}Si, Ni{sub 31}Si{sub 12}, and NiSi{sub 2}, were obtained. It is proposed that the different diffusion rates of Ni and Si atoms at different temperatures played a critical role in the formation of nickel silicide nanowires with different phases.« less

  7. Engineered ZnO nanowire arrays using different nanopatterning techniques

    NASA Astrophysics Data System (ADS)

    Volk, János; Szabó, Zoltán; Erdélyi, Róbert; Khánh, Nguyen Q.

    2012-02-01

    The impact of various masking patterns and template layers on the wet chemically grown vertical ZnO nanowire arrays was investigated. The nanowires/nanorods were seeded at nucleation windows which were patterned in a mask layer using various techniques such as electron beam lithography, nanosphere photolithography, and atomic force microscope type nanolithography. The compared ZnO templates included single crystals, epitaxial layer, and textured polycrystalline films. Scanning electron microscopy revealed that the alignment and crystal orientation of the nanowires were dictated by the underlying seed layer, while their geometry can be tuned by the parameters of the certain nanopatterning technique and of the wet chemical process. The comparison of the alternative nanolithography techniques showed that using direct writing methods the diameter of the ordered ZnO nanowires can be as low as 30-40 nm at a density of 100- 1000 NW/μm2 in a very limited area (10 μm2-1 mm2). Nanosphere photolithography assisted growth, on the other hand, favors thicker nanopillars (~400 nm) and enables large-area, low-cost patterning (1-100 cm2). These alternative lowtemperature fabrication routes can be used for different novel optoelectronic devices, such as nanorod based ultraviolet photodiode, light emitting device, and waveguide laser.

  8. Aluminum Nanowire Arrays via Soft Nanoimprint Lithography

    NASA Astrophysics Data System (ADS)

    Naughton, Michael J.; Nesbitt, Nathan T.; Merlo, Juan M.; Rose, Aaron H.; Calm, Yitzi M.; D'Imperio, Luke A.; Courtney, Dave T.; Shepard, Steve; Kempa, Krzysztof; Burns, Michael J.

    We have previously reported a method to fabricate freestanding, vertically-oriented, and lithographically-ordered Al nanowire arrays via directed assembly, and demonstrated their utility as a plasmonic waveguide. However, the process, a variation on the preparation of anodized aluminum oxide (AAO), involved imprinting Al with a hard stamp, which wore down the stamp and had a low yield of Al NWs. Here we show a new nanoimprint lithography (NIL) technique that uses a soft stamp to pattern a mask on the Al; it provides a greater yield of Al NWs and is less destructive to the stamp, providing a path to applications that require NW arrays over macroscopic areas. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  9. Magnetization mechanisms in ordered arrays of polycrystalline Fe100-xCox nanowires

    NASA Astrophysics Data System (ADS)

    Viqueira, M. S.; Bajales, N.; Urreta, S. E.; Bercoff, P. G.

    2015-05-01

    Magnetization reversal processes and coercivity mechanisms in polycrystalline Fe100-xCox nanowire arrays, resulting from an AC electrodeposition process, are investigated. The array coercivity is described on the basis of polarization reversal mechanisms operating in individual wires, under the effect of inter-wire dipolar interactions described by a mean field approximation. For individual wires, a reversal mechanism involving the nucleation and further expansion of domain-wall like spin configuration is considered. The wires have a mean grain size larger than both the nanowire diameter and the exchange length, so localized and non-cooperative nucleation modes are considered. As the Co content increases, the alloy saturation polarization gradually decreases, but the coercive field and the relative remanence of the arrays increase, indicating that they are not controlled by the shape anisotropy in all the composition range. The coercive field dependence on the angle between the applied field and the wire long axis is not well described by reversal mechanisms involving nucleation and further displacement of neither vortex nor transverse ideal domain walls. On the contrary, the angular dependence of the coercive field observed at room temperature is well predicted by a model considering nucleation of inverse domains by localized curling, in regions smaller than the grain size, exhibiting quite small aspect ratios as compared to those of the entire nanowire. In arrays with higher Co contents, a transition from an initial (small angle) localized curling nucleation mechanism to another one, involving localized coherent rotation is observed at about π/4.

  10. Interactions and reversal-field memory in complex magnetic nanowire arrays

    NASA Astrophysics Data System (ADS)

    Rotaru, Aurelian; Lim, Jin-Hee; Lenormand, Denny; Diaconu, Andrei; Wiley, John. B.; Postolache, Petronel; Stancu, Alexandru; Spinu, Leonard

    2011-10-01

    Interactions and magnetization reversal of Ni nanowire arrays have been investigated by the first-order reversal curve (FORC) method. Several series of samples with controlled spatial distribution were considered including simple wires of different lengths and diameters (70 and 110 nm) and complex wires with a single modulated diameter along their length. Subtle features of magnetic interactions are revealed through a quantitative analysis of the local interaction field profile distributions obtained from the FORC method. In addition, the FORC analysis indicates that the nanowire systems with a mean diameter of 70 nm appear to be organized in symmetric clusters indicative of a reversal-field memory effect.

  11. Platinum Assisted Vapor–Liquid–Solid Growth of Er–Si Nanowires and Their Optical Properties

    PubMed Central

    2010-01-01

    We report the optical activation of erbium coated silicon nanowires (Er–SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor–liquid–solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core–shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er–SiNWs. PMID:20672113

  12. Platinum assisted vapor-liquid-solid growth of er-si nanowires and their optical properties.

    PubMed

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H; Choi, Heon-Jin

    2009-11-14

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  13. Platinum Assisted Vapor-Liquid-Solid Growth of Er-Si Nanowires and Their Optical Properties

    NASA Astrophysics Data System (ADS)

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H.; Choi, Heon-Jin

    2010-02-01

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  14. Highly organised and dense vertical silicon nanowire arrays grown in porous alumina template on <100> silicon wafers

    PubMed Central

    2013-01-01

    In this work, nanoimprint lithography combined with standard anodization etching is used to make perfectly organised triangular arrays of vertical cylindrical alumina nanopores onto standard <100>−oriented silicon wafers. Both the pore diameter and the period of alumina porous array are well controlled and can be tuned: the periods vary from 80 to 460 nm, and the diameters vary from 15 nm to any required diameter. These porous thin layers are then successfully used as templates for the guided epitaxial growth of organised mono-crystalline silicon nanowire arrays in a chemical vapour deposition chamber. We report the densities of silicon nanowires up to 9 × 109 cm−2 organised in highly regular arrays with excellent diameter distribution. All process steps are demonstrated on surfaces up to 2 × 2 cm2. Specific emphasis was made to select techniques compatible with microelectronic fabrication standards, adaptable to large surface samples and with a reasonable cost. Achievements made in the quality of the porous alumina array, therefore on the silicon nanowire array, widen the number of potential applications for this technology, such as optical detectors or biological sensors. PMID:23773702

  15. Frequency-multiplexed bias and readout of a 16-pixel superconducting nanowire single-photon detector array

    NASA Astrophysics Data System (ADS)

    Doerner, S.; Kuzmin, A.; Wuensch, S.; Charaev, I.; Boes, F.; Zwick, T.; Siegel, M.

    2017-07-01

    We demonstrate a 16-pixel array of microwave-current driven superconducting nanowire single-photon detectors with an integrated and scalable frequency-division multiplexing architecture, which reduces the required number of bias and readout lines to a single microwave feed line. The electrical behavior of the photon-sensitive nanowires, embedded in a resonant circuit, as well as the optical performance and timing jitter of the single detectors is discussed. Besides the single pixel measurements, we also demonstrate the operation of a 16-pixel array with a temporal, spatial, and photon-number resolution.

  16. Ultra-thin g-C{sub 3}N{sub 4} nanosheets wrapped silicon nanowire array for improved chemical stability and enhanced photoresponse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Beibei; Yu, Hongtao; Quan, Xie, E-mail: quanxie@dlut.edu.cn

    2014-11-15

    Highlights: • g-C{sub 3}N{sub 4}, as an oxygen free and metal free protective material for Si, was proposed. • g-C{sub 3}N{sub 4} nanosheets wrapped Si nanowire array was synthesized. • SiNW/g-C{sub 3}N{sub 4} exhibited enhancement of photoelectrochemical stability and photocurrent. - Abstract: In order to inhibit the oxidation of Si materials in aqueous solution, Si nanowire array was wrapped by ultra-thin g-C{sub 3}N{sub 4} nanosheets via an electrophoresis process. Scanning electron microscopy and transmission electron microscopy images showed that g-C{sub 3}N{sub 4} nanosheets were evenly distributed on the surface of Si nanowire array. X-ray diffraction patterns indicated that Si nanowiremore » array/g-C{sub 3}N{sub 4} nanosheets were composed of Si (4 0 0 crystal plane) and g-C{sub 3}N{sub 4} (0 0 2 and 1 0 0 crystal planes). The cyclic voltammetry curves revealed that the corrosion of Si nanowire array was restrained under the protection of g-C{sub 3}N{sub 4} nanosheets. Furthermore, the photocurrent density of Si nanowire array/g-C{sub 3}N{sub 4} nanosheets increased by nearly 3 times compared to that of bare Si nanowire array due to the effective charge separation caused by the built-in electric field at the interface. This work will facilitate the applications of Si materials in aqueous solution, such as solar energy harvest and photocatalytic pollution control.« less

  17. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  18. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces.

    PubMed

    Hong, Ie-Hong; Liao, Yung-Cheng; Tsai, Yung-Feng

    2013-11-05

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process.

  19. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces

    PubMed Central

    2013-01-01

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process. PMID:24188092

  20. Seesaw-like polarized transmission behavior of silver nanowire arrays aligned by off-center spin-coating

    NASA Astrophysics Data System (ADS)

    Kang, Lu; Chen, Hui; Yang, Zhong-Jian; Yuan, Yongbo; Huang, Han; Yang, Bingchu; Gao, Yongli; Zhou, Conghua

    2018-05-01

    Straight silver nanowires were synthesized by accelerated oxidization and then aligned into ordered arrays by off-center spin-coating. Seesaw-like behavior was observed in the polarized transmission spectra of the arrays. With the increment of polarization angle (θP, defined as the angle between axis of nanowires and direction of electric field of light), transmission changed repeatedly with a period of 180°, but it moved to opposite directions between the two regions separated by supporting points locating at 494 nm. The behavior is ascribed to the competition between the extinction behaviors of the two modes of surface plasma polaritons on silver nanowires. One is the longitudinal mode which is excited by long wavelengths and tuned by function of cos2( θ p ) and the other is the transverse mode that is excited by short wavelengths and tuned by function of sin2( θ p ). Simulation was performed based on the finite-difference time domain method. The effect of the nanowire diameter and length (aspect ratio) on the position of the supporting point was studied. As nanowire width increased from 20 nm to 350 nm, the supporting point moved from 400 to 500 nm. While it changed slightly when the nanowire length increased from 3 μm to infinitely long (width fixed at 260 nm). In current study, the position of the supporting point is mainly determined by the nanowire width.

  1. Air-bridged Ohmic contact on vertically aligned si nanowire arrays: application to molecule sensors.

    PubMed

    Han, Hee; Kim, Jungkil; Shin, Ho Sun; Song, Jae Yong; Lee, Woo

    2012-05-02

    A simple, cost-effective, and highly reliable method for constructing an air-bridged electrical contact on large arrays of vertically aligned nanowires was developed. The present method may open up new opportunities for developing advanced nanowire-based devices for energy harvest and storage, power generation, and sensing applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Volumetric Heating of Ultra-High Energy Density Relativistic Plasmas by Ultrafast Laser Irradiation of Aligned Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Bargsten, Clayton; Hollinger, Reed; Shlyaptsev, Vyacheslav; Pukhov, Alexander; Keiss, David; Townsend, Amanda; Wang, Yong; Wang, Shoujun; Prieto, Amy; Rocca, Jorge

    2014-10-01

    We have demonstrated the volumetric heating of near-solid density plasmas to keV temperatures by ultra-high contrast femtosecond laser irradiation of arrays of vertically aligned nanowires with an average density up to 30% solid density. X-ray spectra show that irradiation of Ni and Au nanowire arrays with laser pulses of relativistic intensities ionizes plasma volumes several micrometers in depth to the He-like and Co-like (Au 52 +) stages respectively. The penetration depth of the heat into the nanowire array was measured monitoring He-like Co lines from irradiated arrays in which the nanowires are composed of a Co segment buried under a selected length of Ni. The measurement shows the ionization reaches He-like Co for depth of up to 5 μm within the target. This volumetric plasma heating approach creates a new laboratory plasma regime in which extreme plasma parameters can be accessed with table-top lasers. Scaling to higher laser intensities promises to create plasmas with temperatures and pressures approaching those in the center of the sun. Work supported by the U.S Department of Energy, Fusion Energy Sciences and the Defense Threat Reduction Agency grant HDTRA-1-10-1-0079. A.P was supported by of DFG-funded project TR18.

  3. ALD TiO2 coated silicon nanowires for lithium ion battery anodes with enhanced cycling stability and coulombic efficiency.

    PubMed

    Memarzadeh Lotfabad, Elmira; Kalisvaart, Peter; Cui, Kai; Kohandehghan, Alireza; Kupsta, Martin; Olsen, Brian; Mitlin, David

    2013-08-28

    We demonstrate that silicon nanowire (SiNW) Li-ion battery anodes that are conformally coated with TiO2 using atomic layer deposition (ALD) show a remarkable performance improvement. The coulombic efficiency is increased to ∼99%, among the highest ever reported for SiNWs, as compared to 95% for the baseline uncoated samples. The capacity retention after 100 cycles for the nanocomposite is twice as high as that of the baseline at 0.1 C (60% vs. 30%), and more than three times higher at 5 C (34% vs. 10%). We also demonstrate that the microstructure of the coatings is critically important for achieving this effect. Titanium dioxide coatings with an as-deposited anatase structure are nowhere near as effective as amorphous ones, the latter proving much more resistant to delamination from the SiNW core. We use TEM to demonstrate that upon lithiation the amorphous coating develops a highly dispersed nanostructure comprised of crystalline LiTiO2 and a secondary amorphous phase. Electron energy loss spectroscopy (EELS) combined with bulk and surface analytical techniques are employed to highlight the passivating effect of TiO2, which results in significantly fewer cycling-induced electrolyte decomposition products as compared to the bare nanowires.

  4. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  5. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  6. Fabrication of ordered NiO coated Si nanowire array films as electrodes for a high performance lithium ion battery.

    PubMed

    Qiu, M C; Yang, L W; Qi, X; Li, Jun; Zhong, J X

    2010-12-01

    Highly ordered NiO coated Si nanowire array films are fabricated as electrodes for a high performance lithium ion battery via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The structures and morphologies of as-prepared films are characterized by X-ray diffraction, scanning electron microscopy, and transmission electron microscopy. When the potential window versus lithium was controlled, the coated NiO can be selected to be electrochemically active to store and release Li+ ions, while highly conductive crystalline Si cores function as nothing more than a stable mechanical support and an efficient electrical conducting pathway. The hybrid nanowire array films exhibit superior cyclic stability and reversible capacity compared to that of NiO nanostructured films. Owing to the ease of large-scale fabrication and superior electrochemical performance, these hybrid nanowire array films will be promising anode materials for high performance lithium-ion batteries.

  7. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  8. Highly aligned arrays of high aspect ratio barium titanate nanowires via hydrothermal synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowland, Christopher C.; Zhou, Zhi; Malakooti, Mohammad H.

    2015-06-01

    We report on the development of a hydrothermal synthesis procedure that results in the growth of highly aligned arrays of high aspect ratio barium titanate nanowires. Using a multiple step, scalable hydrothermal reaction, a textured titanium dioxide film is deposited on titanium foil upon which highly aligned nanowires are grown via homoepitaxy and converted to barium titanate. Scanning electron microscope images clearly illustrate the effect the textured film has on the degree of orientation of the nanowires. The alignment of nanowires is quantified by calculating the Herman's Orientation Factor, which reveals a 58% improvement in orientation as compared to growthmore » in the absence of the textured film. The ferroelectric properties of barium titanate combined with the development of this scalable growth procedure provide a powerful route towards increasing the efficiency and performance of nanowire-based devices in future real-world applications such as sensing and power harvesting.« less

  9. Surface plasmon aided high sensitive non-enzymatic glucose sensor using Au/NiAu multilayered nanowire arrays.

    PubMed

    Wang, Lanfang; Zhu, Weiqi; Lu, Wenbo; Qin, Xiufang; Xu, Xiaohong

    2018-07-15

    A novel plasmon aided non-enzymatic glucose sensor was first constructed based on the unique half-rough Au/NiAu multilayered nanowire arrays. These multilayered and half-rough nanowires provide high chemical activity and large surface area for glucose oxidation in an alkaline solution. Under visible light irradiation, the surface plasmons originated from Au part enhance the electron transfer in the vertically aligned nanowires, leading to high sensitivity and wide detection range. The resulting sensor exhibits a wide glucose detection concentration range, low detection limit, and high sensitivity for plasmon aided non-enzymatic glucose sensor. Moreover, the detection sensitivity is enhanced by almost 2 folds compared to that in the dark, which significantly enhanced the performance of Au/NiAu multilayered nanowire arrays sensor. An excellent selectivity and acceptable stability were also achieved. These results indicate that surface plasmon aided nanostructures are promising new platforms for the construction of non-enzymatic glucose sensors. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. Ultrahigh Density Array of Vertically Aligned Small-molecular Organic Nanowires on Arbitrary Substrates

    PubMed Central

    Starko-Bowes, Ryan; Pramanik, Sandipan

    2013-01-01

    In recent years π-conjugated organic semiconductors have emerged as the active material in a number of diverse applications including large-area, low-cost displays, photovoltaics, printable and flexible electronics and organic spin valves. Organics allow (a) low-cost, low-temperature processing and (b) molecular-level design of electronic, optical and spin transport characteristics. Such features are not readily available for mainstream inorganic semiconductors, which have enabled organics to carve a niche in the silicon-dominated electronics market. The first generation of organic-based devices has focused on thin film geometries, grown by physical vapor deposition or solution processing. However, it has been realized that organic nanostructures can be used to enhance performance of above-mentioned applications and significant effort has been invested in exploring methods for organic nanostructure fabrication. A particularly interesting class of organic nanostructures is the one in which vertically oriented organic nanowires, nanorods or nanotubes are organized in a well-regimented, high-density array. Such structures are highly versatile and are ideal morphological architectures for various applications such as chemical sensors, split-dipole nanoantennas, photovoltaic devices with radially heterostructured "core-shell" nanowires, and memory devices with a cross-point geometry. Such architecture is generally realized by a template-directed approach. In the past this method has been used to grow metal and inorganic semiconductor nanowire arrays. More recently π-conjugated polymer nanowires have been grown within nanoporous templates. However, these approaches have had limited success in growing nanowires of technologically important π-conjugated small molecular weight organics, such as tris-8-hydroxyquinoline aluminum (Alq3), rubrene and methanofullerenes, which are commonly used in diverse areas including organic displays, photovoltaics, thin film transistors

  11. Ultrahigh density array of vertically aligned small-molecular organic nanowires on arbitrary substrates.

    PubMed

    Starko-Bowes, Ryan; Pramanik, Sandipan

    2013-06-18

    In recent years π-conjugated organic semiconductors have emerged as the active material in a number of diverse applications including large-area, low-cost displays, photovoltaics, printable and flexible electronics and organic spin valves. Organics allow (a) low-cost, low-temperature processing and (b) molecular-level design of electronic, optical and spin transport characteristics. Such features are not readily available for mainstream inorganic semiconductors, which have enabled organics to carve a niche in the silicon-dominated electronics market. The first generation of organic-based devices has focused on thin film geometries, grown by physical vapor deposition or solution processing. However, it has been realized that organic nanostructures can be used to enhance performance of above-mentioned applications and significant effort has been invested in exploring methods for organic nanostructure fabrication. A particularly interesting class of organic nanostructures is the one in which vertically oriented organic nanowires, nanorods or nanotubes are organized in a well-regimented, high-density array. Such structures are highly versatile and are ideal morphological architectures for various applications such as chemical sensors, split-dipole nanoantennas, photovoltaic devices with radially heterostructured "core-shell" nanowires, and memory devices with a cross-point geometry. Such architecture is generally realized by a template-directed approach. In the past this method has been used to grow metal and inorganic semiconductor nanowire arrays. More recently π-conjugated polymer nanowires have been grown within nanoporous templates. However, these approaches have had limited success in growing nanowires of technologically important π-conjugated small molecular weight organics, such as tris-8-hydroxyquinoline aluminum (Alq3), rubrene and methanofullerenes, which are commonly used in diverse areas including organic displays, photovoltaics, thin film transistors

  12. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-01

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  13. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions.

    PubMed

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-25

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  14. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  15. Electrodeposition of Rhodium Nanowires Arrays and Their Morphology-Dependent Hydrogen Evolution Activity

    PubMed Central

    Zhang, Liqiu; Liu, Lichun; Wang, Hongdan; Shen, Hongxia; Cheng, Qiong; Yan, Chao; Park, Sungho

    2017-01-01

    This work reports on the electrodeposition of rhodium (Rh) nanowires with a controlled surface morphology synthesized using an anodic aluminum oxide (AAO) template. Vertically aligned Rh nanowires with a smooth and coarse morphology were successfully deposited by adjusting the electrode potential and the concentration of precursor ions and by involving a complexing reagent in the electrolyte solution. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses were used to follow the morphological evolution of Rh nanowires. As a heterogeneous electrocatalyst for hydrogen evolution reactions (HER), the coarse Rh nanowire array exhibited an enhanced catalytic performance respect to smooth ones due to the larger surface area to mass ratio and the higher density of catalytically active defects, as evidenced by voltammetric measurements and TEM. Results suggest that the morphology of metallic nanomaterials could be readily engineered by electrodeposition. The controlled electrodeposition offers great potential for the development of an effective synthesis tool for heterogeneous catalysts with a superior performance for wide applications. PMID:28467375

  16. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  17. Ordered arrays of Ni magnetic nanowires: Synthesis and investigation

    NASA Astrophysics Data System (ADS)

    Napolskii, K. S.; Eliseev, A. A.; Yesin, N. V.; Lukashin, A. V.; Tretyakov, Yu. D.; Grigorieva, N. A.; Grigoriev, S. V.; Eckerlebe, H.

    2007-03-01

    The present study is focused on the synthesis and investigation of anodic aluminum oxide (AAO) films and magnetic nanocomposites Ni/AAO obtained by Ni electrodeposition into porous matrix. AAO membranes and magnetic nanocomposites were investigated by HRSEM, EDX microanalysis, XRD, nitrogen capillary adsorption method, SQUID magnetometry, and polarized small-angle neutron scattering (SANS). The influence of synthesis conditions and form factor effect on the magnetic properties of nanowire arrays is reported.

  18. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  19. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  20. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  1. A top-down approach to fabrication of high quality vertical heterostructure nanowire arrays.

    PubMed

    Wang, Hua; Sun, Minghua; Ding, Kang; Hill, Martin T; Ning, Cun-Zheng

    2011-04-13

    We demonstrate a novel top-down approach for fabricating nanowires with unprecedented complexity and optical quality by taking advantage of a nanoscale self-masking effect. We realized vertical arrays of nanowires of 20-40 nm in diameter with 16 segments of complex longitudinal InGaAsP/InP structures. The unprecedented high quality of etched wires is evidenced by the narrowest photoluminescence linewidth ever produced in similar wavelengths, indistinguishable from that of the corresponding wafer. This top-down, mask-free, large scale approach is compatible with the established device fabrication processes and could serve as an important alternative to the bottom-up approach, significantly expanding ranges and varieties of applications of nanowire technology.

  2. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  3. Low Power Consumption Gas Sensor Created from Silicon Nanowires/TiO2 Core-Shell Heterojunctions.

    PubMed

    Liu, Dong; Lin, Leimiao; Chen, Qiaofen; Zhou, Hongzhi; Wu, Jianmin

    2017-10-27

    Silicon nanowires/TiO 2 (SiNWs/TiO 2 ) array with core-shell nanostructure was created by sol-gel and drop-casting methods. The hybrid material displayed excellent sensing performance for CH 4 detection at room temperature. The chemiresistor sensor has a linear response toward CH 4 gas in the 30-120 ppm range with a detection limit of 20 ppm, which is well below most CH 4 sensors reported before. The enhanced gas sensing performance at room temperature was attributed to the creation of heterojunctions that form a depletion layer at the interface of SiNWs and TiO 2 layer. Adsorption of oxygen and corresponding gas analyte on TiO 2 layer could induce the change of depletion layer thickness and consequently the width of the SiNWs conductive channel, leading to a sensitive conductive response toward gas analyte. Compared to conventional metal oxide gas sensors, the room temperature gas sensors constructed from SiNWs/TiO 2 do not need an additional heating device and work at power at the μW level. The low power consumption feature is of great importance for sensing devices, if they are widely deployed and connected to the Internet of Things. The innovation of room temperature sensing materials may push forward the integration of gas sensing element with wireless device.

  4. Energy Penetration into Arrays of Aligned Nanowires Irradiated with Relativistic Intensities: Scaling to Terabar Pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela

    Ultra-high-energy-density (UHED) matter, characterized by energy densities > 1 x 10 8 J cm -3 and pressures greater than a gigabar, is encountered in the center of stars and in inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultra-high contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. Here we report the measurement of the key physical process in determining the energy density deposited in high aspect ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Nimore » nanowire arrays irradiated at an intensity of 4 x 10 19 W cm -2, we demonstrate energy penetration depths of several μm, leading to UHED plasmas of that size. Relativistic 3D particle-in-cell-simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of > 1 x 10 22 W cm -2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 x 10 10 J cm -3, equivalent to a pressure of 0.35 Tbar.« less

  5. Plasma nitriding induced growth of Pt-nanowire arrays as high performance electrocatalysts for fuel cells

    NASA Astrophysics Data System (ADS)

    Du, Shangfeng; Lin, Kaijie; Malladi, Sairam K.; Lu, Yaxiang; Sun, Shuhui; Xu, Qiang; Steinberger-Wilckens, Robert; Dong, Hanshan

    2014-09-01

    In this work, we demonstrate an innovative approach, combing a novel active screen plasma (ASP) technique with green chemical synthesis, for a direct fabrication of uniform Pt nanowire arrays on large-area supports. The ASP treatment enables in-situ N-doping and surface modification to the support surface, significantly promoting the uniform growth of tiny Pt nuclei which directs the growth of ultrathin single-crystal Pt nanowire (2.5-3 nm in diameter) arrays, forming a three-dimensional (3D) nano-architecture. Pt nanowire arrays in-situ grown on the large-area gas diffusion layer (GDL) (5 cm2) can be directly used as the catalyst electrode in fuel cells. The unique design brings in an extremely thin electrocatalyst layer, facilitating the charge transfer and mass transfer properties, leading to over two times higher power density than the conventional Pt nanoparticle catalyst electrode in real fuel cell environment. Due to the similar challenges faced with other nanostructures and the high availability of ASP for other material surfaces, this work will provide valuable insights and guidance towards the development of other new nano-architectures for various practical applications.

  6. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures.

    PubMed

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela; Kaymak, Vural; Pukhov, Alexander; Wang, Shoujun; Rockwood, Alex; Wang, Yong; Keiss, David; Tommasini, Riccardo; London, Richard; Park, Jaebum; Busquet, Michel; Klapisch, Marcel; Shlyaptsev, Vyacheslav N; Rocca, Jorge J

    2017-01-01

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 10 8 J cm -3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world's largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated at an intensity of 4 × 10 19 W cm -2 , we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. Relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 10 22 W cm -2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 10 10 J cm -3 , equivalent to a pressure of 0.35 Tbar.

  7. High-quality metal oxide core/shell nanowire arrays on conductive substrates for electrochemical energy storage.

    PubMed

    Xia, Xinhui; Tu, Jiangping; Zhang, Yongqi; Wang, Xiuli; Gu, Changdong; Zhao, Xin-Bing; Fan, Hong Jin

    2012-06-26

    The high performance of a pseudocapacitor electrode relies largely on a scrupulous design of nanoarchitectures and smart hybridization of bespoke active materials. We present a powerful two-step solution-based method for the fabrication of transition metal oxide core/shell nanostructure arrays on various conductive substrates. Demonstrated examples include Co(3)O(4) or ZnO nanowire core and NiO nanoflake shells with a hierarchical and porous morphology. The "oriented attachment" and "self-assembly" crystal growth mechanisms are proposed to explain the formation of the NiO nanoflake shell. Supercapacitor electrodes based on the Co(3)O(4)/NiO nanowire arrays on 3D macroporous nickel foam are thoroughly characterized. The electrodes exhibit a high specific capacitance of 853 F/g at 2 A/g after 6000 cycles and an excellent cycling stability, owing to the unique porous core/shell nanowire array architecture, and a rational combination of two electrochemically active materials. Our growth approach offers a new technique for the design and synthesis of transition metal oxide or hydroxide hierarchical nanoarrays that are promising for electrochemical energy storage, catalysis, and gas sensing applications.

  8. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures

    PubMed Central

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela; Kaymak, Vural; Pukhov, Alexander; Wang, Shoujun; Rockwood, Alex; Wang, Yong; Keiss, David; Tommasini, Riccardo; London, Richard; Park, Jaebum; Busquet, Michel; Klapisch, Marcel; Shlyaptsev, Vyacheslav N.; Rocca, Jorge J.

    2017-01-01

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 108 J cm−3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated at an intensity of 4 × 1019 W cm−2, we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. Relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 1022 W cm−2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 1010 J cm−3, equivalent to a pressure of 0.35 Tbar. PMID:28097218

  9. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  10. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  11. Engineering in-plane silicon nanowire springs for highly stretchable electronics

    NASA Astrophysics Data System (ADS)

    Xue, Zhaoguo; Dong, Taige; Zhu, Zhimin; Zhao, Yaolong; Sun, Ying; Yu, Linwei

    2018-01-01

    Crystalline silicon (c-Si) is unambiguously the most important semiconductor that underpins the development of modern microelectronics and optoelectronics, though the rigid and brittle nature of bulk c-Si makes it difficult to implement directly for stretchable applications. Fortunately, the one-dimensional (1D) geometry, or the line-shape, of Si nanowire (SiNW) can be engineered into elastic springs, which indicates an exciting opportunity to fabricate highly stretchable 1D c-Si channels. The implementation of such line-shape-engineering strategy demands both a tiny diameter of the SiNWs, in order to accommodate the strains under large stretching, and a precise growth location, orientation and path control to facilitate device integration. In this review, we will first introduce the recent progresses of an in-plane self-assembly growth of SiNW springs, via a new in-plane solid-liquid-solid (IPSLS) mechanism, where mono-like but elastic SiNW springs are produced by surface-running metal droplets that absorb amorphous Si thin film as precursor. Then, the critical growth control and engineering parameters, the mechanical properties of the SiNW springs and the prospects of developing c-Si based stretchable electronics, will be addressed. This efficient line-shape-engineering strategy of SiNW springs, accomplished via a low temperature batch-manufacturing, holds a strong promise to extend the legend of modern Si technology into the emerging stretchable electronic applications, where the high carrier mobility, excellent stability and established doping and passivation controls of c-Si can be well inherited. Project supported by the National Basic Research 973 Program (No. 2014CB921101), the National Natural Science Foundation of China (No. 61674075), the National Key Research and Development Program of China (No. 2017YFA0205003), the Jiangsu Excellent Young Scholar Program (No. BK20160020), the Scientific and Technological Support Program in Jiangsu Province (No. BE

  12. Rapid Synthesis of Thin and Long Mo17O47 Nanowire-Arrays in an Oxygen Deficient Flame

    PubMed Central

    Allen, Patrick; Cai, Lili; Zhou, Lite; Zhao, Chenqi; Rao, Pratap M.

    2016-01-01

    Mo17O47 nanowire-arrays are promising active materials and electrically-conductive supports for batteries and other devices. While high surface area resulting from long, thin, densely packed nanowires generally leads to improved performance in a wide variety of applications, the Mo17O47 nanowire-arrays synthesized previously by electrically-heated chemical vapor deposition under vacuum conditions were relatively thick and short. Here, we demonstrate a method to grow significantly thinner and longer, densely packed, high-purity Mo17O47 nanowire-arrays with diameters of 20–60 nm and lengths of 4–6 μm on metal foil substrates using rapid atmospheric flame vapor deposition without any chamber or walls. The atmospheric pressure and 1000 °C evaporation temperature resulted in smaller diameters, longer lengths and order-of-magnitude faster growth rate than previously demonstrated. As explained by kinetic and thermodynamic calculations, the selective synthesis of high-purity Mo17O47 nanowires is achieved due to low oxygen partial pressure in the flame products as a result of the high ratio of fuel to oxidizer supplied to the flame, which enables the correct ratio of MoO2 and MoO3 vapor concentrations for the growth of Mo17O47. This flame synthesis method is therefore a promising route for the growth of composition-controlled one-dimensional metal oxide nanomaterials for many applications. PMID:27271194

  13. Hierarchical Mesoporous Zinc-Nickel-Cobalt Ternary Oxide Nanowire Arrays on Nickel Foam as High-Performance Electrodes for Supercapacitors.

    PubMed

    Wu, Chun; Cai, Junjie; Zhang, Qiaobao; Zhou, Xiang; Zhu, Ying; Shen, Pei Kang; Zhang, Kaili

    2015-12-09

    Nickel foam supported hierarchical mesoporous Zn-Ni-Co ternary oxide (ZNCO) nanowire arrays are synthesized by a simple two-step approach including a hydrothermal method and subsequent calcination process and directly utilized for supercapacitive investigation for the first time. The nickel foam supported hierarchical mesoporous ZNCO nanowire arrays possess an ultrahigh specific capacitance value of 2481.8 F g(-1) at 1 A g(-1) and excellent rate capability of about 91.9% capacitance retention at 5 A g(-1). More importantly, an asymmetric supercapacitor with a high energy density (35.6 Wh kg(-1)) and remarkable cycle stability performance (94% capacitance retention over 3000 cycles) is assembled successfully by employing the ZNCO electrode as positive electrode and activated carbon as negative electrode. The remarkable electrochemical behaviors demonstrate that the nickel foam supported hierarchical mesoporous ZNCO nanowire array electrodes are highly desirable for application as advanced supercapacitor electrodes.

  14. Enhanced Performance of Photoelectrochemical Water Splitting with ITO@α-Fe2O3 Core-Shell Nanowire Array as Photoanode.

    PubMed

    Yang, Jie; Bao, Chunxiong; Yu, Tao; Hu, Yingfei; Luo, Wenjun; Zhu, Weidong; Fu, Gao; Li, Zhaosheng; Gao, Hao; Li, Faming; Zou, Zhigang

    2015-12-09

    Hematite (α-Fe2O3) is one of the most promising candidates for photoelectrodes in photoelectrochemical water splitting system. However, the low visible light absorption coefficient and short hole diffusion length of pure α-Fe2O3 limits the performance of α-Fe2O3 photoelectrodes in water splitting. Herein, to overcome these drawbacks, single-crystalline tin-doped indium oxide (ITO) nanowire core and α-Fe2O3 nanocrystal shell (ITO@α-Fe2O3) electrodes were fabricated by covering the chemical vapor deposited ITO nanowire array with compact thin α-Fe2O3 nanocrystal film using chemical bath deposition (CBD) method. The J-V curves and IPCE of ITO@α-Fe2O3 core-shell nanowire array electrode showed nearly twice as high performance as those of the α-Fe2O3 on planar Pt-coated silicon wafers (Pt/Si) and on planar ITO substrates, which was considered to be attributed to more efficient hole collection and more loading of α-Fe2O3 nanocrystals in the core-shell structure than planar structure. Electrochemical impedance spectra (EIS) characterization demonstrated a low interface resistance between α-Fe2O3 and ITO nanowire arrays, which benefits from the well contact between the core and shell. The stability test indicated that the prepared ITO@α-Fe2O3 core-shell nanowire array electrode was stable under AM1.5 illumination during the test period of 40,000 s.

  15. Polyaniline nanowire array encapsulated in titania nanotubes as a superior electrode for supercapacitors

    NASA Astrophysics Data System (ADS)

    Xie, Keyu; Li, Jie; Lai, Yanqing; Zhang, Zhi'an; Liu, Yexiang; Zhang, Guoge; Huang, Haitao

    2011-05-01

    Conducting polymer with 1D nanostructure exhibits excellent electrochemical performances but a poor cyclability that limits its use in supercapacitors. In this work, a novel composite electrode made of polyaniline nanowire-titania nanotube array was synthesized via a simple and inexpensive electrochemical route by electropolymerizing aniline onto an anodized titania nanotube array. The specific capacitance was as high as 732 F g-1 at 1 A g-1, which remained at 543 F g-1 when the current density was increased by 20 times. 74% of the maximum energy density (36.6 Wh kg-1) was maintained even at a high power density of 6000 W kg-1. An excellent long cycle life of the electrode was observed with a retention of ~86% of the initial specific capacitance after 2000 cycles. The good electrochemical performance was attributed to the unique microstructure of the electrode with disordered PANI nanowire arrays encapsulated inside the TiO2 nanotubes, providing high surface area, fast diffusion path for ions and long-term cycle stability. Such a nanocomposite electrode is attractive for supercapacitor applications.

  16. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE PAGES

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor; ...

    2018-04-04

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  17. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  18. Light trapping and surface plasmon enhanced high-performance NIR photodetector

    PubMed Central

    Luo, Lin-Bao; Zeng, Long-Hui; Xie, Chao; Yu, Yong-Qiang; Liang, Feng-Xia; Wu, Chun-Yan; Wang, Li; Hu, Ji-Gang

    2014-01-01

    Heterojunctions near infrared (NIR) photodetectors have attracted increasing research interests for their wide-ranging applications in many areas such as military surveillance, target detection, and light vision. A high-performance NIR light photodetector was fabricated by coating the methyl-group terminated Si nanowire array with plasmonic gold nanoparticles (AuNPs) decorated graphene film. Theoretical simulation based on finite element method (FEM) reveals that the AuNPs@graphene/CH3-SiNWs array device is capable of trapping the incident NIR light into the SiNWs array through SPP excitation and coupling in the AuNPs decorated graphene layer. What is more, the coupling and trapping of freely propagating plane waves from free space into the nanostructures, and surface passivation contribute to the high on-off ratio as well. PMID:24468857

  19. Enhancing absorption in coated semiconductor nanowire/nanorod core-shell arrays using active host matrices

    NASA Astrophysics Data System (ADS)

    Jule, Leta; Dejene, Francis; Roro, Kittessa

    2016-12-01

    In the present work, we investigated theoretically and experimentally the interaction of radiation field phenomena interacting with arrays of nanowire/nanorod core-shell embedded in active host matrices. The optical properties of composites are explored including the case when the absorption of propagating wave by dissipative component is completely compensated by amplification in active (lasing) medium. On the basis of more elaborated modeling approach and extended effective medium theory, the effective polarizability and the refractive index of electromagnetic mode dispersion of the core-shell nanowire arrays are derived. ZnS(shell)-coated by sulphidation process on ZnO(shell) nanorod arrays grown on (100) silicon substrate by chemical bath deposition (CBD) has been used for theoretical comparison. Compared with the bare ZnO nanorods, ZnS-coated core/shell nanorods exhibit a strongly reduced ultraviolet (UV) emission and a dramatically enhanced deep level (DL) emission. Obviously, the UV and DL emission peaks are attributed to the emissions of ZnO nanorods within ZnO/ZnS core/shell nanorods. The reduction of UV emission after ZnS coating seems to agree with the charge separation mechanism of type-II band alignment that holes transfer from the core to shell, which would quench the UV emission to a certain extent. Our theoretical calculations and numerical simulation demonstrate that the use of active host (amplifying) medium to compensate absorption at metallic inclusions. Moreover the core-shell nanorod/nanowire arrays create the opportunity for broad band absorption and light harvesting applications.

  20. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    PubMed

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  1. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    PubMed Central

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Myong Kim, Dong; Hwan Kim, Dae; Choi, Sung-Jin

    2015-01-01

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 105 times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 105 with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density. PMID:26197105

  2. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 10 8 J cm –3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated atmore » an intensity of 4 × 10 19 W cm –2, we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. As a result, relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 10 22 W cm –2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 10 10 J cm –3, equivalent to a pressure of 0.35 Tbar.« less

  3. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures

    DOE PAGES

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela; ...

    2017-01-11

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 10 8 J cm –3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated atmore » an intensity of 4 × 10 19 W cm –2, we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. As a result, relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 10 22 W cm –2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 10 10 J cm –3, equivalent to a pressure of 0.35 Tbar.« less

  4. Energy Density in Aligned Nanowire Arrays Irradiated with Relativistic Intensities: Path to Terabar Pressure Plasmas

    NASA Astrophysics Data System (ADS)

    Rocca, J.; Bargsten, C.; Hollinger, R.; Shylaptsev, V.; Wang, S.; Rockwood, A.; Wang, Y.; Keiss, D.; Capeluto, M.; Kaymak, V.; Pukhov, A.; Tommasini, R.; London, R.; Park, J.

    2016-10-01

    Ultra-high-energy-density (UHED) plasmas, characterized by energy densities >1 x 108 J cm-3 and pressures greater than a gigabar are encountered in the center of stars and in inertial confinement fusion capsules driven by the world's largest lasers. Similar conditions can be obtained with compact, ultra-high contrast, femtosecond lasers focused to relativistic intensities onto aligned nanowire array targets. Here we report the measurement of the key physical process in determining the energy density deposited in high aspect ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated at an intensity of 4 x 1019 W cm-2, we demonstrate energy penetration depths of several μm, leading to UHED plasmas of that size. Relativistic 3D particle-in-cell-simulations validated by these measurements predict that irradiation of nanostructures at increased intensity will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 x 1010 J cm-3, equivalent to a pressure of 0.35 Tbar. This work was supported by the Fusion Energy Program, Office of Science of the U.S Department of Energy, and by the Defense Threat Reduction Agency.

  5. A Novel Bimetallic NiMo Carbide Nanowire Array for Efficient Hydrogen Evolution.

    PubMed

    Guo, Lixia; Wang, Jianying; Teng, Xue; Liu, Yangyang; He, Xiaoming; Chen, Zuofeng

    2018-06-12

    Design and fabrication of noble metal-free hydrogen evolution electrocatalysts with high activity is significant to future renewable energy systems. In this work, self-supported NiMo carbide nanowires have been developed on carbon cloth (Ni3Mo3C@NPC NWs/CC; NPC is N,P-doped carbon) through an electropolymerization-assisted procedure. During the synthesis process, NiMoO4 nanowires were first grown on CC through a hydrothermal reaction which is free of any polymer binder like Nafion. The as-prepared NiMoO4 NWs/CC was then coated by a layer of polypyrole (PPy) by electropolymerization that serves as carbon source for the subsequent conversion to Ni3Mo3C@NPC NWs/CC by carbothermal reduction. The experimental results indicate that the judicious choices of the amount of coated PPy and the pyrolysis temperature are essential for obtaining pure phase and nanowire array structure of Ni3Mo3C@NPC NWs/CC. Benefitting from the pure phase of bimetallic carbide, the unique architecture of nanowire array and the self-supported merit, the optimized Ni3Mo3C@NPC NWs/CC electrode exhibits excellent HER performance in both acidic and alkaline media. It requires low overpotentials of 161 mV and 215 mV to afford a high current density of 100 mA cm-2 toward the HER in acidic and alkaline media, respectively, and the catalytic activity is maintained for at least 48 h, which makes it among the best HER electrocatalysts based on metallic carbides yet reported. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. In situ fabrication of Ni-Co (oxy)hydroxide nanowire-supported nanoflake arrays and their application in supercapacitors.

    PubMed

    Zheng, Xiaoyu; Quan, Honglin; Li, Xiaoxin; He, Hai; Ye, Qinglan; Xu, Xuetang; Wang, Fan

    2016-09-29

    Three-dimensional (3D) hybrid nanostructured arrays grown on a flexible substrate have recently attracted great attention owing to their potential application as supercapacitor electrodes in portable and wearable electronic devices. Here, we report an in situ conversion of Ni-Co active electrode materials for the fabrication of high-performance electrodes. Ni-Co carbonate hydroxide nanowire arrays on carbon cloth were initially synthesized via a hydrothermal method, and they were gradually converted to Ni-Co (oxy)hydroxide nanowire-supported nanoflake arrays after soaking in an alkaline solution. The evolution of the supercapacitor performance of the soaked electrode was investigated in detail. The areal capacitance increases from 281 mF cm -2 at 1 mA cm -2 to 3710 and 3900 mF cm -2 after soaking for 36 h and 48 h, respectively. More interestingly, the electrode also shows an increased capacitance with charge/discharge cycles due to the long-time soaking in KOH solution, suggesting novel cycling durability. The enhancement in capacitive performance should be related to the formation of a unique nanowire-supported nanoflake array architecture, which controls the agglomeration of nanoflakes, making them fully activated. As a result, the facile in situ fabrication of the hybrid architectural design in this study provides a new approach to fabricate high-performance Ni/Co based hydroxide nanostructure arrays for next-generation energy storage devices.

  7. Controllable fabrication of ultrafine oblique organic nanowire arrays and their application in energy harvesting

    NASA Astrophysics Data System (ADS)

    Zhang, Lu; Cheng, Li; Bai, Suo; Su, Chen; Chen, Xiaobo; Qin, Yong

    2015-01-01

    Ultrafine organic nanowire arrays (ONWAs) with a controlled direction were successfully fabricated by a novel one-step Faraday cage assisted plasma etching method. The mechanism of formation of nanowire arrays is proposed; the obliquity and aspect ratio can be accurately controlled from approximately 0° to 90° via adjusting the angle of the sample and the etching time, respectively. In addition, the ONWAs were further utilized to improve the output of the triboelectric nanogenerator (TENG). Compared with the output of TENG composed of vertical ONWAs, the open-circuit voltage, short-circuit current and inductive charges were improved by 73%, 150% and 98%, respectively. This research provides a convenient and practical method to fabricate ONWAs with various obliquities on different materials, which can be used for energy harvesting.

  8. Controllable fabrication of ultrafine oblique organic nanowire arrays and their application in energy harvesting.

    PubMed

    Zhang, Lu; Cheng, Li; Bai, Suo; Su, Chen; Chen, Xiaobo; Qin, Yong

    2015-01-28

    Ultrafine organic nanowire arrays (ONWAs) with a controlled direction were successfully fabricated by a novel one-step Faraday cage assisted plasma etching method. The mechanism of formation of nanowire arrays is proposed; the obliquity and aspect ratio can be accurately controlled from approximately 0° to 90° via adjusting the angle of the sample and the etching time, respectively. In addition, the ONWAs were further utilized to improve the output of the triboelectric nanogenerator (TENG). Compared with the output of TENG composed of vertical ONWAs, the open-circuit voltage, short-circuit current and inductive charges were improved by 73%, 150% and 98%, respectively. This research provides a convenient and practical method to fabricate ONWAs with various obliquities on different materials, which can be used for energy harvesting.

  9. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  10. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  11. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    PubMed

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  12. Preparation and electrochemistry of Pd-Ni/Si nanowire nanocomposite catalytic anode for direct ethanol fuel cell.

    PubMed

    Miao, Fengjuan; Tao, Bairui; Chu, Paul K

    2012-04-28

    A new silicon-based anode suitable for direct ethanol fuel cells (DEFCs) is described. Pd-Ni nanoparticles are coated on Si nanowires (SiNWs) by electroless co-plating to form the catalytic materials. The electrocatalytic properties of the SiNWs and ethanol oxidation on the Pd-Ni catalyst (Pd-Ni/SiNWs) are investigated electrochemically. The effects of temperature and working potential limit in the anodic direction on ethanol oxidation are studied by cyclic voltammetry. The Pd-Ni/SiNWs electrode exhibits higher electrocatalytic activity and better long-term stability in an alkaline solution. It also yields a larger current density and negative onset potential thus boding well for its application to fuel cells. This journal is © The Royal Society of Chemistry 2012

  13. Copper Antimonide Nanowire Array Lithium Ion Anodes Stabilized by Electrolyte Additives.

    PubMed

    Jackson, Everett D; Prieto, Amy L

    2016-11-09

    Nanowires of electrochemically active electrode materials for lithium ion batteries represent a unique system that allows for intensive investigations of surface phenomena. In particular, highly ordered nanowire arrays produced by electrodeposition into anodic aluminum oxide templates can lead to new insights into a material's electrochemical performance by providing a high-surface-area electrode with negligible volume expansion induced pulverization. Here we show that for the Li-Cu x Sb ternary system, stabilizing the surface chemistry is the most critical factor for promoting long electrode life. The resulting solid electrolyte interphase is analyzed using a mix of electron microscopy, X-ray photoelectron spectroscopy, and lithium ion battery half-cell testing to provide a better understanding of the importance of electrolyte composition on this multicomponent alloy anode material.

  14. Electrochemical properties of high-power supercapacitors using ordered NiO coated Si nanowire array electrodes

    NASA Astrophysics Data System (ADS)

    Lu, Fang; Qiu, Mengchun; Qi, Xiang; Yang, Liwen; Yin, Jinjie; Hao, Guolin; Feng, Xiang; Li, Jun; Zhong, Jianxin

    2011-08-01

    Highly ordered NiO coated Si nanowire arrays are fabricated as electrode materials for electrochemical supercapacitors (ES) via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The electrochemical tests reveal that the constructed electrode has superior electrical conductibility and more active sites per unit area for chemical reaction processes, thereby possessing good cycle stability, high specific capacity, and low internal resistance. The specific capacity is up to 787.5 F g-1 at a discharge current of 2.5 mA and decreases slightly with 4.039% loss after 500 cycles, while the equivalent internal resistance is ˜3.067 Ω. Owing to its favorable electrochemical performance, this ordered hybrid array nanostructure is a promising electrode material in future commercial ES.

  15. Life cycle environmental impact of high-capacity lithium ion battery with silicon nanowires anode for electric vehicles.

    PubMed

    Li, Bingbing; Gao, Xianfeng; Li, Jianyang; Yuan, Chris

    2014-01-01

    Although silicon nanowires (SiNW) have been widely studied as an ideal material for developing high-capacity lithium ion batteries (LIBs) for electric vehicles (EVs), little is known about the environmental impacts of such a new EV battery pack during its whole life cycle. This paper reports a life cycle assessment (LCA) of a high-capacity LIB pack using SiNW prepared via metal-assisted chemical etching as anode material. The LCA study is conducted based on the average U.S. driving and electricity supply conditions. Nanowastes and nanoparticle emissions from the SiNW synthesis are also characterized and reported. The LCA results show that over 50% of most characterized impacts are generated from the battery operations, while the battery anode with SiNW material contributes to around 15% of global warming potential and 10% of human toxicity potential. Overall the life cycle impacts of this new battery pack are moderately higher than those of conventional LIBs but could be actually comparable when considering the uncertainties and scale-up potential of the technology. These results are encouraging because they not only provide a solid base for sustainable development of next generation LIBs but also confirm that appropriate nanomanufacturing technologies could be used in sustainable product development.

  16. Polyaniline nanowire array encapsulated in titania nanotubes as a superior electrode for supercapacitors.

    PubMed

    Xie, Keyu; Li, Jie; Lai, Yanqing; Zhang, Zhi'an; Liu, Yexiang; Zhang, Guoge; Huang, Haitao

    2011-05-01

    Conducting polymer with 1D nanostructure exhibits excellent electrochemical performances but a poor cyclability that limits its use in supercapacitors. In this work, a novel composite electrode made of polyaniline nanowire-titania nanotube array was synthesized via a simple and inexpensive electrochemical route by electropolymerizing aniline onto an anodized titania nanotube array. The specific capacitance was as high as 732 F g(-1) at 1 A g(-1), which remained at 543 F g(-1) when the current density was increased by 20 times. 74% of the maximum energy density (36.6 Wh kg(-1)) was maintained even at a high power density of 6000 W kg(-1). An excellent long cycle life of the electrode was observed with a retention of ∼86% of the initial specific capacitance after 2000 cycles. The good electrochemical performance was attributed to the unique microstructure of the electrode with disordered PANI nanowire arrays encapsulated inside the TiO(2) nanotubes, providing high surface area, fast diffusion path for ions and long-term cycle stability. Such a nanocomposite electrode is attractive for supercapacitor applications. © The Royal Society of Chemistry 2011

  17. Unique X-ray emission characteristics from volumetrically heated nanowire array plasmas

    NASA Astrophysics Data System (ADS)

    Rocca, J. J.; Bargsten, C.; Hollinger, R.; Shlyaptsev, V.; Pukhov, A.; Kaymak, V.; Capeluto, G.; Keiss, D.; Townsend, A.; Rockwood, A.; Wang, Y.; Wang, S.

    2015-11-01

    Highly anisotropic emission of hard X-ray radiation (h ν >10 keV) is observed when arrays of ordered nanowires (50 nm diameter wires of Au or Ni) are volumetrically heated by normal incidence irradiation with high contrast 50-60 fs laser pulses of relativistic intensity. The annular emission is in contrast with angular distribution of softer X-rays (h ν >1 KeV) from these targets and with the X-ray radiation emitted by polished flat targets, both of which are nearly isotropic. Model computations that make use the electron energy distribution computed by particle-in-cell simulations show that the unexpected annular distribution of the hard x-rays is the result of bremsstrahlung from fast electrons. Volumetric heating of Au nanowire arrays irradiated with an intensity of 2 x 10 19 W cm-2 is measured to convert laser energy into h ν>1KeV photons with a record efficiency of >8 percent into 2 π, creating a bright picosecond X-ray source for applications. Work supported by the Office of Fusion Energy Science of the U.S Department of Energy, and the Defense Threat Reduction Agency. A.P was supported by DFG project TR18.

  18. Fabrication of arrayed Si nanowire-based nano-floating gate memory devices on flexible plastics.

    PubMed

    Yoon, Changjoon; Jeon, Youngin; Yun, Junggwon; Kim, Sangsig

    2012-01-01

    Arrayed Si nanowire (NW)-based nano-floating gate memory (NFGM) devices with Pt nanoparticles (NPs) embedded in Al2O3 gate layers are successfully constructed on flexible plastics by top-down approaches. Ten arrayed Si NW-based NFGM devices are positioned on the first level. Cross-linked poly-4-vinylphenol (PVP) layers are spin-coated on them as isolation layers between the first and second level, and another ten devices are stacked on the cross-linked PVP isolation layers. The electrical characteristics of the representative Si NW-based NFGM devices on the first and second levels exhibit threshold voltage shifts, indicating the trapping and detrapping of electrons in their NPs nodes. They have an average threshold voltage shift of 2.5 V with good retention times of more than 5 x 10(4) s. Moreover, most of the devices successfully retain their electrical characteristics after about one thousand bending cycles. These well-arrayed and stacked Si NW-based NFGM devices demonstrate the potential of nanowire-based devices for large-scale integration.

  19. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation.

    PubMed

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal-oxide-semiconductor-compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm 2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance.

  20. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation

    PubMed Central

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    Abstract For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal–oxide–semiconductor–compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance. PMID:29868148

  1. Polarization-tuned Dynamic Color Filters Incorporating a Dielectric-loaded Aluminum Nanowire Array

    PubMed Central

    Raj Shrestha, Vivek; Lee, Sang-Shin; Kim, Eun-Soo; Choi, Duk-Yong

    2015-01-01

    Nanostructured spectral filters enabling dynamic color-tuning are saliently attractive for implementing ultra-compact color displays and imaging devices. Realization of polarization-induced dynamic color-tuning via one-dimensional periodic nanostructures is highly challenging due to the absence of plasmonic resonances for transverse-electric polarization. Here we demonstrate highly efficient dynamic subtractive color filters incorporating a dielectric-loaded aluminum nanowire array, providing a continuum of customized color according to the incident polarization. Dynamic color filtering was realized relying on selective suppression in transmission spectra via plasmonic resonance at a metal-dielectric interface and guided-mode resonance for a metal-clad dielectric waveguide, each occurring at their characteristic wavelengths for transverse-magnetic and electric polarizations, respectively. A broad palette of colors, including cyan, magenta, and yellow, has been attained with high transmission beyond 80%, by tailoring the period of the nanowire array and the incident polarization. Thanks to low cost, high durability, and mass producibility of the aluminum adopted for the proposed devices, they are anticipated to be diversely applied to color displays, holographic imaging, information encoding, and anti-counterfeiting. PMID:26211625

  2. Flexible ultraviolet photodetectors based on ZnO-SnO2 heterojunction nanowire arrays

    NASA Astrophysics Data System (ADS)

    Lou, Zheng; Yang, Xiaoli; Chen, Haoran; Liang, Zhongzhu

    2018-02-01

    A ZnO-SnO2 nanowires (NWs) array, as a metal oxide semiconductor, was successfully synthesized by a near-field electrospinning method for the applications as high performance ultraviolet photodetectors. Ultraviolet photodetectors based on a single nanowire exhibited excellent photoresponse properties to 300 nm ultraviolet light illumination including ultrahigh I on/I off ratios (up to 103), good stability and reproducibility because of the separation between photo-generated electron-hole pairs. Moreover, the NWs array shows an enhanced photosensing performance. Flexible photodetectors on the PI substrates with similar tendency properties were also fabricated. In addition, under various bending curvatures and cycles, the as-fabricated flexible photodetectors revealed mechanical flexibility and good stable electrical properties, showing that they have the potential for applications in future flexible photoelectron devices. Project supported by the National Science Foundation of China (No. 61504136) and the State Key Laboratory of Applied Optics, Changchun Institute of Optics, Fine and Physics, Chinese Academy of Sciences.

  3. Facile Synthesis of Ultrafine Hematite Nanowire Arrays in Mixed Water-Ethanol-Acetic Acid Solution for Enhanced Charge Transport and Separation.

    PubMed

    Wang, Jian; Wang, Menglong; Zhang, Tao; Wang, Zhiqiang; Guo, Penghui; Su, Jinzhan; Guo, Liejin

    2018-04-18

    Nanostructure engineering is of great significance for semiconductor electrode to achieve high photoelectrochemical performance. Herein, we report a novel strategy to fabricate ultrafine hematite (α-Fe 2 O 3 ) nanowire arrays in a mixed water-ethanol-acetic acid (WEA) solvent. To the best of our knowledge, this is the first report on direct growth of ultrafine (∼10 nm) α-Fe 2 O 3 nanowire arrays on fluorine-doped tin oxide substrates through solution-based fabrication process. The effect of WEA ratio on the morphology of nanowires has been systematically studied to understand the formation mechanism. Photoelectrochemical measurements were conducted on both Ti-treated α-Fe 2 O 3 nanowire and nanorod photoelectrodes. It reveals that α-Fe 2 O 3 nanowire electrode has higher photocurrent and charge separation efficiencies than nanorod electrode if the carrier concentration and space-charge carrier width are in the same order of magnitude. Normalized by electrochemically active surface area, the Ti-treated α-Fe 2 O 3 nanowire electrode obtains 6.4 times higher specific photocurrent density than nanorod electrode. This superiority of nanowires arises from the higher bulk and surface charge separation efficiencies, which could be partly attributed to reduced distance that holes must transfer to reach the semiconductor-liquid junction.

  4. A high efficiency dual-junction solar cell implemented as a nanowire array.

    PubMed

    Yu, Shuqing; Witzigmann, Bernd

    2013-01-14

    In this work, we present an innovative design of a dual-junction nanowire array solar cell. Using a dual-diameter nanowire structure, the solar spectrum is separated and absorbed in the core wire and the shell wire with respect to the wavelength. This solar cell provides high optical absorptivity over the entire spectrum due to an electromagnetic concentration effect. Microscopic simulations were performed in a three-dimensional setup, and the optical properties of the structure were evaluated by solving Maxwell's equations. The Shockley-Queisser method was employed to calculate the current-voltage relationship of the dual-junction structure. Proper design of the geometrical and material parameters leads to an efficiency of 39.1%.

  5. Dynamical formation of spatially localized arrays of aligned nanowires in plastic films with magnetic anisotropy.

    PubMed

    Fragouli, Despina; Buonsanti, Raffaella; Bertoni, Giovanni; Sangregorio, Claudio; Innocenti, Claudia; Falqui, Andrea; Gatteschi, Dante; Cozzoli, Pantaleo Davide; Athanassiou, Athanassia; Cingolani, Roberto

    2010-04-27

    We present a simple technique for magnetic-field-induced formation, assembling, and positioning of magnetic nanowires in a polymer film. Starting from a polymer/iron oxide nanoparticle casted solution that is allowed to dry along with the application of a weak magnetic field, nanocomposite films incorporating aligned nanocrystal-built nanowire arrays are obtained. The control of the dimensions of the nanowires and of their localization across the polymer matrix is achieved by varying the duration of the applied magnetic field, in combination with the evaporation dynamics. These multifunctional anisotropic free-standing nanocomposite films, which demonstrate high magnetic anisotropy, can be used in a wide field of technological applications, ranging from sensors to microfluidics and magnetic devices.

  6. Controlled Growth of Parallel Oriented ZnO Nanostructural Arrays on Ga2O3 Nanowires

    DTIC Science & Technology

    2008-11-01

    Controlled Growth of Parallel Oriented ZnO Nanostructural Arrays on Ga2O3 Nanowires Lena Mazeina,* Yoosuf N. Picard, and Sharka M. Prokes Electronics...Manuscript ReceiVed NoVember 6, 2008 ABSTRACT: Novel hierarchical ZnO- Ga2O3 nanostructures were fabricated via a two stage growth process. Nanowires of Ga2O3 ...nanobrushes (NBs) with Ga2O3 as the core and ZnO as the branches self-assembling symmetrically in six equiangular directions around the core

  7. Nanostructured Indium Oxide Coated Silicon Nanowire Arrays: A Hybrid Photothermal/Photochemical Approach to Solar Fuels.

    PubMed

    Hoch, Laura B; O'Brien, Paul G; Jelle, Abdinoor; Sandhel, Amit; Perovic, Douglas D; Mims, Charles A; Ozin, Geoffrey A

    2016-09-27

    The field of solar fuels seeks to harness abundant solar energy by driving useful molecular transformations. Of particular interest is the photodriven conversion of greenhouse gas CO2 into carbon-based fuels and chemical feedstocks, with the ultimate goal of providing a sustainable alternative to traditional fossil fuels. Nonstoichiometric, hydroxylated indium oxide nanoparticles, denoted In2O3-x(OH)y, have been shown to function as active photocatalysts for CO2 reduction to CO via the reverse water gas shift reaction under simulated solar irradiation. However, the relatively wide band gap (2.9 eV) of indium oxide restricts the portion of the solar irradiance that can be utilized to ∼9%, and the elevated reaction temperatures required (150-190 °C) reduce the overall energy efficiency of the process. Herein we report a hybrid catalyst consisting of a vertically aligned silicon nanowire (SiNW) support evenly coated by In2O3-x(OH)y nanoparticles that utilizes the vast majority of the solar irradiance to simultaneously produce both the photogenerated charge carriers and heat required to reduce CO2 to CO at a rate of 22.0 μmol·gcat(-1)·h(-1). Further, improved light harvesting efficiency of the In2O3-x(OH)y/SiNW films due to minimized reflection losses and enhanced light trapping within the SiNW support results in a ∼6-fold increase in photocatalytic conversion rates over identical In2O3-x(OH)y films prepared on roughened glass substrates. The ability of this In2O3-x(OH)y/SiNW hybrid catalyst to perform the dual function of utilizing both light and heat energy provided by the broad-band solar irradiance to drive CO2 reduction reactions represents a general advance that is applicable to a wide range of catalysts in the field of solar fuels.

  8. Highly effective field-effect mobility amorphous InGaZnO TFT mediated by directional silver nanowire arrays.

    PubMed

    Liu, Hung-Chuan; Lai, Yi-Chun; Lai, Chih-Chung; Wu, Bing-Shu; Zan, Hsiao-Wen; Yu, Peichen; Chueh, Yu-Lun; Tsai, Chuang-Chuang

    2015-01-14

    In this work, we demonstrate sputtered amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a record high effective field-effect mobility of 174 cm(2)/V s by incorporating silver nanowire (AgNW) arrays to channel electron transport. Compared to the reference counterpart without nanowires, the over 5-fold enhancement in the effective field-effect mobility exhibits clear dependence on the orientation as well as the surface coverage ratio of silver nanowires. Detailed material and device analyses reveal that during the room-temperature IGZO sputtering indium and oxygen diffuse into the nanowire matrix while the nanowire morphology and good contact between IGZO and nanowires are maintained. The unchanged morphology and good interfacial contact lead to high mobility and air-ambient-stable characteristics up to 3 months. Neither hysteresis nor degraded bias stress reliability is observed. The proposed AgNW-mediated a-IGZO TFTs are promising for development of large-scale, flexible, transparent electronics.

  9. Optical design of GaN nanowire arrays for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Winnerl, Julia; Hudeczek, Richard; Stutzmann, Martin

    2018-05-01

    GaN nanowire (NW) arrays are interesting candidates for photocatalytic applications due to their high surface-to-volume ratio and their waveguide character. The integration of GaN NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs. Here, we present a numerical study of the influence of the NW geometries, i.e., the NW diameter, length, and period, and the illumination wavelength on the transmission of GaN NW arrays on transparent substrates. A detailed numerical analysis reveals that the transmission characteristics for large periods are determined by the waveguide character of the single NW, whereas for dense GaN NW arrays inter-wire coupling and diffraction effects originating from the periodic arrangement of the GaN NWs dominate the transmission. The numerically simulated results are confirmed by experimental transmission measurements. We also investigate the influence of a dielectric NW shell and of the surrounding medium on the transmission characteristics of a GaN NW array.

  10. Reversal modes in FeCoNi nanowire arrays: Correlation between magnetostatic interactions and nanowires length

    NASA Astrophysics Data System (ADS)

    Samanifar, S.; Almasi Kashi, M.; Ramazani, A.; Alikhani, M.

    2015-03-01

    FeCoNi nanowire arrays (175 nm in diameter and lengths ranging from 5 to 40 μm) were fabricated into nanopores of hard-anodized aluminum oxide templates using pulsed ac electrodeposition technique. Increasing the length had no considerable effect on the composition and crystalline characteristics of Fe47Co38Ni15 nanowires (NWs). By eliminating the dendrites formed at the bottom of the pores, we report a careful investigation on the effect of magnetostatic interactions on magnetic properties and the effect of nanowire length on reversal modes. Hysteresis loop measurements indicated that increasing the length decreases coercivity and squareness values. On the other hand, first-order reversal curve measurements show a linear correlation between the magnetostatic interactions and length of NWs. Comparing reversal modes of the NWs both experimentally and theoretically using angular dependence of coercivity, we find that when L≤22 μm, a vortex domain wall mode is only occurred. When L>22 μm, a non-monotonic behavior indicates a transition from the vortex to transverse domain wall propagation. As a result, a critical length was found above which the transition between the reversal modes is occurred due the enhanced interactions. The transition angle also shifts toward a lower angle as the length increases. Moreover, with increasing length from 22 to 31 μm, the single domain structure of NWs changes to a pseudo single domain state. A multidomain-like behavior is also found for the longest NWs length.

  11. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder.

    PubMed

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-14

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ∼5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of 'chaplet-like' structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ∼5 to ∼3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  12. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    NASA Astrophysics Data System (ADS)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  13. Nanowire field-effect transistors for gas sensor applications

    NASA Astrophysics Data System (ADS)

    Constantinou, Marios

    Sensing BTEX (Benzene, Ethylbenzene, Toluene, Xylene) pollutants is of utmost importance to reduce health risk and ensure public safety. The lack of sensitivity and selectivity of the current gas sensors and the limited number of available technologies in the field of BTEX-sensing raises the demand for the development of high-performance gas sensors for BTEX applications. The scope of this thesis is the fabrication and characterisation of high-quality field-effect transistors (FETs), with functionalised silicon nanowires (SiNWs), for the selective sensing of benzene vs. other BTEX gases. This research addresses three main challenges in SiNW FET-sensor device development: i) controllable and reproducible assembly of high-quality SiNWs for FET sensor devices using the method of dielectrophoresis (DEP), ii) almost complete elimination of harmful hysteresis effect in the SiNW FET current-voltage characteristics induced by surface states using DMF solvent, iii) selective sensing of benzene with up to ppb range of sensitivity using calix[4]arene-derivatives. It is experimentally demonstrated that frequency-controlled DEP is a powerful tool for the selection and collection of semiconducting SiNWs with advanced electrical and morphological properties, from a poly-disperse as-synthesised NWs. The DEP assembly method also leads to a controllable and reproducible fabrication of high-quality NW-based FETs. The results highlight the superiority of DEP, performed at high signal frequencies (5-20 MHz) to selectively assemble only high-quality NWs which can respond to such high DEP frequencies. The SiNW FETs, with NWs collected at high DEP frequencies, have high mobility (≈50 cm2 V-1 s-1), low sub-threshold-swing (≈1.26 V/decade), high on-current (up to 3 mA) and high on/off ratio (106-107). The DEP NW selection is also demonstrated using an industrially scalable method, to allow establishing of NW response characteristics to different DEP frequencies in a very short time

  14. Smart integration of silicon nanowire arrays in all-silicon thermoelectric micro-nanogenerators

    NASA Astrophysics Data System (ADS)

    Fonseca, Luis; Santos, Jose-Domingo; Roncaglia, Alberto; Narducci, Dario; Calaza, Carlos; Salleras, Marc; Donmez, Inci; Tarancon, Albert; Morata, Alex; Gadea, Gerard; Belsito, Luca; Zulian, Laura

    2016-08-01

    Micro and nanotechnologies are called to play a key role in the fabrication of small and low cost sensors with excellent performance enabling new continuous monitoring scenarios and distributed intelligence paradigms (Internet of Things, Trillion Sensors). Harvesting devices providing energy autonomy to those large numbers of microsensors will be essential. In those scenarios where waste heat sources are present, thermoelectricity will be the obvious choice. However, miniaturization of state of the art thermoelectric modules is not easy with the current technologies used for their fabrication. Micro and nanotechnologies offer an interesting alternative considering that silicon in nanowire form is a material with a promising thermoelectric figure of merit. This paper presents two approaches for the integration of large numbers of silicon nanowires in a cost-effective and practical way using only micromachining and thin-film processes compatible with silicon technologies. Both approaches lead to automated physical and electrical integration of medium-high density stacked arrays of crystalline or polycrystalline silicon nanowires with arbitrary length (tens to hundreds microns) and diameters below 100 nm.

  15. Synthesis and Characteristics of Large-Area and High-Filling CdS Nanowire Arrays in AAO Template.

    PubMed

    Lv, Xiao-Yi; Hou, Jun-Wei; Gao, Zhi-Xian; Liu, Hong-Fei

    2018-05-01

    CdS nanowires arrays were successfully synthesized by a simple solvothermal process using AAO as templates. The phase structures, morphologies, and optical properties of the products were investigated by X-ray diffraction, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence spectroscopy. It was found that the nanowires were composed of hexagonal structure CdS nanoparticles and the average diameters is about 60-70 nm. A strong green emission with a maximum around 505 nm was observed from the synthesized CdS nanowires at room temperature, which was attributed to near-band-edge emission. A 3D self-seed nucleation coalescent process was proposed for the formation of CdS nanowires structures. The present synthetic route is expected to be applied to the synthesis of other II-VI groups or other group's 1D semiconducting materials.

  16. Magnetization mechanisms in ordered arrays of polycrystalline Fe{sub 100−x}Co{sub x} nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Viqueira, M. S.; Bajales, N.; Urreta, S. E.

    2015-05-28

    Magnetization reversal processes and coercivity mechanisms in polycrystalline Fe{sub 100−x}Co{sub x} nanowire arrays, resulting from an AC electrodeposition process, are investigated. The array coercivity is described on the basis of polarization reversal mechanisms operating in individual wires, under the effect of inter-wire dipolar interactions described by a mean field approximation. For individual wires, a reversal mechanism involving the nucleation and further expansion of domain-wall like spin configuration is considered. The wires have a mean grain size larger than both the nanowire diameter and the exchange length, so localized and non-cooperative nucleation modes are considered. As the Co content increases, themore » alloy saturation polarization gradually decreases, but the coercive field and the relative remanence of the arrays increase, indicating that they are not controlled by the shape anisotropy in all the composition range. The coercive field dependence on the angle between the applied field and the wire long axis is not well described by reversal mechanisms involving nucleation and further displacement of neither vortex nor transverse ideal domain walls. On the contrary, the angular dependence of the coercive field observed at room temperature is well predicted by a model considering nucleation of inverse domains by localized curling, in regions smaller than the grain size, exhibiting quite small aspect ratios as compared to those of the entire nanowire. In arrays with higher Co contents, a transition from an initial (small angle) localized curling nucleation mechanism to another one, involving localized coherent rotation is observed at about π/4.« less

  17. Magnetic interactions and reversal mechanisms in Co nanowire and nanotube arrays

    NASA Astrophysics Data System (ADS)

    Proenca, M. P.; Sousa, C. T.; Escrig, J.; Ventura, J.; Vazquez, M.; Araujo, J. P.

    2013-03-01

    Ordered hexagonal arrays of Co nanowires (NWs) and nanotubes (NTs), with diameters between 40 and 65 nm, were prepared by potentiostatic electrodeposition into suitably modified nanoporous alumina templates. The geometrical parameters of the NW/NT arrays were tuned by the pore etching process and deposition conditions. The magnetic interactions between NWs/NTs with different diameters were studied using first-order reversal curves (FORCs). From a quantitative analysis of the FORC measurements, we are able to obtain the profiles of the magnetic interactions and the coercive field distributions. In both NW and NT arrays, the magnetic interactions were found to increase with the diameter of the NWs/NTs, exhibiting higher values for NW arrays. A comparative study of the magnetization reversal processes was also performed by analyzing the angular dependence of the coercivity and correlating the experimental data with theoretical calculations based on a simple analytical model. The magnetization in the NW arrays is found to reverse by the nucleation and propagation of a transverse-like domain wall; on the other hand, for the NT arrays a non-monotonic behavior occurs above a diameter of ˜50 nm, revealing a transition between the vortex and transverse reversal modes.

  18. 1-D Metal Nanobead Arrays within Encapsulated Nanowires via a Red-Ox-Induced Dewetting: Mechanism Study by Atom-Probe Tomography.

    PubMed

    Sun, Zhiyuan; Tzaguy, Avra; Hazut, Ori; Lauhon, Lincoln J; Yerushalmi, Roie; Seidman, David N

    2017-12-13

    Metal nanoparticle arrays are excellent candidates for a variety of applications due to the versatility of their morphology and structure at the nanoscale. Bottom-up self-assembly of metal nanoparticles provides an important complementary alternative to the traditional top-down lithography method and makes it possible to assemble structures with higher-order complexity, for example, nanospheres, nanocubes, and core-shell nanostructures. Here we present a mechanism study of the self-assembly process of 1-D noble metal nanoparticles arrays, composed of Au, Ag, and AuAg alloy nanoparticles. These are prepared within an encapsulated germanium nanowire, obtained by the oxidation of a metal-germanium nanowire hybrid structure. The resulting structure is a 1-D array of equidistant metal nanoparticles with the same diameter, the so-called nanobead (NB) array structure. Atom-probe tomography and transmission electron microscopy were utilized to investigate the details of the morphological and chemical evolution during the oxidation of the encapsulated metal-germanium nanowire hybrid-structures. The self-assembly of nanoparticles relies on the formation of a metal-germanium liquid alloy and the migration of the liquid alloy into the nanowire, followed by dewetting of the liquid during shape-confined oxidation where the liquid column breaks-up into nanoparticles due to the Plateau-Rayleigh instability. Our results demonstrate that the encapsulating oxide layer serves as a structural scaffold, retaining the overall shape during the eutectic liquid formation and demonstrates the relationship between the oxide mechanical properties and the final structural characteristics of the 1-D arrays. The mechanistic details revealed here provide a versatile tool-box for the bottom-up fabrication of 1-D arrays nanopatterning that can be modified for multiple applications according to the RedOx properties of the material system components.

  19. Combined flame and electrodeposition synthesis of energetic coaxial tungsten-oxide/aluminum nanowire arrays.

    PubMed

    Dong, Zhizhong; Al-Sharab, Jafar F; Kear, Bernard H; Tse, Stephen D

    2013-09-11

    A nanostructured thermite composite comprising an array of tungsten-oxide (WO2.9) nanowires (diameters of 20-50 nm and lengths of >10 μm) coated with single-crystal aluminum (thickness of ~16 nm) has been fabricated. The method involves combined flame synthesis of tungsten-oxide nanowires and ionic-liquid electrodeposition of aluminum. The geometry not only presents an avenue to tailor heat-release characteristics due to anisotropic arrangement of fuel and oxidizer but also eliminates or minimizes the presence of an interfacial Al2O3 passivation layer. Upon ignition, the energetic nanocomposite exhibits strong exothermicity, thereby being useful for fundamental study of aluminothermic reactions as well as enhancing combustion characteristics.

  20. Flexible Dye-Sensitized Solar Cell Based on Vertical ZnO Nanowire Arrays

    PubMed Central

    2011-01-01

    Flexible dye-sensitized solar cells are fabricated using vertically aligned ZnO nanowire arrays that are transferred onto ITO-coated poly(ethylene terephthalate) substrates using a simple peel-off process. The solar cells demonstrate an energy conversion efficiency of 0.44% with good bending tolerance. This technique paves a new route for building large-scale cost-effective flexible photovoltaic and optoelectronic devices. PMID:27502660

  1. Process Development of Gallium Nitride Phosphide Core-Shell Nanowire Array Solar Cell

    NASA Astrophysics Data System (ADS)

    Chuang, Chen

    Dilute Nitride GaNP is a promising materials for opto-electronic applications due to its band gap tunability. The efficiency of GaNxP1-x /GaNyP1-y core-shell nanowire solar cell (NWSC) is expected to reach as high as 44% by 1% N and 9% N in the core and shell, respectively. By developing such high efficiency NWSCs on silicon substrate, a further reduction of the cost of solar photovoltaic can be further reduced to 61$/MWh, which is competitive to levelized cost of electricity (LCOE) of fossil fuels. Therefore, a suitable NWSC structure and fabrication process need to be developed to achieve this promising NWSC. This thesis is devoted to the study on the development of fabrication process of GaNxP 1-x/GaNyP1-y core-shell Nanowire solar cell. The thesis is divided into two major parts. In the first parts, previously grown GaP/GaNyP1-y core-shell nanowire samples are used to develop the fabrication process of Gallium Nitride Phosphide nanowire solar cell. The design for nanowire arrays, passivation layer, polymeric filler spacer, transparent col- lecting layer and metal contact are discussed and fabricated. The property of these NWSCs are also characterized to point out the future development of Gal- lium Nitride Phosphide NWSC. In the second part, a nano-hole template made by nanosphere lithography is studied for selective area growth of nanowires to improve the structure of core-shell NWSC. The fabrication process of nano-hole templates and the results are presented. To have a consistent features of nano-hole tem- plate, the Taguchi Method is used to optimize the fabrication process of nano-hole templates.

  2. Metal-coated microfluidic channels: An approach to eliminate streaming potential effects in nano biosensors.

    PubMed

    Lee, Jieun; Wipf, Mathias; Mu, Luye; Adams, Chris; Hannant, Jennifer; Reed, Mark A

    2017-01-15

    We report a method to suppress streaming potential using an Ag-coated microfluidic channel on a p-type silicon nanowire (SiNW) array measured by a multiplexed electrical readout. The metal layer sets a constant electrical potential along the microfluidic channel for a given reference electrode voltage regardless of the flow velocity. Without the Ag layer, the magnitude and sign of the surface potential change on the SiNW depends on the flow velocity, width of the microfluidic channel and the device's location inside the microfluidic channel with respect to the reference electrode. Noise analysis of the SiNW array with and without the Ag coating in the fluidic channel shows that noise frequency peaks, resulting from the operation of a piezoelectric micropump, are eliminated using the Ag layer with two reference electrodes located at inlet and outlet. This strategy presents a simple platform to eliminate the streaming potential and can become a powerful tool for nanoscale potentiometric biosensors. Copyright © 2016 Elsevier B.V. All rights reserved.

  3. GaN Nanowire Arrays for Efficient Optical Read-Out and Optoelectronic Control of NV Centers in Diamond.

    PubMed

    Hetzl, Martin; Wierzbowski, Jakob; Hoffmann, Theresa; Kraut, Max; Zuerbig, Verena; Nebel, Christoph E; Müller, Kai; Finley, Jonathan J; Stutzmann, Martin

    2018-06-13

    Solid-state quantum emitters embedded in a semiconductor crystal environment are potentially scalable platforms for quantum optical networks operated at room temperature. Prominent representatives are nitrogen-vacancy (NV) centers in diamond showing coherent entanglement and interference with each other. However, these emitters suffer from inefficient optical outcoupling from the diamond and from fluctuations of their charge state. Here, we demonstrate the implementation of regular n-type gallium nitride nanowire arrays on diamond as photonic waveguides to tailor the emission direction of surface-near NV centers and to electrically control their charge state in a p-i-n nanodiode. We show that the electrical excitation of single NV centers in such a diode can efficiently replace optical pumping. By the engineering of the array parameters, we find an optical read-out efficiency enhanced by a factor of 10 and predict a lateral NV-NV coupling 3 orders of magnitude stronger through evanescently coupled nanowire antennas compared to planar diamond not covered by nanowires, which opens up new possibilities for large-scale on-chip quantum-computing applications.

  4. III-Nitride Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Jeremy Benjamin

    2014-07-01

    In recent years there has been a tremendous interest in nanoscale optoelectronic devices. Among these devices are semiconductor nanowires whose diameters range from 10-100 nm. To date, nanowires have been grown using many semiconducting material systems and have been utilized as light emitting diodes, photodetectors, and solar cells. Nanowires possess a relatively large index contrast relative to their dielectric environment and can be used as lasers. A key gure of merit that allows for nanowire lasing is the relatively high optical con nement factor. In this work, I discuss the optical characterization of 3 types of III-nitride nanowire laser devices.more » Two devices were designed to reduce the number of lasing modes to achieve singlemode operation. The third device implements low-group velocity mode lasing with a photonic crystal constructed of an array of nanowires. Single-mode operation is necessary in any application where high beam quality and single frequency operation is required. III-Nitride nanowire lasers typically operate in a combined multi-longitudinal and multi-transverse mode state. Two schemes are introduced here for controlling the optical modes and achieving single-mode op eration. The rst method involves reducing the diameter of individual nanowires to the cut-o condition, where only one optical mode propagates in the wire. The second method employs distributed feedback (DFB) to achieve single-mode lasing by placing individual GaN nanowires onto substrates with etched gratings. The nanowire-grating substrate acted as a distributed feedback mirror producing single mode operation at 370 nm with a mode suppression ratio (MSR) of 17 dB. The usage of lasers for solid state lighting has the potential to further reduce U.S. lighting energy usage through an increase in emitter e ciency. Advances in nanowire fabrication, speci cally a two-step top-down approach, have allowed for the demonstration of a multi-color array of lasers on a single chip that

  5. Sensors and devices containing ultra-small nanowire arrays

    DOEpatents

    Xiao, Zhili

    2014-09-23

    A network of nanowires may be used for a sensor. The nanowires are metallic, each nanowire has a thickness of at most 20 nm, and each nanowire has a width of at most 20 nm. The sensor may include nanowires comprising Pd, and the sensor may sense a change in hydrogen concentration from 0 to 100%. A device may include the hydrogen sensor, such as a vehicle, a fuel cell, a hydrogen storage tank, a facility for manufacturing steel, or a facility for refining petroleum products.

  6. Sensors and devices containing ultra-small nanowire arrays

    DOEpatents

    Xiao, Zhili

    2017-04-11

    A network of nanowires may be used for a sensor. The nanowires are metallic, each nanowire has a thickness of at most 20 nm, and each nanowire has a width of at most 20 nm. The sensor may include nanowires comprising Pd, and the sensor may sense a change in hydrogen concentration from 0 to 100%. A device may include the hydrogen sensor, such as a vehicle, a fuel cell, a hydrogen storage tank, a facility for manufacturing steel, or a facility for refining petroleum products.

  7. Carbon Nanotube-Silicon Nanowire Heterojunction Solar Cells with Gas-Dependent Photovoltaic Performances and Their Application in Self-Powered NO2 Detecting.

    PubMed

    Jia, Yi; Zhang, Zexia; Xiao, Lin; Lv, Ruitao

    2016-12-01

    A multifunctional device combining photovoltaic conversion and toxic gas sensitivity is reported. In this device, carbon nanotube (CNT) membranes are used to cover onto silicon nanowire (SiNW) arrays to form heterojunction. The porous structure and large specific surface area in the heterojunction structure are both benefits for gas adsorption. In virtue of these merits, gas doping is a feasible method to improve cell's performance and the device can also work as a self-powered gas sensor beyond a solar cell. It shows a significant improvement in cell efficiency (more than 200 times) after NO2 molecules doping (device working as a solar cell) and a fast, reversible response property for NO2 detection (device working as a gas sensor). Such multifunctional CNT-SiNW structure can be expected to open a new avenue for developing self-powered, efficient toxic gas-sensing devices in the future.

  8. Efficient and scalable ionization of neutral atoms by an orderly array of gold-doped silicon nanowires

    NASA Astrophysics Data System (ADS)

    Bucay, Igal; Helal, Ahmed; Dunsky, David; Leviyev, Alex; Mallavarapu, Akhila; Sreenivasan, S. V.; Raizen, Mark

    2017-04-01

    Ionization of atoms and molecules is an important process in many applications and processes such as mass spectrometry. Ionization is typically accomplished by electron bombardment, and while it is scalable to large volumes, is also very inefficient due to the small cross section of electron-atom collisions. Photoionization methods can be highly efficient, but are not scalable due to the small ionization volume. Electric field ionization is accomplished using ultra-sharp conducting tips biased to a few kilovolts, but suffers from a low ionization volume and tip fabrication limitations. We report on our progress towards an efficient, robust, and scalable method of atomic and molecular ionization using orderly arrays of sharp, gold-doped silicon nanowires. As demonstrated in earlier work, the presence of the gold greatly enhances the ionization probability, which was attributed to an increase in available acceptor surface states. We present here a novel process used to fabricate the nanowire array, results of simulations aimed at optimizing the configuration of the array, and our progress towards demonstrating efficient and scalable ionization.

  9. Label-Free Direct Detection of miRNAs with Poly-Silicon Nanowire Biosensors

    PubMed Central

    Gong, Changguo; Qi, Jiming; Xiao, Han; Jiang, Bin; Zhao, Yulan

    2015-01-01

    Background The diagnostic and prognostic value of microRNAs (miRNAs) in a variety of diseases is promising. The novel silicon nanowire (SiNW) biosensors have advantages in molecular detection because of their high sensitivity and fast response. In this study, poly-crystalline silicon nanowire field-effect transistor (poly-SiNW FET) device was developed to achieve specific and ultrasensitive detection of miRNAs without labeling and amplification. Methods The poly-SiNW FET was fabricated by a top–down Complementary Metal Oxide Semiconductor (CMOS) wafer fabrication based technique. Single strand DNA (ssDNA) probe was bind to the surface of the poly-SiNW device which was silanated and aldehyde-modified. By comparing the difference of resistance value before and after ssDNA and miRNA hybridization, poly-SiNW device can be used to detect standard and real miRNA samples. Results Poly-SiNW device with different structures (different line width and different pitch) was applied to detect standard Let-7b sample with a detection limitation of 1 fM. One-base mismatched sequence could be distinguished meanwhile. Furthermore, these poly-SiNW arrays can detect snRNA U6 in total RNA samples extracted from HepG2 cells with a detection limitation of 0.2 μg/mL. In general, structures with pitch showed better results than those without pitch in detection of both Let-7b and snRNA U6. Moreover, structures with smaller pitch showed better detection efficacy. Conclusion Our findings suggest that poly-SiNW arrays could detect standard and real miRNA sample without labeling or amplification. Poly-SiNW biosensor device is promising for miRNA detection. PMID:26709827

  10. Gunn-Hilsum Effect in Mechanically Strained Silicon Nanowires: Tunable Negative Differential Resistance.

    PubMed

    Shiri, Daryoush; Verma, Amit; Nekovei, Reza; Isacsson, Andreas; Selvakumar, C R; Anantram, M P

    2018-04-19

    Gunn (or Gunn-Hilsum) Effect and its associated negative differential resistivity (NDR) emanates from transfer of electrons between two different energy subbands. This effect was observed in semiconductors like GaAs which has a direct bandgap of very low effective mass and an indirect subband of high effective mass which lies ~300 meV above the former. In contrast to GaAs, bulk silicon has a very high energy spacing (~1 eV) which renders the initiation of transfer-induced NDR unobservable. Using Density Functional Theory (DFT), semi-empirical 10 orbital (sp 3 d 5 s * ) Tight Binding and Ensemble Monte Carlo (EMC) methods we show for the first time that (a) Gunn Effect can be induced in silicon nanowires (SiNW) with diameters of 3.1 nm under +3% strain and an electric field of 5000 V/cm, (b) the onset of NDR in the I-V characteristics is reversibly adjustable by strain and (c) strain modulates the resistivity by a factor 2.3 for SiNWs of normal I-V characteristics i.e. those without NDR. These observations are promising for applications of SiNWs in electromechanical sensors and adjustable microwave oscillators. It is noteworthy that the observed NDC is different in principle from Esaki-Diode and Resonant Tunneling Diodes (RTD) in which NDR originates from tunneling effect.

  11. Direct selective growth of ZnO nanowire arrays from inkjet-printed zinc acetate precursor on a heated substrate

    PubMed Central

    2013-01-01

    Inkjet printing of functional materials has drawn tremendous interest as an alternative to the conventional photolithography-based microelectronics fabrication process development. We introduce direct selective nanowire array growth by inkjet printing of Zn acetate precursor ink patterning and subsequent hydrothermal ZnO local growth without nozzle clogging problem which frequently happens in nanoparticle inkjet printing. The proposed process can directly grow ZnO nanowires in any arbitrary patterned shape, and it is basically very fast, low cost, environmentally benign, and low temperature. Therefore, Zn acetate precursor inkjet printing-based direct nanowire local growth is expected to give extremely high flexibility in nanomaterial patterning for high-performance electronics fabrication especially at the development stage. As a proof of concept of the proposed method, ZnO nanowire network-based field effect transistors and ultraviolet photo-detectors were demonstrated by direct patterned grown ZnO nanowires as active layer. PMID:24252130

  12. A metallo-DNA nanowire with uninterrupted one-dimensional silver array

    NASA Astrophysics Data System (ADS)

    Kondo, Jiro; Tada, Yoshinari; Dairaku, Takenori; Hattori, Yoshikazu; Saneyoshi, Hisao; Ono, Akira; Tanaka, Yoshiyuki

    2017-10-01

    The double-helix structure of DNA, in which complementary strands reversibly hybridize to each other, not only explains how genetic information is stored and replicated, but also has proved very attractive for the development of nanomaterials. The discovery of metal-mediated base pairs has prompted the generation of short metal-DNA hybrid duplexes by a bottom-up approach. Here we describe a metallo-DNA nanowire—whose structure was solved by high-resolution X-ray crystallography—that consists of dodecamer duplexes held together by four different metal-mediated base pairs (the previously observed C-Ag-C, as well as G-Ag-G, G-Ag-C and T-Ag-T) and linked to each other through G overhangs involved in interduplex G-Ag-G. The resulting hybrid nanowires are 2 nm wide with a length of the order of micrometres to millimetres, and hold the silver ions in uninterrupted one-dimensional arrays along the DNA helical axis. The hybrid nanowires are further assembled into three-dimensional lattices by interactions between adenine residues, fully bulged out of the double helix.

  13. Fabrication of CoFe2O4 ferrite nanowire arrays in porous silicon template and their local magnetic properties

    NASA Astrophysics Data System (ADS)

    Hui, Zheng; Man-Gui, Han; Long-Jiang, Deng

    2016-02-01

    CoFe2O4 ferrite nanowire arrays are fabricated in porous silicon templates. The porous silicon templates are prepared via metal-assisted chemical etching with gold (Au) nanoparticles as the catalyst. Subsequently, CoFe2O4 ferrite nanowires are successfully synthesized into porous silicon templates by the sol-gel method. The magnetic hysteresis loop of nanowire array shows an isotropic feature of magnetic properties. The coercivity and squareness ratio (Mr/Ms) of ensemble nanowires are found to be 630 Oe (1 Oe, = 79.5775 A·m-1 and 0.4 respectively. However, the first-order reversal curve (FORC) is adopted to reveal the probability density function of local magnetostatic properties (i.e., interwire interaction field and coercivity). The FORC diagram shows an obvious distribution feature for interaction field and coercivity. The local coercivity with a value of about 1000 Oe is found to have the highest probability. Project supported by the National Natural Science Foundation of China (Grant No. 61271039), the Scientific Projects of Sichuan Province, China (Grant No. 2015HH0016), and the Natural Science Foundations of Zhejiang Province, China (Grant Nos. LQ12E02001 and Y107255).

  14. Thermal Conduction in Vertically Aligned Copper Nanowire Arrays and Composites.

    PubMed

    Barako, Michael T; Roy-Panzer, Shilpi; English, Timothy S; Kodama, Takashi; Asheghi, Mehdi; Kenny, Thomas W; Goodson, Kenneth E

    2015-09-02

    The ability to efficiently and reliably transfer heat between sources and sinks is often a bottleneck in the thermal management of modern energy conversion technologies ranging from microelectronics to thermoelectric power generation. These interfaces contribute parasitic thermal resistances that reduce device performance and are subjected to thermomechanical stresses that degrade device lifetime. Dense arrays of vertically aligned metal nanowires (NWs) offer the unique combination of thermal conductance from the constituent metal and mechanical compliance from the high aspect ratio geometry to increase interfacial heat transfer and device reliability. In the present work, we synthesize copper NW arrays directly onto substrates via templated electrodeposition and extend this technique through the use of a sacrificial overplating layer to achieve improved uniformity. Furthermore, we infiltrate the array with an organic phase change material and demonstrate the preservation of thermal properties. We use the 3ω method to measure the axial thermal conductivity of freestanding copper NW arrays to be as high as 70 W m(-1) K(-1), which is more than an order of magnitude larger than most commercial interface materials and enhanced-conductivity nanocomposites reported in the literature. These arrays are highly anisotropic, and the lateral thermal conductivity is found to be only 1-2 W m(-1) K(-1). We use these measured properties to elucidate the governing array-scale transport mechanisms, which include the effects of morphology and energy carrier scattering from size effects and grain boundaries.

  15. Silicon nanowires: electron holography studies of doped p-n junctions and biased Schottky barriers.

    PubMed

    He, Kai; Cho, Jeong-Hyun; Jung, Yeonwoong; Picraux, S Tom; Cumings, John

    2013-03-22

    We report an in situ examination of individual Si p-n junction nanowires (NWs) using off-axis electron holography (EH) during transmission electron microscopy. The SiNWs were synthesized by chemical vapor deposition with an axial dopant profile from n- to p-type, and then placed inside the transmission electron microscope as a cantilever geometry in contact with a movable Pt probe for in situ biasing measurements during simultaneous EH observations. The phase shift from EH indicates the potential shift between the p- and n-segments to be 1.03 ± 0.17 V due to the built-in voltage. The I-V characteristics of a single SiNW indicate the formation of a Schottky barrier between the NW tip and the movable Pt contact. EH observations show a strong concentration of electric field at this contact, preventing a change in the Si energy bands in the p-n junction region due to the applied bias.

  16. Construction of high-capacitance 3D CoO@polypyrrole nanowire array electrode for aqueous asymmetric supercapacitor.

    PubMed

    Zhou, Cheng; Zhang, Yangwei; Li, Yuanyuan; Liu, Jinping

    2013-05-08

    We have developed a supercapacitor electrode composed of well-aligned CoO nanowire array grown on 3D nickel foam with polypyrrole (PPy) uniformly immobilized onto or firmly anchored to each nanowire surface to boost the pseudocapacitive performance. The electrode architecture takes advantage of the high electrochemical activity from both the CoO and PPy, the high electronic conductivity of PPy, and the short ion diffusion pathway in ordered mesoporous nanowires. These merits together with the elegant synergy between CoO and PPy lead to a high specific capacitance of 2223 F g(-1) approaching the theoretical value, good rate capability, and cycling stability (99.8% capacitance retention after 2000 cycles). An aqueous asymmetric supercapacitor device with a maximum voltage of 1.8 V fabricated by using our hybrid array as the positive electrode and activated carbon film as the negative electrode has demonstrated high energy density (~43.5 Wh kg(-1)), high power density (~5500 W kg(-1) at 11.8 Wh kg(-1)) and outstanding cycleability (~20,000 times). After charging for only ~10 s, two such 4 cm(2) asymmetric supercapacitors connected in series can efficiently power 5 mm diameter red, yellow, and green round LED indicators (lasting for 1 h for red LED) and drive a mini 130 rotation-motor robustly.

  17. Characterizations of low-temperature electroluminescence from ZnO nanowire light-emitting arrays on the p-GaN layer.

    PubMed

    Lu, Tzu-Chun; Ke, Min-Yung; Yang, Sheng-Chieh; Cheng, Yun-Wei; Chen, Liang-Yi; Lin, Guan-Jhong; Lu, Yu-Hsin; He, Jr-Hau; Kuo, Hao-Chung; Huang, JianJang

    2010-12-15

    Low-temperature electroluminescence from ZnO nanowire light-emitting arrays is reported. By inserting a thin MgO current blocking layer in between ZnO nanowire and p-GaN, high-purity UV light emission at wavelength 398 nm was obtained. As the temperature is decreased, contrary to the typical GaN-based light emitting diodes, our device shows a decrease of optical output intensity. The results are associated with various carrier tunneling processes and frozen MgO defects.

  18. Optimization of the short-circuit current in an InP nanowire array solar cell through opto-electronic modeling.

    PubMed

    Chen, Yang; Kivisaari, Pyry; Pistol, Mats-Erik; Anttu, Nicklas

    2016-09-23

    InP nanowire arrays with axial p-i-n junctions are promising devices for next-generation photovoltaics, with a demonstrated efficiency of 13.8%. However, the short-circuit current in such arrays does not match their absorption performance. Here, through combined optical and electrical modeling, we study how the absorption of photons and separation of the resulting photogenerated electron-hole pairs define and limit the short-circuit current in the nanowires. We identify how photogenerated minority carriers in the top n segment (i.e. holes) diffuse to the ohmic top contact where they recombine without contributing to the short-circuit current. In our modeling, such contact recombination can lead to a 60% drop in the short-circuit current. To hinder such hole diffusion, we include a gradient doping profile in the n segment to create a front surface barrier. This approach leads to a modest 5% increase in the short-circuit current, limited by Auger recombination with increased doping. A more efficient approach is to switch the n segment to a material with a higher band gap, like GaP. Then, a much smaller number of holes is photogenerated in the n segment, strongly limiting the amount that can diffuse and disappear into the top contact. For a 500 nm long top segment, the GaP approach leads to a 50% higher short-circuit current than with an InP top segment. Such a long top segment could facilitate the fabrication and contacting of nanowire array solar cells. Such design schemes for managing minority carriers could open the door to higher performance in single- and multi-junction nanowire-based solar cells.

  19. Ammonia sensing using arrays of silicon nanowires and graphene

    NASA Astrophysics Data System (ADS)

    Fobelets, K.; Panteli, C.; Sydoruk, O.; Li, Chuanbo

    2018-06-01

    Ammonia (NH3) is a toxic gas released in different industrial, agricultural and natural processes. It is also a biomarker for some diseases. These require NH3 sensors for health and safety reasons. To boost the sensitivity of solid-state sensors, the effective sensing area should be increased. Two methods are explored and compared using an evaporating pool of 0.5 mL NH4OH (28% NH3). In the first method an array of Si nanowires (Si NWA) is obtained via metal-assisted-electrochemical etching to increase the effective surface area. In the second method CVD graphene is suspended on top of the Si nanowires to act as a sensing layer. Both the effective surface area as well as the density of surface traps influences the amplitude of the response. The effective surface area of Si NWAs is 100 × larger than that of suspended graphene for the same top surface area, leading to a larger response in amplitude by a factor of ~7 notwithstanding a higher trap density in suspended graphene. The use of Si NWAs increases the response rate for both Si NWAs as well as the suspended graphene due to more effective NH3 diffusion processes.

  20. Three-dimensional TiO2 nanowire@NiMoO4 ultrathin nanosheet core-shell arrays for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Cao, Minglei; Bu, Yi; Lv, Xiaowei; Jiang, Xingxing; Wang, Lichuan; Dai, Sirui; Wang, Mingkui; Shen, Yan

    2018-03-01

    This study reports a general and rational two-step hydrothermal strategy to fabricate three-dimensional (3D) TiO2 nanowire@NiMoO4 ultrathin nanosheet core-shell arrays (TNAs-NMO) as additives-free anodes for lithium-ion batteries (LIBs). The TNAs-NMO electrode delivers a reversible capacity of up to 446.6 mA h g-1 over 120 cycles at the current density of 0.2 A g-1 and a high rate capacity of 234.2 mA h g-1 at 2.0 A g-1. Impressively, the capacity retention efficiency is 74.7% after 2500 cycles at the high rate of 2.0 A g-1. In addition, the full cell consisting of TNAs-NMO anode and LCO cathode can afford a specific energy of up to 220.3 W h kg-1 (based on the entire mass of both electrodes). The high electrochemical performance of the TNAs-NMO electrode is ascribed to its 3D core-shell nanowire array architecture, in which the TiO2 nanowire arrays (TNAs) and the ultrathin NiMoO4 nanosheets exhibit strong synergistic effects. The TNAs maintain mechanical integrity of the electrode and the ultrathin NiMoO4 nanosheets contribute to high capacity and favorable electronic conductivity.

  1. Synthesis and electrical characterization of intrinsic and in situ doped Si nanowires using a novel precursor

    PubMed Central

    Molnar, Wolfgang; Wojcik, Tomasz; Pongratz, Peter; Auner, Norbert; Bauch, Christian; Bertagnolli, Emmerich

    2012-01-01

    Summary Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes SinCl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation. PMID:23019552

  2. Tunable Nanowire Patterning Using Standing Surface Acoustic Waves

    PubMed Central

    Chen, Yuchao; Ding, Xiaoyun; Lin, Sz-Chin Steven; Yang, Shikuan; Huang, Po-Hsun; Nama, Nitesh; Zhao, Yanhui; Nawaz, Ahmad Ahsan; Guo, Feng; Wang, Wei; Gu, Yeyi; Mallouk, Thomas E.; Huang, Tony Jun

    2014-01-01

    Patterning of nanowires in a controllable, tunable manner is important for the fabrication of functional nanodevices. Here we present a simple approach for tunable nanowire patterning using standing surface acoustic waves (SSAW). This technique allows for the construction of large-scale nanowire arrays with well-controlled patterning geometry and spacing within 5 seconds. In this approach, SSAWs were generated by interdigital transducers (IDTs), which induced a periodic alternating current (AC) electric field on the piezoelectric substrate and consequently patterned metallic nanowires in suspension. The patterns could be deposited onto the substrate after the liquid evaporated. By controlling the distribution of the SSAW field, metallic nanowires were assembled into different patterns including parallel and perpendicular arrays. The spacing of the nanowire arrays could be tuned by controlling the frequency of the surface acoustic waves. Additionally, we observed 3D spark-shape nanowire patterns in the SSAW field. The SSAW-based nanowire-patterning technique presented here possesses several advantages over alternative patterning approaches, including high versatility, tunability, and efficiency, making it promising for device applications. PMID:23540330

  3. Polyaniline nanowire arrays aligned on nitrogen-doped carbon fabric for high-performance flexible supercapacitors.

    PubMed

    Yu, Pingping; Li, Yingzhi; Yu, Xinyi; Zhao, Xin; Wu, Lihao; Zhang, Qinghua

    2013-09-24

    A combination of vertical polyaniline (PANI) nanowire arrays and nitrogen plasma etched carbon fiber cloths (eCFC) was fabricated to create 3D nanostructured PANI/eCFC composites. The small size of the highly ordered PANI nanowires can greatly reduce the scale of the diffusion length, allowing for the improved utilization of electrode materials. A two-electrode flexible supercapacitor based on PANI/eCFC demonstrates a high specific capacitance (1035 F g(-1) at a current density of 1 A g(-1)), good rate capability (88% capacity retention at 8 A g(-1)), and long-term cycle life (10% capacity loss after 5000 cycles). The lightweight, low-cost, flexible composites are promising candidates for use in energy storage device applications.

  4. Tungsten oxide@polypyrrole core-shell nanowire arrays as novel negative electrodes for asymmetric supercapacitors.

    PubMed

    Wang, Fengmei; Zhan, Xueying; Cheng, Zhongzhou; Wang, Zhenxing; Wang, Qisheng; Xu, Kai; Safdar, Muhammad; He, Jun

    2015-02-11

    Among active pseudocapacitive materials, polypyrrole (PPy) is a promising electrode material in electrochemical capacitors. PPy-based materials research has thus far focused on its electrochemical performance as a positive electrode rather than as a negative electrode for asymmetric supercapacitors (ASCs). Here high-performance electrochemical supercapacitors are designed with tungsten oxide@PPy (WO3 @PPy) core-shell nanowire arrays and Co(OH)2 nanowires grown on carbon fibers. The WO3 @PPy core-shell nanowire electrode exhibits a high capacitance (253 mF/cm2) in negative potentials (-1.0-0.0 V). The ASCs packaged with CF-Co(OH)2 as a positive electrode and CF-WO3 @PPy as a negative electrode display a high volumetric capacitance up to 2.865 F/cm3 based on volume of the device, an energy density of 1.02 mWh/cm3 , and very good stability performance. These findings promote the application of PPy-based nanostructures as advanced negative electrodes for ASCs. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Catalyst-free, III-V nanowire photovoltaics

    NASA Astrophysics Data System (ADS)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  6. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  7. Photoelectrocatalytic reduction of CO2 to methanol over a photosystem II-enhanced Cu foam/Si-nanowire system.

    PubMed

    Lian, Zichao; Pan, Donglai; Wang, Wenchao; Zhang, Dieqing; Li, Guisheng; Li, Hexing

    2017-10-01

    A solar-light double illumination photoelectrocatalytic cell (SLDIPEC) was fabricated for autonomous CO 2 reduction and O 2 evolution with the aid of photosystem II (PS-II, an efficient light-driven water-oxidized enzyme from nature) and utilized in a photoanode solution. The proposed SLPEC system was composed of Cu foam as the photoanode and p-Si nanowires (Si-NW) as the photocathode. Under solar irradiation, it exhibited a super-photoelectrocatalytic performance for CO 2 conversion to methanol, with a high evolution rate (41.94mmol/hr), owing to fast electron transfer from PS-II to Cu foam. Electrons were subsequently trapped by Si-NW through an external circuit via bias voltage (0.5V), and a suitable conduction band potential of Si (-0.6eV) allowed CO 2 to be easily reduced to CH 3 OH at the photocathode. The constructed Z-scheme between Cu foam and Si-NW can allow the SLDIPEC system to reduce CO 2 (8.03mmol/hr) in the absence of bias voltage. This approach makes full use of the energy band mismatch of the photoanode and photocathode to design a highly efficient device for solving environmental issues and producing clean energy. Copyright © 2017. Published by Elsevier B.V.

  8. 3D ZnIn2S4 nanosheet/TiO2 nanowire arrays and their efficient photocathodic protection for 304 stainless steel

    NASA Astrophysics Data System (ADS)

    Sun, Wenxia; Wei, Na; Cui, Hongzhi; Lin, Yuan; Wang, Xinzhen; Tian, Jian; Li, Jian; Wen, Jing

    2018-03-01

    A well-designed heterostructure engineered ZnIn2S4 nanosheet/TiO2 nanowire arrays photoanode is investigated for photocathodic protection. The ZnIn2S4 nanosheets are distributed uniformly on the surface of the TiO2 nanowire by a hydrothermal method. The stem-and-leaf-like ZnIn2S4 nanosheet/TiO2 nanowire arrays exhibit excellent photoelectrochemical properties, owing to the energy band structure and large surface area. A maximum photocurrent density of 2 mA cm-2 is achieved for the ZnIn2S4 nanosheet/TiO2 nanowire composite film for a 6 h reaction time under white illumination. Moreover, the potential of the 304 stainless steel coupled with the composite film immediately shifts negatively to -1.17 V (vs. SCE), which is significantly lower than the corrosion potential (-0.201 V vs. SCE). Thus, the composite film offers a superior photocathodic protection for stainless steel against corrosion by a NaCl solution. This study provides a promising approach for the design and synthesis of composite films with enhanced photoelectrochemical performance.

  9. High carrier concentration ZnO nanowire arrays for binder-free conductive support of supercapacitors electrodes by Al doping.

    PubMed

    Zheng, Xin; Sun, Yihui; Yan, Xiaoqin; Sun, Xu; Zhang, Guangjie; Zhang, Qian; Jiang, Yaru; Gao, Wenchao; Zhang, Yue

    2016-12-15

    Doping semiconductor nanowires (NWs) for altering their electrical and optical properties is a critical strategy for tailoring the performance of nanodevices. Here, we prepared in situ Al-doped ZnO nanowire arrays by using continuous flow injection (CFI) hydrothermal method to promote the conductivity. This reasonable method offers highly stable precursor concentration for doping that effectively avoid the appearance of the low conductivity ZnO nanosheets. Benefit from this, three orders of magnitude rise of the carrier concentration from 10 16 cm -3 to 10 19 cm -3 can be achieved compared with the common hydrothermal (CH) mothed in Mott-Schottky measurement. Possible effect of Al-doping was discussed by first-principle theory. On this basis, Al-doped ZnO nanowire arrays was developed as a binder-free conductive support for supercapacitor electrodes and high capacitance was triggered. It is owing to the dramatically decreased transfer resistance induced by the growing free-moving electrons and holes. Our results have a profound significance not merely in the controlled synthesis of other doping nanomaterials by co-precipitation method but also in the application of binder-free energy materials or other materials. Copyright © 2016 Elsevier Inc. All rights reserved.

  10. Low temperature preparation of Ag-doped ZnO nanowire arrays for sensor and light-emitting diode applications

    NASA Astrophysics Data System (ADS)

    Lupan, O.; Viana, B.; Cretu, V.; Postica, V.; Adelung, R.; Pauporté, T.

    2016-02-01

    Transition metal doped-oxide semiconductor nanostructures are important to achieve enhanced and new properties for advanced applications. We describe the low temperature preparation of ZnO:Ag nanowire/nanorod (NW/NR) arrays by electrodeposition at 90 °C. The NWs have been characterized by SEM, EDX, transmittance and photoluminescence (PL) measurements. The integration of Ag in the crystal is shown. Single nanowire/nanorod of ZnO:Ag was integrated in a nanosensor structure leading to new and enhanced properties. The ultraviolet (UV) response of the nanosensor was investigated at room temperature. Experimental results indicate that ZnO:Ag (0.75 μM) nanosensor possesses faster response/recovery time and better response to UV light than those reported in literature. The sensor structure has been also shown to give a fast response for the hydrogen detection with improved performances compared to pristine ZnO NWs. ZnO:Ag nanowire/nanorod arrays electrochemically grown on p-type GaN single crystal layer is also shown to act as light emitter in LED structures. The emission wavelength is red-shifted compared to pristine ZnO NW array. At low Ag concentration a single UV-blue emission is found whereas at higher concentration of dopant the emission is broadened and extends up to the red wavelength range. Our study indicates that high quality ZnO:Ag NW/NR prepared at low temperature by electrodeposition can serve as building nanomaterials for new sensors and light emitting diodes (LEDs) structures with low-power consumption.

  11. A Two-Dimensional Ruddlesden-Popper Perovskite Nanowire Laser Array based on Ultrafast Light-Harvesting Quantum Wells.

    PubMed

    Zhang, Haihua; Wu, Yishi; Liao, Qing; Zhang, Zhaoyi; Liu, Yanping; Gao, Qinggang; Liu, Peng; Li, Meili; Yao, Jiannian; Fu, Hongbing

    2018-06-25

    Miniaturized nanowire nanolasers of 3D perovskites feature a high gain coefficient; however, room-temperature optical gain and nanowire lasers from 2D layered perovskites have not been reported to date. A biomimetic approach is presented to construct an artificial ligh-harvesting system in mixed multiple quantum wells (QWs) of 2D-RPPs of (BA) 2 (FA) n-1 Pb n Br 3n+1 , achieving room-temperature ASE and nanowire (NW) lasing. Owing to the improvement of flexible and deformable characteristics provided by organic BA cation layers, high-density large-area NW laser arrays were fabricated with high photostability. Well-controlled dimensions and uniform geometries enabled 2D-RPPs NWs functioning as high-quality Fabry-Perot (FP) lasers with almost identical optical modes, high quality (Q) factor (ca. 1800), and similarly low lasing thresholds. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Comparison of Ti-Based Coatings on Silicon Nanowires for Phosphopeptide Enrichment and Their Laser Assisted Desorption/Ionization Mass Spectrometry Detection

    PubMed Central

    Kurylo, Ievgen; Hamdi, Abderrahmane; Addad, Ahmed; Coffinier, Yannick

    2017-01-01

    We created different TiO2-based coatings on silicon nanowires (SiNWs) by using either thermal metallization or atomic layer deposition (ALD). The fabricated surfaces were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), and reflectivity measurements. Surfaces with different TiO2 based coating thicknesses were then used for phosphopeptide enrichment and subsequent detection by laser desorption/ionization mass spectrometry (LDI-MS). Results showed that the best enrichment and LDI-MS detection were obtained using the silicon nanowires covered with 10 nm of oxidized Ti deposited by means of thermal evaporation. This sample was also able to perform phosphopeptide enrichment and MS detection from serum. PMID:28914806

  13. Facile Synthesis of Vanadium-Doped Ni3S2 Nanowire Arrays as Active Electrocatalyst for Hydrogen Evolution Reaction.

    PubMed

    Qu, Yuanju; Yang, Mingyang; Chai, Jianwei; Tang, Zhe; Shao, Mengmeng; Kwok, Chi Tat; Yang, Ming; Wang, Zhenyu; Chua, Daniel; Wang, Shijie; Lu, Zhouguang; Pan, Hui

    2017-02-22

    Ni 3 S 2 nanowire arrays doped with vanadium(V) are directly grown on nickel foam by a facile one-step hydrothermal method. It is found that the doping can promote the formation of Ni 3 S 2 nanowires at a low temperature. The doped nanowires show excellent electrocatalytic performance toward hydrogen evolution reaction (HER), and outperform pure Ni 3 S 2 and other Ni 3 S 2 -based compounds. The stability test shows that the performance of V-doped Ni 3 S 2 nanowires is improved and stabilized after thousands of linear sweep voltammetry test. The onset potential of V-doped Ni 3 S 2 nanowire can be as low as 39 mV, which is comparable to platinum. The nanowire has an overpotential of 68 mV at 10 mA cm -2 , a relatively low Tafel slope of 112 mV dec -1 , good stability and high Faradaic efficiency. First-principles calculations show that the V-doping in Ni 3 S 2 extremely enhances the free carrier density near the Fermi level, resulting in much improved catalytic activities. We expect that the doping can be an effective way to enhance the catalytic performance of metal disulfides in hydrogen evolution reaction and V-doped Ni 3 S 2 nanowire is one of the most promising electrocatalysts for hydrogen production.

  14. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  15. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  16. Precise and selective sensing of DNA-DNA hybridization by graphene/Si-nanowires diode-type biosensors.

    PubMed

    Kim, Jungkil; Park, Shin-Young; Kim, Sung; Lee, Dae Hun; Kim, Ju Hwan; Kim, Jong Min; Kang, Hee; Han, Joong-Soo; Park, Jun Woo; Lee, Hosun; Choi, Suk-Ho

    2016-08-18

    Single-Si-nanowire (NW)-based DNA sensors have been recently developed, but their sensitivity is very limited because of high noise signals, originating from small source-drain current of the single Si NW. Here, we demonstrate that chemical-vapor-deposition-grown large-scale graphene/surface-modified vertical-Si-NW-arrays junctions can be utilized as diode-type biosensors for highly-sensitive and -selective detection of specific oligonucleotides. For this, a twenty-seven-base-long synthetic oligonucleotide, which is a fragment of human DENND2D promoter sequence, is first decorated as a probe on the surface of vertical Si-NW arrays, and then the complementary oligonucleotide is hybridized to the probe. This hybridization gives rise to a doping effect on the surface of Si NWs, resulting in the increase of the current in the biosensor. The current of the biosensor increases from 19 to 120% as the concentration of the target DNA varies from 0.1 to 500 nM. In contrast, such biosensing does not come into play by the use of the oligonucleotide with incompatible or mismatched sequences. Similar results are observed from photoluminescence microscopic images and spectra. The biosensors show very-uniform current changes with standard deviations ranging ~1 to ~10% by ten-times endurance tests. These results are very promising for their applications in accurate, selective, and stable biosensing.

  17. Designing 3D Multihierarchical Heteronanostructures for High-Performance On-Chip Hybrid Supercapacitors: Poly(3,4-(ethylenedioxy)thiophene)-Coated Diamond/Silicon Nanowire Electrodes in an Aprotic Ionic Liquid.

    PubMed

    Aradilla, David; Gao, Fang; Lewes-Malandrakis, Georgia; Müller-Sebert, Wolfgang; Gentile, Pascal; Boniface, Maxime; Aldakov, Dmitry; Iliev, Boyan; Schubert, Thomas J S; Nebel, Christoph E; Bidan, Gérard

    2016-07-20

    A versatile and robust hierarchically multifunctionalized nanostructured material made of poly(3,4-(ethylenedioxy)thiophene) (PEDOT)-coated diamond@silicon nanowires has been demonstrated to be an excellent capacitive electrode for supercapacitor devices. Thus, the electrochemical deposition of nanometric PEDOT films on diamond-coated silicon nanowire (SiNW) electrodes using N-methyl-N-propylpyrrolidinium bis((trifluoromethyl)sulfonyl)imide ionic liquid displayed a specific capacitance value of 140 F g(-1) at a scan rate of 1 mV s(-1). The as-grown functionalized electrodes were evaluated in a symmetric planar microsupercapacitor using butyltrimethylammonium bis((trifluoromethyl)sulfonyl)imide aprotic ionic liquid as the electrolyte. The device exhibited extraordinary energy and power density values of 26 mJ cm(-2) and 1.3 mW cm(-2) within a large voltage cell of 2.5 V, respectively. In addition, the system was able to retain 80% of its initial capacitance after 15 000 galvanostatic charge-discharge cycles at a high current density of 1 mA cm(-2) while maintaining a Coulombic efficiency around 100%. Therefore, this multifunctionalized hybrid device represents one of the best electrochemical performances concerning coated SiNW electrodes for a high-energy advanced on-chip supercapacitor.

  18. Measuring and Modeling the Growth Dynamics of Self-Catalyzed GaP Nanowire Arrays.

    PubMed

    Oehler, Fabrice; Cattoni, Andrea; Scaccabarozzi, Andrea; Patriarche, Gilles; Glas, Frank; Harmand, Jean-Christophe

    2018-02-14

    The bottom-up fabrication of regular nanowire (NW) arrays on a masked substrate is technologically relevant, but the growth dynamic is rather complex due to the superposition of severe shadowing effects that vary with array pitch, NW diameter, NW height, and growth duration. By inserting GaAsP marker layers at a regular time interval during the growth of a self-catalyzed GaP NW array, we are able to retrieve precisely the time evolution of the diameter and height of a single NW. We then propose a simple numerical scheme which fully computes shadowing effects at play in infinite arrays of NWs. By confronting the simulated and experimental results, we infer that re-emission of Ga from the mask is necessary to sustain the NW growth while Ga migration on the mask must be negligible. When compared to random cosine or random uniform re-emission from the mask, the simple case of specular reflection on the mask gives the most accurate account of the Ga balance during the growth.

  19. Performance of ethanol electro-oxidation on Ni-Cu alloy nanowires through composition modulation.

    PubMed

    Tian, Xi-Ke; Zhao, Xiao-Yu; Zhang, Li-de; Yang, Chao; Pi, Zhen-Bang; Zhang, Su-Xin

    2008-05-28

    To reduce the cost of the catalyst for direct ethanol fuel cells and improve its catalytic activity, highly ordered Ni-Cu alloy nanowire arrays have been fabricated successfully by differential pulse current electro-deposition into the pores of a porous anodic alumina membrane (AAMs). The energy dispersion spectrum, scanning and transmission electron microscopy were utilized to characterize the composition and morphology of the Ni-Cu alloy nanowire arrays. The results reveal that the nanowires in the array are uniform, well isolated and parallel to each other. The catalytic activity of the nanowire electrode arrays for ethanol oxidation was tested and the binary alloy nanowire array possesses good catalytic activity for the electro-oxidation of ethanol. The performance of ethanol electro-oxidation was controlled by varying the Cu content in the Ni-Cu alloy and the Ni-Cu alloy nanowire electrode shows much better stability than the pure Ni one.

  20. Developing high coercivity in large diameter cobalt nanowire arrays

    NASA Astrophysics Data System (ADS)

    Montazer, A. H.; Ramazani, A.; Almasi Kashi, M.; Zavašnik, J.

    2016-11-01

    Regardless of the synthetic method, developing high magnetic coercivity in ferromagnetic nanowires (NWs) with large diameters has been a challenge over the past two decades. Here, we report on the synthesis of highly coercive cobalt NW arrays with diameters of 65 and 80 nm, which are embedded in porous anodic alumina templates with high-aspect-ratio pores. Using a modified electrochemical deposition method enabled us to reach room temperature coercivity and remanent ratio up to 3000 Oe and 0.70, respectively, for highly crystalline as-synthesized hcp cobalt NW arrays with a length of 8 μm. The first-order reversal curve (FORC) analysis showed the presence of both soft and hard magnetic phases along the length of the resulting NWs. To develop higher coercive fields, the length of the NWs was then gradually reduced in order from bottom to top, thereby reaching NW sections governed by the hard phase. Consequently, this resulted in record high coercivities of 4200 and 3850 Oe at NW diameters of 65 and 80 nm, respectively. In this case, the FORC diagrams confirmed a significant reduction in interactions between the magnetic phases of the remaining sections of NWs. At this stage, x-ray diffraction (XRD) and dark-field transmission electron microscopy analyses indicated the formation of highly crystalline bamboo-like sections along the [0 0 2] direction during a progressive pulse-controlled electrochemical growth of NW arrays under optimized parameters. Our results both provide new insights into the growth process, crystalline characteristics and magnetic phases along the length of large diameter NW arrays and, furthermore, develop the performance of pure 3d transition magnetic NWs.

  1. Defect-induced infrared electroluminescence from radial GaInP/AlGaInP quantum well nanowire array light- emitting diodes

    NASA Astrophysics Data System (ADS)

    Hussain, Laiq; Karimi, Mohammad; Berg, Alexander; Jain, Vishal; Borgström, Magnus T.; Gustafsson, Anders; Samuelson, Lars; Pettersson, Håkan

    2017-12-01

    Radial GaInP/AlGaInP nanowire array light-emitting diodes (LEDs) are promising candidates for novel high-efficiency solid state lighting due to their potentially large strain-free active emission volumes compared to planar LEDs. Moreover, by proper tuning of the diameter of the nanowires, the fraction of emitted light extracted can be significantly enhanced compared to that of planar LEDs. Reports so far on radial growth of nanowire LED structures, however, still point to significant challenges related to obtaining defect-free radial heterostructures. In this work, we present evidence of optically active growth-induced defects in a fairly broad energy range in vertically processed radial GaInP/AlGaInP quantum well nanowire array LEDs using a variety of complementary experimental techniques. In particular, we demonstrate strong infrared electroluminescence in a spectral range centred around 1 eV (1.2 μm) in addition to the expected red light emission from the quantum well. Spatially resolved cathodoluminescence studies reveal a patchy red light emission with clear spectral features along the NWs, most likely induced by variations in QW thickness, composition and barriers. Dark areas are attributed to infrared emission generated by competing defect-assisted radiative transitions, or to trapping mechanisms involving non-radiative recombination processes. Possible origins of the defects are discussed.

  2. Piezo‐Phototronic Effect Enhanced Flexible Solar Cells Based on n‐ZnO/p‐SnS Core–Shell Nanowire Array

    PubMed Central

    Zhu, Laipan; Wang, Longfei; Xue, Fei; Chen, Libo; Fu, Jianqiang; Feng, Xiaolong; Li, Tianfeng

    2016-01-01

    The piezo‐phototronic effect is about the enhanced separation, transport, and recombination of the photogenerated carriers using the piezoelectric polarization charges present in piezoelectric‐semiconductor materials. Here, it is presented that the piezo‐phototronic effect can be effectively applied to improve the relative conversion efficiency of a flexible solar cell based on n‐ZnO/p‐SnS core–shell nanowire array for 37.3% under a moderate vertical pressure. The performance of the solar cell can be effectively enhanced by a gentle bending of the device, showing its potential for application in curly geometries. This study not only adds further understanding about the concept of increasing solar energy conversion efficiency via piezo‐phototronic effect, but also demonstrates the great potential of piezo‐phototronic effect in the application of large‐scale, flexible, and lightweight nanowire array solar cells. PMID:28105394

  3. Piezo-Phototronic Effect Enhanced Flexible Solar Cells Based on n-ZnO/p-SnS Core-Shell Nanowire Array.

    PubMed

    Zhu, Laipan; Wang, Longfei; Xue, Fei; Chen, Libo; Fu, Jianqiang; Feng, Xiaolong; Li, Tianfeng; Wang, Zhong Lin

    2017-01-01

    The piezo-phototronic effect is about the enhanced separation, transport, and recombination of the photogenerated carriers using the piezoelectric polarization charges present in piezoelectric-semiconductor materials. Here, it is presented that the piezo-phototronic effect can be effectively applied to improve the relative conversion efficiency of a flexible solar cell based on n-ZnO/p-SnS core-shell nanowire array for 37.3% under a moderate vertical pressure. The performance of the solar cell can be effectively enhanced by a gentle bending of the device, showing its potential for application in curly geometries. This study not only adds further understanding about the concept of increasing solar energy conversion efficiency via piezo-phototronic effect, but also demonstrates the great potential of piezo-phototronic effect in the application of large-scale, flexible, and lightweight nanowire array solar cells.

  4. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Chang-Yong; Stein, Aaron

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  5. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE PAGES

    Nam, Chang-Yong; Stein, Aaron

    2017-11-15

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  6. Micromagnetic simulation and the angular dependence of coercivity and remanence for array of polycrystalline nickel nanowires

    NASA Astrophysics Data System (ADS)

    Fuentes, G. P.; Holanda, J.; Guerra, Y.; Silva, D. B. O.; Farias, B. V. M.; Padrón-Hernández, E.

    2017-02-01

    We present here our experimental results for the preparation and characterization of nanowires of nickel and the analysis of the angular dependence of coercivity and remanence using experimental data and micromagnetic simulation. The fabrication was made by using aluminum oxide membranes as templates and deposited nickel by an electrochemical route. The magnetic measurements showed that coercivity and remanence are dependent of the angle of application of the external magnetic field. Our results are different than that expected for the coherent, vortex and transversal modes of the reversion for the magnetic moments. According to the transmission electron microscopy analysis we can see that our nanowires have not a perfect cylindrical format. That is why we have used the ellipsoids chain model for better understanding the real structure of wires and its relation with the magnetic behavior. In order to generate theoretical results for this configuration we have made micromagnetic simulation using Nmag code. Our numerical results for the realistic distances are in correspondence with the magnetic measurements and we can see that there are contradictions if we assume the transverse reversal mode. Then, we can conclude that structure of nanowires should be taken into account to understand the discrepancies reported in the literature for the reversion mechanism in arrays of nickel nanowires.

  7. Why self-catalyzed nanowires are most suitable for large-scale hierarchical integrated designs of nanowire nanoelectronics

    NASA Astrophysics Data System (ADS)

    Noor Mohammad, S.

    2011-10-01

    Nanowires are grown by a variety of mechanisms, including vapor-liquid-solid, vapor-quasiliquid-solid or vapor-quasisolid-solid, oxide-assisted growth, and self-catalytic growth (SCG) mechanisms. A critical analysis of the suitability of self-catalyzed nanowires, as compared to other nanowires, for next-generation technology development has been carried out. Basic causes of superiority of self-catalyzed (SCG) nanowires over other nanowires have been described. Polytypism in nanowires has been studied, and a model for polytypism has been proposed. The model predicts polytypism in good agreement with available experiments. This model, together with various evidences, demonstrates lower defects, dislocations, and stacking faults in SCG nanowires, as compared to those in other nanowires. Calculations of carrier mobility due to dislocation scattering, ionized impurity scattering, and acoustic phonon scattering explain the impact of defects, dislocations, and stacking faults on carrier transports in SCG and other nanowires. Analyses of growth mechanisms for nanowire growth directions indicate SCG nanowires to exhibit the most controlled growth directions. In-depth investigation uncovers the fundamental physics underlying the control of growth direction by the SCG mechanism. Self-organization of nanowires in large hierarchical arrays is crucial for ultra large-scale integration (ULSI). Unique features and advantages of self-organized SCG nanowires, unlike other nanowires, for this ULSI have been discussed. Investigations of nanowire dimension indicate self-catalyzed nanowires to have better control of dimension, higher stability, and higher probability, even for thinner structures. Theoretical calculations show that self-catalyzed nanowires, unlike catalyst-mediated nanowires, can have higher growth rate and lower growth temperature. Nanowire and nanotube characteristics have been found also to dictate the performance of nanoelectromechanical systems. Defects, such as

  8. The Self- and Directed Assembly of Nanowires

    NASA Astrophysics Data System (ADS)

    Smith, Benjamin David

    This thesis explores the self- and directed assembly of nanowires. Specifically, we examine the driving forces behind nanowire self-assembly and the macro-structures that are formed. Particle-dense, oriented nanowire structures show promise in the fields of photonics, energy, sensing, catalysis, and electronics. Arrays of spherical particles have already found uses in electronic inks, sensing arrays, and many other commercial applications; but, it is a challenge to create specific arrays of morphologically and/or compositionally anisotropic particles. The following chapters illuminate the interactions that drive the assembly of anisotropic particles in high density solutions in the absence of applied fields or solution drying. Special emphasis is placed on the structures that are formed. The properties of micro- and nanoparticles and their assembly are introduced in Chapter 1. In particular, the properties of shape and material anisotropic particles are highlighted, while challenges in producing desired arrays are discussed. In this thesis, metallic nanowires of increasing complexity were used to examine the self-assembly behavior of both shape and material anisotropic particles. Nanowires were synthesized through templated electrodeposition. In this process, porous alumina membranes served as a template in which metal salts were reduced to form particles. Upon template dissolution, billions of nominally identical particles were released. We specifically focused on segmented, metallic nanowires 2-13 mum in length and 180 to 350 nm in diameter. Since these particles have strong van der Waals (VDWs) attractions, an electrostatically repulsive coating was necessary to prevent aggregation; we used small molecule, DNA, or amorphous silica coatings. Nanowires and their coatings were characterized by electron microscopy. In order to study self-assembly behavior, particle-dense aqueous suspensions were placed within an assembly chamber defined by a silicone spacer. The

  9. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  10. Controllable Synthesis of Ordered Mesoporous Mo2C@Graphitic Carbon Core-Shell Nanowire Arrays for Efficient Electrocatalytic Hydrogen Evolution.

    PubMed

    Zhu, Jiahui; Yao, Yan; Chen, Zhi; Zhang, Aijian; Zhou, Mengyuan; Guo, Jun; Wu, Winston Duo; Chen, Xiao Dong; Li, Yanguang; Wu, Zhangxiong

    2018-06-06

    Mo 2 C is a possible substitute to Pt-group metals for electrocatalytic hydrogen evolution reaction (HER). Both support-free and carbon-supported Mo 2 C nanomaterials with improved HER performance have been developed. Herein, distinct from prior research, novel ordered mesoporous core-shell nanowires with Mo 2 C cores and ultrathin graphitic carbon (GC) shells are rationally synthesized and demonstrated to be excellent for HER. The synthesis is fulfilled via a hard-templating approach combining in situ carburization and localized carbon deposition. Phosphomolybdic acid confined in the SBA-15 template is first converted to MoO 2 , which is then in situ carburized to Mo 2 C nanowires with abundant surface defects. Simultaneously, GC layer (the thickness is down to ∼1.0 nm in most areas) is controlled to be locally deposited on the Mo 2 C surface because of its strong affinity with carbon and catalytic effect on graphitization. Removal of the template results in the Mo 2 C@GC core-shell nanowire arrays with the structural properties well-characterized. They exhibit excellent performance for HER with a low overpotential of 125 mV at 10 mA cm -2 , a small Tafel slope of 66 mV dec -1 , and an excellent stability in acidic electrolytes. The influences of several factors, especially the spatial configuration and relative contents of the GC and Mo 2 C components, on HER performance are elucidated with control experiments. The excellent HER performance of the mesoporous Mo 2 C@GC core-shell nanowire arrays originates from the rough Mo 2 C nanowires with diverse active sites and short charge-transfer paths and the ultrathin GC shells with improved surface area, electronic conductivity, and stabilizing effect on Mo 2 C.

  11. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  12. Gold-coated silicon nanowire-graphene core-shell composite film as a polymer binder-free anode for rechargeable lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Kim, Han-Jung; Lee, Sang Eon; Lee, Jihye; Jung, Joo-Yun; Lee, Eung-Sug; Choi, Jun-Hyuk; Jung, Jun-Ho; Oh, Minsub; Hyun, Seungmin; Choi, Dae-Geun

    2014-07-01

    We designed and fabricated a gold (Au)-coated silicon nanowires/graphene (Au-SiNWs/G) hybrid composite as a polymer binder-free anode for rechargeable lithium-ion batteries (LIBs). A large amount of SiNWs for LIB anode materials can be prepared by metal-assisted chemical etching (MaCE) process. The Au-SiNWs/G composite film on current collector was obtained by vacuum filtration using an anodic aluminum oxide (AAO) membrane and hot pressing method. Our experimental results show that the Au-SiNWs/G composite has a stable reversible capacity of about 1520 mA h/g which was maintained for 20 cycles. The Au-SiNWs/G composite anode showed much better cycling performance than SiNWs/polyvinylidene fluoride (PVDF)/Super-P, SiNWs/G composite, and pure SiNWs anodes. The improved electrochemical properties of the Au-SiNWs/G composite anode material is mainly ascribed to the composite's porous network structure.

  13. Integration of a highly ordered gold nanowires array with glucose oxidase for ultra-sensitive glucose detection.

    PubMed

    Cui, Jiewu; Adeloju, Samuel B; Wu, Yucheng

    2014-01-27

    A highly sensitive amperometric nanobiosensor has been developed by integration of glucose oxidase (GO(x)) with a gold nanowires array (AuNWA) by cross-linking with a mixture of glutaraldehyde (GLA) and bovine serum albumin (BSA). An initial investigation of the morphology of the synthesized AuNWA by field emission scanning electron microscopy (FESEM) and field emission transmission electron microscopy (FETEM) revealed that the nanowires array was highly ordered with rough surface, and the electrochemical features of the AuNWA with/without modification were also investigated. The integrated AuNWA-BSA-GLA-GO(x) nanobiosensor with Nafion membrane gave a very high sensitivity of 298.2 μA cm(-2) mM(-1) for amperometric detection of glucose, while also achieving a low detection limit of 0.1 μM, and a wide linear range of 5-6000 μM. Furthermore, the nanobiosensor exhibited excellent anti-interference ability towards uric acid (UA) and ascorbic acid (AA) with the aid of Nafion membrane, and the results obtained for the analysis of human blood serum indicated that the device is capable of glucose detection in real samples. Copyright © 2013 Elsevier B.V. All rights reserved.

  14. 2D XANES-XEOL mapping: observation of enhanced band gap emission from ZnO nanowire arrays

    NASA Astrophysics Data System (ADS)

    Wang, Zhiqiang; Guo, Xiaoxuan; Sham, Tsun-Kong

    2014-05-01

    Using 2D XANES-XEOL spectroscopy, it is found that the band gap emission of ZnO nanowire arrays is substantially enhanced i.e. that the intensity ratio between the band gap and defect emissions increases by more than an order of magnitude when the excitation energy is scanned across the O K-edge. Possible mechanisms are discussed.Using 2D XANES-XEOL spectroscopy, it is found that the band gap emission of ZnO nanowire arrays is substantially enhanced i.e. that the intensity ratio between the band gap and defect emissions increases by more than an order of magnitude when the excitation energy is scanned across the O K-edge. Possible mechanisms are discussed. Electronic supplementary information (ESI) available: XEOL spectra with different excitation energies. X-ray attenuation length vs. photon energy. Details of surface defects in ZnO NWs. The second O K-edge and Zn L-edge 2D XANES-XEOL maps. Comparison of the first and second TEY at O K-edge and Zn L-edge scans, respectively. Raman spectra of the ZnO NWs with different IBGE/IDE ratios. See DOI: 10.1039/c4nr01049c

  15. Hexagonal Nanopyramidal Prisms of Nearly Intrinsic InN on Patterned GaN Nanowire Arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Golam Sarwar, A. T. M.; Leung, Benjamin; Wang, George T.

    By using multiple growth steps that separate the nucleation and growth processes, we show that nearly intrinsic InN single nanocrystals of high optical quality can be formed on patterned GaN nanowire arrays by molecular beam epitaxy. The InN nanostructures form into well-defined hexagonal prisms with pyramidal tops. Micro-photoluminescence (μ-PL) is carried out at low temperature (LT: 28.2 K) and room temperature (RT: 285 K) to gauge the relative material quality of the InN nanostructures. Nanopyramidal prisms grown using a three-step growth method are found to show superior quantum efficiency. In conclusion, excitation and temperature dependent μ-PL demonstrates the very highmore » quality and nearly intrinsic nature of the ordered InN nanostructure arrays.« less

  16. Hexagonal Nanopyramidal Prisms of Nearly Intrinsic InN on Patterned GaN Nanowire Arrays

    DOE PAGES

    Golam Sarwar, A. T. M.; Leung, Benjamin; Wang, George T.; ...

    2018-01-04

    By using multiple growth steps that separate the nucleation and growth processes, we show that nearly intrinsic InN single nanocrystals of high optical quality can be formed on patterned GaN nanowire arrays by molecular beam epitaxy. The InN nanostructures form into well-defined hexagonal prisms with pyramidal tops. Micro-photoluminescence (μ-PL) is carried out at low temperature (LT: 28.2 K) and room temperature (RT: 285 K) to gauge the relative material quality of the InN nanostructures. Nanopyramidal prisms grown using a three-step growth method are found to show superior quantum efficiency. In conclusion, excitation and temperature dependent μ-PL demonstrates the very highmore » quality and nearly intrinsic nature of the ordered InN nanostructure arrays.« less

  17. Conductivity of Nanowire Arrays under Random and Ordered Orientation Configurations

    PubMed Central

    Jagota, Milind; Tansu, Nelson

    2015-01-01

    A computational model was developed to analyze electrical conductivity of random metal nanowire networks. It was demonstrated for the first time through use of this model that a performance gain in random metal nanowire networks can be achieved by slightly restricting nanowire orientation. It was furthermore shown that heavily ordered configurations do not outperform configurations with some degree of randomness; randomness in the case of metal nanowire orientations acts to increase conductivity. PMID:25976936

  18. Vertically aligned CdSe nanowire arrays for energy harvesting and piezotronic devices.

    PubMed

    Zhou, Yu Sheng; Wang, Kai; Han, Weihua; Rai, Satish Chandra; Zhang, Yan; Ding, Yong; Pan, Caofeng; Zhang, Fang; Zhou, Weilie; Wang, Zhong Lin

    2012-07-24

    We demonstrated the energy harvesting potential and piezotronic effect in vertically aligned CdSe nanowire (NW) arrays for the first time. The CdSe NW arrays were grown on a mica substrate by the vapor-liquid-solid process using a CdSe thin film as seed layer and platinum as catalyst. High-resolution transmission electron microscopy image and selected area electron diffraction pattern indicate that the CdSe NWs have a wurtzite structure and growth direction along (0001). Using conductive atomic force microscopy (AFM), an average output voltage of 30.7 mV and maximum of 137 mV were obtained. To investigate the effect of strain on electron transport, the current-voltage characteristics of the NWs were studied by positioning an AFM tip on top of an individual NW. By applying normal force/stress on the NW, the Schottky barrier between the Pt and CdSe was found to be elevated due to the piezotronic effect. With the change of strain of 0.12%, a current decreased from 84 to 17 pA at 2 V bias. This paper shows that the vertical CdSe NW array is a potential candidate for future piezo-phototronic devices.

  19. Oriented epitaxial TiO2 nanowires for water splitting

    NASA Astrophysics Data System (ADS)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  20. Band gap enhancement of glancing angle deposited TiO2 nanowire array

    NASA Astrophysics Data System (ADS)

    Chinnamuthu, P.; Mondal, A.; Singh, N. K.; Dhar, J. C.; Chattopadhyay, K. K.; Bhattacharya, Sekhar

    2012-09-01

    Vertically oriented TiO2 nanowire (NW) arrays were fabricated by glancing angle deposition technique. Field emission-scanning electron microscopy shows the formation of two different diameters ˜80 nm and ˜40 nm TiO2 NW for 120 and 460 rpm azimuthal rotation of the substrate. The x-ray diffraction and Raman scattering depicted the presence of rutile and anatase phase TiO2. The overall Raman scattering intensity decreased with nanowire diameter. The role of phonon confinement in anatase and rutile peaks has been discussed. The red (7.9 cm-1 of anatase Eg) and blue (7.4 cm-1 of rutile Eg, 7.8 cm-1 of rutile A1g) shifts of Raman frequencies were observed. UV-vis absorption measurements show the main band absorption at 3.42 eV, 3.48 eV, and ˜3.51 eV for thin film and NW prepared at 120 and 460 rpm, respectively. Three fold enhance photon absorption and intense light emission were observed for NW assembly. The photoluminescence emission from the NW assembly revealed blue shift in main band transition due to quantum confinement in NW structures.

  1. Improvement of the physical properties of ZnO/CdTe core-shell nanowire arrays by CdCl2 heat treatment for solar cells

    PubMed Central

    2014-01-01

    CdTe is an important compound semiconductor for solar cells, and its use in nanowire-based heterostructures may become a critical requirement, owing to the potential scarcity of tellurium. The effects of the CdCl2 heat treatment are investigated on the physical properties of vertically aligned ZnO/CdTe core-shell nanowire arrays grown by combining chemical bath deposition with close space sublimation. It is found that recrystallization phenomena are induced by the CdCl2 heat treatment in the CdTe shell composed of nanograins: its crystallinity is improved while grain growth and texture randomization occur. The presence of a tellurium crystalline phase that may decorate grain boundaries is also revealed. The CdCl2 heat treatment further favors the chlorine doping of the CdTe shell with the formation of chlorine A-centers and can result in the passivation of grain boundaries. The absorption properties of ZnO/CdTe core-shell nanowire arrays are highly efficient, and more than 80% of the incident light can be absorbed in the spectral range of the solar irradiance. The resulting photovoltaic properties of solar cells made from ZnO/CdTe core-shell nanowire arrays covered with CuSCN/Au back-side contact are also improved after the CdCl2 heat treatment. However, recombination and trap phenomena are expected to operate, and the collection of the holes that are mainly photo-generated in the CdTe shell from the CuSCN/Au back-side contact is presumably identified as the main critical point in these solar cells. PMID:24910576

  2. Topological Insulator Bi2Se3/Si-Nanowire-Based p-n Junction Diode for High-Performance Near-Infrared Photodetector.

    PubMed

    Das, Biswajit; Das, Nirmalya S; Sarkar, Samrat; Chatterjee, Biplab K; Chattopadhyay, Kalyan K

    2017-07-12

    Chemically derived topological insulator Bi 2 Se 3 nanoflake/Si nanowire (SiNWs) heterojunctions were fabricated employing all eco-friendly cost-effective chemical route for the first time. X-ray diffraction studies confirmed proper phase formation of Bi 2 Se 3 nanoflakes. The morphological features of the individual components and time-evolved hybrid structures were studied using field emission scanning electron microscope. High resolution transmission electron microscopic studies were performed to investigate the actual nature of junction whereas elemental distributions at junction, along with overall stoichiometry of the samples were analyzed using energy dispersive X-ray studies. Temperature dependent current-voltage characteristics and variation of barrier height and ideality factor was studied between 50 and 300 K. An increase in barrier height and decrease in the ideality factor were observed with increasing temperature for the sample. The rectification ratio (I + /I - ) for SiNWs substrate over pristine Si substrate under dark and near-infrared (NIR) irradiation of 890 nm was found to be 3.63 and 10.44, respectively. Furthermore, opto-electrical characterizations were performed for different light power intensities and highest photo responsivity and detectivity were determined to be 934.1 A/W and 2.30 × 10 13 Jones, respectively. Those values are appreciably higher than previous reports for topological insulator based devices. Thus, this work establishes a hybrid system based on topological insulator Bi 2 Se 3 nanoflake and Si nanowire as the newest efficient candidate for advanced optoelectronic materials.

  3. Magnetic and superconducting nanowires.

    PubMed

    Piraux, L; Encinas, A; Vila, L; Mátéfi-Tempfli, S; Mátéfi-Tempfli, M; Darques, M; Elhoussine, F; Michotte, S

    2005-03-01

    This article is focused on the use of electrodeposition and of various nanoporous templates for the fabrication of metallic nanowires made from single metals (Ni, Co, Pb, Sn), alloys (NiFe, CoFe, CoPt), and multilayers (Co/Cu, NiFe/Cu). An overview is given of our recent studies performed on both magnetic and superconducting nanowires. Using different approaches entailing measurements on both single wires and arrays, numerous interesting physical properties have been identified in relation to the nanoscopic dimensions of these materials. Finally, various novel applications of the nanowires are also discussed.

  4. Highly catalytic and stabilized titanium nitride nanowire array-decorated graphite felt electrodes for all vanadium redox flow batteries

    NASA Astrophysics Data System (ADS)

    Wei, L.; Zhao, T. S.; Zeng, L.; Zeng, Y. K.; Jiang, H. R.

    2017-02-01

    In this work, we prepare a highly catalytic and stabilized titanium nitride (TiN) nanowire array-decorated graphite felt electrode for all vanadium redox flow batteries (VRFBs). Free-standing TiN nanowires are synthesized by a two-step process, in which TiO2 nanowires are first grown onto the surface of graphite felt via a seed-assisted hydrothermal method and then converted to TiN through nitridation reaction. When applied to VRFBs, the prepared electrode enables the electrolyte utilization and energy efficiency to be 73.9% and 77.4% at a high current density of 300 mA cm-2, which are correspondingly 43.3% and 15.4% higher than that of battery assembled with a pristine electrode. More impressively, the present battery exhibits good stability and high capacity retention during the cycle test. The superior performance is ascribed to the significant improvement in the electrochemical kinetics and enlarged active sites toward V3+/V2+ redox reaction.

  5. Crystal Orientation Controlled Photovoltaic Properties of Multilayer GaAs Nanowire Arrays.

    PubMed

    Han, Ning; Yang, Zai-Xing; Wang, Fengyun; Yip, SenPo; Li, Dapan; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2016-06-28

    In recent years, despite significant progress in the synthesis, characterization, and integration of various nanowire (NW) material systems, crystal orientation controlled NW growth as well as real-time assessment of their growth-structure-property relationships still presents one of the major challenges in deploying NWs for practical large-scale applications. In this study, we propose, design, and develop a multilayer NW printing scheme for the determination of crystal orientation controlled photovoltaic properties of parallel GaAs NW arrays. By tuning the catalyst thickness and nucleation and growth temperatures in the two-step chemical vapor deposition, crystalline GaAs NWs with uniform, pure ⟨110⟩ and ⟨111⟩ orientations and other mixture ratios can be successfully prepared. Employing lift-off resists, three-layer NW parallel arrays can be easily attained for X-ray diffraction in order to evaluate their growth orientation along with the fabrication of NW parallel array based Schottky photovoltaic devices for the subsequent performance assessment. Notably, the open-circuit voltage of purely ⟨111⟩-oriented NW arrayed cells is far higher than that of ⟨110⟩-oriented NW arrayed counterparts, which can be interpreted by the different surface Fermi level pinning that exists on various NW crystal surface planes due to the different As dangling bond densities. All this indicates the profound effect of NW crystal orientation on physical and chemical properties of GaAs NWs, suggesting the careful NW design considerations for achieving optimal photovoltaic performances. The approach presented here could also serve as a versatile and powerful platform for in situ characterization of other NW materials.

  6. Growth and optical properties of CMOS-compatible silicon nanowires for photonic devices

    NASA Astrophysics Data System (ADS)

    Guichard, Alex Richard

    Silicon (Si) is the dominant semiconductor material in both the microelectronic and photovoltaic industries. Despite its poor optical properties, Si is simply too abundant and useful to be completely abandoned in either industry. Since the initial discovery of efficient room temperature photoluminescence (PL) from porous Si and the following discoveries of PL and time-resolved optical gain from Si nanocrystals (Si-nc) in SiO2, many groups have studied the feasibility of making Si-based, CMOS-compatible electroluminescent devices and electrically pumped lasers. These studies have shown that for Si-ne sizes below about 10 nm, PL can be attributed to radiative recombination of confined excitons and quantum efficiencies can reach 90%. PL peak energies are blue-shifted from the bulk Si band edge of 1.1 eV due to the quantum confinement effect and PL decay lifetimes are on mus timescales. However, many unanswered questions still exist about both the ease of carrier injection and various non-radiative and loss mechanisms that are present. A potential alternative material system to porous Si and Si-nc is Si nanowires (SiNWs). In this thesis, I examine the optical properties of SiNWs with diameters in the range of 3-30 nm fabricated by a number of compound metal oxide semiconductor (CMOS) compatible fabrication techniques including Chemical Vapor Deposition on metal nanoparticle coated substrates, catalytic wet etching of bulk Si and top-down electron-beam lithographic patterning. Using thermal oxidation and etching, we can increase the degree of confinement in the SiNWs. I demonstrate PL peaked in the visible and near-infrared (NIR) wavelength ranges that is tunable by controlling the crystalline SiNW core diameter, which is measured with dark field and high-resolution transmission electron microscopy. PL decay lifetimes of the SiNWs are on the order of 50 mus after proper surface passivation, which suggest that the PL is indeed from confined carriers in the SiNW cores

  7. Resonant features of the terahertz generation in semiconductor nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trukhin, V. N., E-mail: valera.truchin@mail.ioffe.ru; Bouravleuv, A. D.; Mustafin, I. A.

    2016-12-15

    The paper presents the results of experimental studies of the generation of terahertz radiation in periodic arrays of GaAs nanowires via excitation by ultrashort optical pulses. It is found that the generation of THz radiation exhibits resonant behavior due to the resonant excitation of cylindrical modes in the nanowires. At the optimal geometric parameters of the nanowire array, the generation efficiency is found to be higher than that for bulk p-InAs, which is one of the most effective coherent terahertz emitters.

  8. High speed superconducting nanowire single-photon detector with nine interleaved nanowires

    NASA Astrophysics Data System (ADS)

    Huang, Jia; Zhang, Weijun; You, Lixing; Zhang, Chengjun; Lv, Chaolin; Wang, Yong; Liu, Xiaoyu; Li, Hao; Wang, Zhen

    2018-07-01

    Count rate (CR) is one of the key parameters of superconducting nanowire single-photon detectors (SNSPDs). The practical SNSPDs usually have a CR of a few MHz to a few tens of MHz owing to the large kinetic inductance originating from the long nanowire, which is necessary for effectively coupling the photons. A feasible approach to decrease the kinetic inductance and consequently increase the detection speed is to replace a long single nanowire with multiple individual nanowires in an array. In this study, we report an SNSPD of nine interleaved nanowires with 70% system detection efficiency (SDE) and 200 Hz dark count rate at the low-photon-flux limit of 1550 nm. Owing to the small dead time (<6 ns) of each nanowire, the SNSPD achieved a maximum CR of 0.93 GHz at a photon flux of 1.26 × 1010 photons s‑1 with an SDE of ∼7.4%, and a CR of 200 MHz with an SDE of over 50%. Furthermore, a photon number resolvability of up to nine photons was also demonstrated.

  9. Hierarchical Nanocomposites of Polyaniline Nanowire Arrays on Reduced Graphene Oxide Sheets for Supercapacitors

    PubMed Central

    Wang, Li; Ye, Yinjian; Lu, Xingping; Wen, Zhubiao; Li, Zhuang; Hou, Haoqing; Song, Yonghai

    2013-01-01

    Here we reported a novel route to synthesize a hierarchical nanocomposite (PANI-frGO) of polyaniline (PANI) nanowire arrays covalently bonded on reduced graphene oxide (rGO). In this strategy, nitrophenyl groups were initially grafted on rGO via C-C bond, and then reduced to aminophenyl to act as anchor sites for the growth of PANI arrays on rGO. The functionalized process was confirmed by atomic force microscopy, scanning electron microscopy, Fourier transform infrared spectroscopy, Raman spectroscopy and thermogravimetric analysis. The electrochemical properties of the PANI-frGO as supercapacitor materials were investigated. The PANI-frGO nanocomposites showed high capacitance of 590 F g−1 at 0.1 A g−1, and had no loss of capacitance after 200 cycles at 2 A g−1. The improved electrochemical performance suggests promising application of the PANI-frGO nanocomposites in high-performance supercapacitors. PMID:24356535

  10. Hierarchical Nanocomposites of Polyaniline Nanowire Arrays on Reduced Graphene Oxide Sheets for Supercapacitors

    NASA Astrophysics Data System (ADS)

    Wang, Li; Ye, Yinjian; Lu, Xingping; Wen, Zhubiao; Li, Zhuang; Hou, Haoqing; Song, Yonghai

    2013-12-01

    Here we reported a novel route to synthesize a hierarchical nanocomposite (PANI-frGO) of polyaniline (PANI) nanowire arrays covalently bonded on reduced graphene oxide (rGO). In this strategy, nitrophenyl groups were initially grafted on rGO via C-C bond, and then reduced to aminophenyl to act as anchor sites for the growth of PANI arrays on rGO. The functionalized process was confirmed by atomic force microscopy, scanning electron microscopy, Fourier transform infrared spectroscopy, Raman spectroscopy and thermogravimetric analysis. The electrochemical properties of the PANI-frGO as supercapacitor materials were investigated. The PANI-frGO nanocomposites showed high capacitance of 590 F g-1 at 0.1 A g-1, and had no loss of capacitance after 200 cycles at 2 A g-1. The improved electrochemical performance suggests promising application of the PANI-frGO nanocomposites in high-performance supercapacitors.

  11. Hierarchical nanocomposites of polyaniline nanowire arrays on reduced graphene oxide sheets for supercapacitors.

    PubMed

    Wang, Li; Ye, Yinjian; Lu, Xingping; Wen, Zhubiao; Li, Zhuang; Hou, Haoqing; Song, Yonghai

    2013-12-20

    Here we reported a novel route to synthesize a hierarchical nanocomposite (PANI-frGO) of polyaniline (PANI) nanowire arrays covalently bonded on reduced graphene oxide (rGO). In this strategy, nitrophenyl groups were initially grafted on rGO via C-C bond, and then reduced to aminophenyl to act as anchor sites for the growth of PANI arrays on rGO. The functionalized process was confirmed by atomic force microscopy, scanning electron microscopy, Fourier transform infrared spectroscopy, Raman spectroscopy and thermogravimetric analysis. The electrochemical properties of the PANI-frGO as supercapacitor materials were investigated. The PANI-frGO nanocomposites showed high capacitance of 590 F g(-1) at 0.1 A g(-1), and had no loss of capacitance after 200 cycles at 2 A g(-1). The improved electrochemical performance suggests promising application of the PANI-frGO nanocomposites in high-performance supercapacitors.

  12. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  13. Shape Evolution of Highly Lattice-Mismatched InN/InGaN Nanowire Heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Lifan; Hazari, Arnab; Bhattacharya, Pallab; Millunchick, Joanna M.

    2018-02-01

    We have investigated the structure and shape of GaN-based nanowires grown on (001) Si substrates for optoelectronic device applications. The nanowire heterostructures contained InN disks and In0.4Ga0.6N barrier layers in the active region. The resulting nanowire array comprised two differently shaped nanowires: shorter pencil-like nanowires and longer bead-like nanowires. The two different nanowire shapes evolve due to a variation in the In incorporation rate, which was faster for the bead-like nanowires. Both types of nanowires exhibited evidence of significant migration of both Ga and In during growth. Ga tended to diffuse away and down along the sidewalls, resulting in a Ga-rich shell for all nanowires. Despite the complex structure and great variability in the In composition, the optical properties of the nanowire arrays were very good, with strong luminescence peaking at ˜ 1.63 μm.

  14. A high performance three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection.

    PubMed

    Xu, Chenlong; Song, Zhiqian; Xiang, Qun; Jin, Jian; Feng, Xinjian

    2016-04-14

    We describe here a high performance oxygen-rich three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection. We demonstrate that its linear detection upper limit is 30 mM, more than 15 times higher than that can be obtained on the normal enzyme-electrode. Notably, the three-phase enzyme electrode output is insensitive to the significant oxygen level fluctuation in analyte solution.

  15. Interactions between semiconductor nanowires and living cells.

    PubMed

    Prinz, Christelle N

    2015-06-17

    Semiconductor nanowires are increasingly used for biological applications and their small dimensions make them a promising tool for sensing and manipulating cells with minimal perturbation. In order to interface cells with nanowires in a controlled fashion, it is essential to understand the interactions between nanowires and living cells. The present paper reviews current progress in the understanding of these interactions, with knowledge gathered from studies where living cells were interfaced with vertical nanowire arrays. The effect of nanowires on cells is reported in terms of viability, cell-nanowire interface morphology, cell behavior, changes in gene expression as well as cellular stress markers. Unexplored issues and unanswered questions are discussed.

  16. Piezo-generator integrating a vertical array of GaN nanowires.

    PubMed

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  17. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  18. GaN nanowire arrays with nonpolar sidewalls for vertically integrated field-effect transistors

    NASA Astrophysics Data System (ADS)

    Yu, Feng; Yao, Shengbo; Römer, Friedhard; Witzigmann, Bernd; Schimpke, Tilman; Strassburg, Martin; Bakin, Andrey; Schumacher, Hans Werner; Peiner, Erwin; Suryo Wasisto, Hutomo; Waag, Andreas

    2017-03-01

    Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

  19. Manifestations of Surface States in the Longitudinal Magnetoresistance of an Array of Bi Nanowires

    NASA Astrophysics Data System (ADS)

    Latyshev, Yu. I.; Frolov, A. V.; Volkov, V. A.; Wade, T.; Prudkoglyad, V. A.; Orlov, A. P.; Pudalov, V. M.; Konczykowski, M.

    2018-04-01

    The longitudinal magnetoresistance of the array of parallel-oriented bismuth nanowires each 100 nm in diameter grown by electrochemical deposition in nanopores of an Al2O3 membrane has been studied in magnetic fields up to 14 T and at temperatures down to 0.3 K. The resistance increases with the field and reaches a broad maximum in fields about 10 T. An anomalous increase in the resistance in weak fields is qualitatively consistent with the suppression of the antilocalization correction to the resistance, and the maximum is qualitatively associated with the classical size effect. Near the maximum at temperatures below 0.8 K, manifestations of reproducible magneto-oscillations of the resistance, which are periodic in field, have been detected. The period of these oscillations is close to a value corresponding to the passage of the flux quantum hc/ e through the section of a nanowire. The Fourier analysis also confirms that the oscillations are periodic. This result is similar to the manifestation the Aharonov-Bohm effect caused by conducting surface states of Dirac fermions occupying L-valleys of bismuth.

  20. Monolithically Integrated Self-Charging Power Pack Consisting of a Silicon Nanowire Array/Conductive Polymer Hybrid Solar Cell and a Laser-Scribed Graphene Supercapacitor.

    PubMed

    Liu, Hanhui; Li, Mengping; Kaner, Richard B; Chen, Songyan; Pei, Qibing

    2018-05-09

    Owing to the need for portable and sustainable energy sources and the development trend for microminiaturization and multifunctionalization in the electronic components, the study of integrated self-charging power packs has attracted increasing attention. A new self-charging power pack consisting of a silicon nanowire array/poly(3,4-ethylenedioxythiophene):polystyrenesulfonate (PEDOT:PSS) hybrid solar cell and a laser-scribed graphene (LSG) supercapacitor has been fabricated. The Si nanowire array/PEDOT:PSS hybrid solar cell structure exhibited a high power conversion efficiency (PCE) of 12.37%. The LSG demonstrated excellent energy storage capability for the power pack, with high current density, energy density, and cyclic stability when compared to other supercapacitor electrodes such as active carbon and conducting polymers. The overall efficiency of the power unit is 2.92%.

  1. Understanding Self-Catalyzed Epitaxial Growth of III-V Nanowires toward Controlled Synthesis.

    PubMed

    Zi, Yunlong; Suslov, Sergey; Yang, Chen

    2017-02-08

    The self-catalyzed growth of III-V nanowires has drawn plenty of attention due to the potential of integration in current Si-based technologies. The homoparticle-assisted vapor-liquid-solid growth mechanism has been demonstrated for self-catalyzed III-V nanowire growth. However, the understandings of the preferred growth sites of these nanowires are still limited, which obstructs the controlled synthesis and the applications of self-catalyzed nanowire arrays. Here, we experimentally demonstrated that thermally created pits could serve as the preferred sites for self-catalyzed InAs nanowire growth. On that basis, we performed a pregrowth annealing strategy to promote the nanowire density by enhancing the pits formation on the substrate surface and enable the nanowire growth on the substrate that was not capable to facilitate the growth. The discovery of the preferred self-catalyzed nanowire growth sites and the pregrowth annealing strategy have shown great potentials for controlled self-catalyzed III-V nanowire array growth with preferred locations and density.

  2. Formation of Ordered and Disordered Dielectric/metal Nanowire Arrays and their Plasmonic Behavior

    DTIC Science & Technology

    2007-01-01

    sheath geometry. 2. EXPERIMENTAL PROCEDURES Several different nanowire systems have been grown, including random Ga2O3 nanowires, InAs...nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation...CLOSELY-SPACED PARALLEL ZnO NANOWIRES AND CROSSED Ga2O3 NANOWIRES. As discussed above, due to the far separation of the gold colloid catalyst in the

  3. Construction of Hierarchical CuO/Cu₂O@NiCo₂S₄ Nanowire Arrays on Copper Foam for High Performance Supercapacitor Electrodes.

    PubMed

    Zhou, Luoxiao; He, Ying; Jia, Congpu; Pavlinek, Vladimir; Saha, Petr; Cheng, Qilin

    2017-09-15

    Hierarchical copper oxide @ ternary nickel cobalt sulfide (CuO/Cu₂O@NiCo₂S₄) core-shell nanowire arrays on Cu foam have been successfully constructed by a facile two-step strategy. Vertically aligned CuO/Cu₂O nanowire arrays are firstly grown on Cu foam by one-step thermal oxidation of Cu foam, followed by electrodeposition of NiCo₂S₄ nanosheets on the surface of CuO/Cu₂O nanowires to form the CuO/Cu₂O@NiCo₂S₄ core-shell nanostructures. Structural and morphological characterizations indicate that the average thickness of the NiCo₂S₄ nanosheets is ~20 nm and the diameter of CuO/Cu₂O core is ~50 nm. Electrochemical properties of the hierarchical composites as integrated binder-free electrodes for supercapacitor were evaluated by various electrochemical methods. The hierarchical composite electrodes could achieve ultrahigh specific capacitance of 3.186 F cm -2 at 10 mA cm -2 , good rate capability (82.06% capacitance retention at the current density from 2 to 50 mA cm -2 ) and excellent cycling stability, with capacitance retention of 96.73% after 2000 cycles at 10 mA cm -2 . These results demonstrate the significance of optimized design and fabrication of electrode materials with more sufficient electrolyte-electrode interface, robust structural integrity and fast ion/electron transfer.

  4. Ultra-fast microwave-assisted hydrothermal synthesis of long vertically aligned ZnO nanowires for dye-sensitized solar cell application.

    PubMed

    Mahpeykar, S M; Koohsorkhi, J; Ghafoori-Fard, H

    2012-04-27

    Long vertically aligned ZnO nanowire arrays were synthesized using an ultra-fast microwave-assisted hydrothermal process. Using this method, we were able to grow ZnO nanowire arrays at an average growth rate as high as 200 nm min(-1) for maximum microwave power level. This method does not suffer from the growth stoppage problem at long growth times that, according to our investigations, a normal microwave-assisted hydrothermal method suffers from. Longitudinal growth of the nanowire arrays was investigated as a function of microwave power level and growth time using cross-sectional FESEM images of the grown arrays. Effect of seed layer on the alignment of nanowires was also studied. X-ray diffraction analysis confirmed c-axis orientation and single-phase wurtzite structure of the nanowires. J-V curves of the fabricated ZnO nanowire-based mercurochrome-sensitized solar cells indicated that the short-circuit current density is increased with increasing the length of the nanowire array. According to the UV-vis spectra of the dyes detached from the cells, these increments were mainly attributed to the enlarged internal surface area and therefore dye loading enhancement in the lengthened nanowire arrays.

  5. Origin of luminescence from ZnO/CdS core/shell nanowire arrays

    NASA Astrophysics Data System (ADS)

    Wang, Zhiqiang; Wang, Jian; Sham, Tsun-Kong; Yang, Shaoguang

    2014-07-01

    Chemical imaging, electronic structure and optical properties of ZnO/CdS nano-composites have been investigated using scanning transmission X-ray microscopy (STXM), X-ray absorption near-edge structure (XANES) and X-ray excited optical luminescence (XEOL) spectroscopy. STXM and XANES results confirm that the as-prepared product is ZnO/CdS core/shell nanowires (NWs), and further indicate that ZnS was formed on the surface of ZnO NWs as the interface between ZnO and CdS. The XEOL from ZnO/CdS NW arrays exhibits one weak ultraviolet (UV) emission at 375 nm, one strong green emission at 512 nm, and two broad infrared (IR) emissions at 750 and 900 nm. Combining XANES and XEOL, it is concluded that the UV luminescence is the near band gap emission (BGE) of ZnO; the green luminescence comes from both the BGE of CdS and defect emission (DE, zinc vacancies) of ZnO; the IR luminescence is attributed to the DE (bulk defect related to the S site) of CdS; ZnS contributes little to the luminescence of the ZnO/CdS NW arrays. Interestingly, the BGE and DE from oxygen vacancies of ZnO in the ZnO/CdS nano-composites are almost entirely quenched, while DE from zinc vacancies changes little.Chemical imaging, electronic structure and optical properties of ZnO/CdS nano-composites have been investigated using scanning transmission X-ray microscopy (STXM), X-ray absorption near-edge structure (XANES) and X-ray excited optical luminescence (XEOL) spectroscopy. STXM and XANES results confirm that the as-prepared product is ZnO/CdS core/shell nanowires (NWs), and further indicate that ZnS was formed on the surface of ZnO NWs as the interface between ZnO and CdS. The XEOL from ZnO/CdS NW arrays exhibits one weak ultraviolet (UV) emission at 375 nm, one strong green emission at 512 nm, and two broad infrared (IR) emissions at 750 and 900 nm. Combining XANES and XEOL, it is concluded that the UV luminescence is the near band gap emission (BGE) of ZnO; the green luminescence comes from both the

  6. Vertically building Zn2SnO4 nanowire arrays on stainless steel mesh toward fabrication of large-area, flexible dye-sensitized solar cells.

    PubMed

    Li, Zhengdao; Zhou, Yong; Bao, Chunxiong; Xue, Guogang; Zhang, Jiyuan; Liu, Jianguo; Yu, Tao; Zou, Zhigang

    2012-06-07

    Zn(2)SnO(4) nanowire arrays were for the first time grown onto a stainless steel mesh (SSM) in a binary ethylenediamine (En)/water solvent system using a solvothermal route. The morphology evolution following this reaction was carefully followed to understand the formation mechanism. The SSM-supported Zn(2)SnO(4) nanowire was utilized as a photoanode for fabrication of large-area (10 cm × 5 cm size as a typical sample), flexible dye-sensitized solar cells (DSSCs). The synthesized Zn(2)SnO(4) nanowires exhibit great bendability and flexibility, proving potential advantage over other metal oxide nanowires such as TiO(2), ZnO, and SnO(2) for application in flexible solar cells. Relative to the analogous Zn(2)SnO(4) nanoparticle-based flexible DSSCs, the nanowire geometry proves to enhance solar energy conversion efficiency through enhancement of electron transport. The bendable nature of the DSSCs without obvious degradation of efficiency and facile scale up gives the as-made flexible solar cell device potential for practical application.

  7. High Discharge Energy Density at Low Electric Field Using an Aligned Titanium Dioxide/Lead Zirconate Titanate Nanowire Array

    PubMed Central

    Zhang, Dou; Liu, Weiwei; Guo, Ru; Zhou, Kechao

    2017-01-01

    Abstract Polymer‐based capacitors with high energy density have attracted significant attention in recent years due to their wide range of potential applications in electronic devices. However, the obtained high energy density is predominantly dependent on high applied electric field, e.g., 400–600 kV mm−1, which may bring more challenges relating to the failure probability. Here, a simple two‐step method for synthesizing titanium dioxide/lead zirconate titanate nanowire arrays is exploited and a demonstration of their ability to achieve high discharge energy density capacitors for low operating voltage applications is provided. A high discharge energy density of 6.9 J cm−3 is achieved at low electric fields, i.e., 143 kV mm−1, which is attributed to the high relative permittivity of 218.9 at 1 kHz and high polarization of 23.35 µC cm−2 at this electric field. The discharge energy density obtained in this work is the highest known for a ceramic/polymer nanocomposite at such a low electric field. The novel nanowire arrays used in this work are applicable to a wide range of fields, such as energy harvesting, energy storage, and photocatalysis. PMID:29610724

  8. High Discharge Energy Density at Low Electric Field Using an Aligned Titanium Dioxide/Lead Zirconate Titanate Nanowire Array.

    PubMed

    Zhang, Dou; Liu, Weiwei; Guo, Ru; Zhou, Kechao; Luo, Hang

    2018-02-01

    Polymer-based capacitors with high energy density have attracted significant attention in recent years due to their wide range of potential applications in electronic devices. However, the obtained high energy density is predominantly dependent on high applied electric field, e.g., 400-600 kV mm -1 , which may bring more challenges relating to the failure probability. Here, a simple two-step method for synthesizing titanium dioxide/lead zirconate titanate nanowire arrays is exploited and a demonstration of their ability to achieve high discharge energy density capacitors for low operating voltage applications is provided. A high discharge energy density of 6.9 J cm -3 is achieved at low electric fields, i.e., 143 kV mm -1 , which is attributed to the high relative permittivity of 218.9 at 1 kHz and high polarization of 23.35 µC cm -2 at this electric field. The discharge energy density obtained in this work is the highest known for a ceramic/polymer nanocomposite at such a low electric field. The novel nanowire arrays used in this work are applicable to a wide range of fields, such as energy harvesting, energy storage, and photocatalysis.

  9. Fabrication and characterization of gold nano-wires templated on virus-like arrays of tobacco mosaic virus coat proteins

    NASA Astrophysics Data System (ADS)

    Wnęk, M.; Górzny, M. Ł.; Ward, M. B.; Wälti, C.; Davies, A. G.; Brydson, R.; Evans, S. D.; Stockley, P. G.

    2013-01-01

    The rod-shaped plant virus tobacco mosaic virus (TMV) is widely used as a nano-fabrication template, and chimeric peptide expression on its major coat protein has extended its potential applications. Here we describe a simple bacterial expression system for production and rapid purification of recombinant chimeric TMV coat protein carrying C-terminal peptide tags. These proteins do not bind TMV RNA or form disks at pH 7. However, they retain the ability to self-assemble into virus-like arrays at acidic pH. C-terminal peptide tags in such arrays are exposed on the protein surface, allowing interaction with target species. We have utilized a C-terminal His-tag to create virus coat protein-templated nano-rods able to bind gold nanoparticles uniformly. These can be transformed into gold nano-wires by deposition of additional gold atoms from solution, followed by thermal annealing. The resistivity of a typical annealed wire created by this approach is significantly less than values reported for other nano-wires made using different bio-templates. This expression construct is therefore a useful additional tool for the creation of chimeric TMV-like nano-rods for bio-templating.

  10. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  11. Highly sensitive refractive index sensor based on a TiO2 nanowire array.

    PubMed

    Li, Qiu-Shun; Xiang, Dong; Chang, Zhi-Min; Shi, Jian-Guo; Ma, Yao-Hong; Cai, Lei; Feng, Dong; Dong, Wen-Fei

    2017-03-01

    We propose a novel, highly sensitive refractive index (RI) sensor by means of combining the Kretschmann prism with a TiO2 nanowire array and do not use a metallic layer in the Kretschmann configuration. Its RI sensing performance was investigated through measuring different concentrations of sodium chloride solution. Experimental results showed that, with increasing RI of liquid, the resonant wavelength in the reflectance spectrum redshifted gradually in the visible light range. There was a very good linear relationship between resonant wavelength and RI in the range of 1.3330 to 1.3546. More importantly, in contrast to the surface plasmon resonance (SPR) sensor, the interferometric sensors showed higher sensitivity to the external RI. In the case of the transverse magnetic mode, the RI sensitivity is up to 320,700.93 a.u./RIU (refractive index unit) by expression of light intensity, which is 9.55 times that of the SPR sensor. As for the transverse electric mode, it achieves 4371.76 nm/RIU by expression of the resonant wavelength, which is increased by a factor of 1.4 in comparison with the SPR sensor. Moreover, the experimental results have favorable repeatability. A TiO2 nanowire array sensor has also other advantages, such as easy manufacturing, low cost, and in situ determination, etc. To our knowledge, this fact is reported for the first time. It has great potential applications in the field of biological and chemical sensing.

  12. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  13. In-situ device integration of large-area patterned organic nanowire arrays for high-performance optical sensors

    PubMed Central

    Wu, Yiming; Zhang, Xiujuan; Pan, Huanhuan; Deng, Wei; Zhang, Xiaohong; Zhang, Xiwei; Jie, Jiansheng

    2013-01-01

    Single-crystalline organic nanowires (NWs) are important building blocks for future low-cost and efficient nano-optoelectronic devices due to their extraordinary properties. However, it remains a critical challenge to achieve large-scale organic NW array assembly and device integration. Herein, we demonstrate a feasible one-step method for large-area patterned growth of cross-aligned single-crystalline organic NW arrays and their in-situ device integration for optical image sensors. The integrated image sensor circuitry contained a 10 × 10 pixel array in an area of 1.3 × 1.3 mm2, showing high spatial resolution, excellent stability and reproducibility. More importantly, 100% of the pixels successfully operated at a high response speed and relatively small pixel-to-pixel variation. The high yield and high spatial resolution of the operational pixels, along with the high integration level of the device, clearly demonstrate the great potential of the one-step organic NW array growth and device construction approach for large-scale optoelectronic device integration. PMID:24287887

  14. Tracing the pH dependent activation of autophagy in cancer cells by silicon nanowire-based impedance biosensor.

    PubMed

    Alikhani, Alireza; Gharooni, Milad; Abiri, Hamed; Farokhmanesh, Fatemeh; Abdolahad, Mohammad

    2018-05-30

    Monitoring the pH dependent behavior of normal and cancer cells by impedimetric biosensor based on Silicon Nanowires (SiNWs) was introduced to diagnose the invasive cancer cells. Autophagy as a biologically activated process in invasive cancer cells during acidosis, protect them from apoptosis in lower pH which presented in our work. As the autophagy is the only activated pathways which can maintain cellular proliferation in acidic media, responses of SiNW-ECIS in acidified cells could be correlated to the probability of autophagy activation in normal or cancer cells. In contrast, cell survival pathway wasn't activated in low-grade cancer cells which resulted in their acidosis. The measured electrical resistance of MCF10, MCF7, and MDA-MB468 cell lines, by SiNW sensor, in normal and acidic media were matched by the biological analyses of their vital functions. Invasive cancer cells exhibited increased electrical resistance in pH 6.5 meanwhile the two other types of the breast cells exhibited sharp (MCF10) and moderate (MCF7) decrease in their resistance. This procedure would be a new trend in microenvironment based cancer investigation. Copyright © 2018 Elsevier B.V. All rights reserved.

  15. Evaluating focused ion beam patterning for position-controlled nanowire growth using computer vision

    NASA Astrophysics Data System (ADS)

    Mosberg, A. B.; Myklebost, S.; Ren, D.; Weman, H.; Fimland, B. O.; van Helvoort, A. T. J.

    2017-09-01

    To efficiently evaluate the novel approach of focused ion beam (FIB) direct patterning of substrates for nanowire growth, a reference matrix of hole arrays has been used to study the effect of ion fluence and hole diameter on nanowire growth. Self-catalyzed GaAsSb nanowires were grown using molecular beam epitaxy and studied by scanning electron microscopy (SEM). To ensure an objective analysis, SEM images were analyzed with computer vision to automatically identify nanowires and characterize each array. It is shown that FIB milling parameters can be used to control the nanowire growth. Lower ion fluence and smaller diameter holes result in a higher yield (up to 83%) of single vertical nanowires, while higher fluence and hole diameter exhibit a regime of multiple nanowires. The catalyst size distribution and placement uniformity of vertical nanowires is best for low-value parameter combinations, indicating how to improve the FIB parameters for positioned-controlled nanowire growth.

  16. Ultracompliant Heterogeneous Copper-Tin Nanowire Arrays Making a Supersolder.

    PubMed

    Gong, Wei; Li, Pengfei; Zhang, Yunheng; Feng, Xuhui; Major, Joshua; DeVoto, Douglas; Paret, Paul; King, Charles; Narumanchi, Sreekant; Shen, Sheng

    2018-06-13

    Due to the substantial increase in power density, thermal interface resistance that can constitute more than 50% of the total thermal resistance has generally become a bottleneck for thermal management in electronics. However, conventional thermal interface materials (TIMs) such as solder, epoxy, gel, and grease cannot fulfill the requirements of electronics for high-power and long-term operation. Here, we demonstrate a high-performance TIM consisting of a heterogeneous copper-tin nanowire array, which we term "supersolder" to emulate the role of conventional solders in bonding various surfaces. The supersolder is ultracompliant with a shear modulus 2-3 orders of magnitude lower than traditional solders and can reduce the thermal resistance by two times as compared with the state-of-the-art TIMs. This supersolder also exhibits excellent long-term reliability with >1200 thermal cycles over a wide temperature range. By resolving this critical thermal bottleneck, the supersolder enables electronic systems, ranging from microelectronics and portable electronics to massive data centers, to operate at lower temperatures with higher power density and reliability.

  17. Ultracompliant Heterogeneous Copper-Tin Nanowire Arrays Making a Supersolder

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narumanchi, Sreekant V; Feng, Xuhui; Major, Joshua

    Due to the substantial increase in power density, thermal interface resistance that can constitute more than 50% of the total thermal resistance has generally become a bottleneck for thermal management in electronics. However, conventional thermal interface materials (TIMs) such as solder, epoxy, gel, and grease cannot fulfill the requirements of electronics for high-power and long-term operation. Here, we demonstrate a high-performance TIM consisting of a heterogeneous copper-tin nanowire array, which we term 'supersolder' to emulate the role of conventional solders in bonding various surfaces. The supersolder is ultracompliant with a shear modulus 2-3 orders of magnitude lower than traditional soldersmore » and can reduce the thermal resistance by two times as compared with the state-of-the-art TIMs. This supersolder also exhibits excellent long-term reliability with >1200 thermal cycles over a wide temperature range. By resolving this critical thermal bottleneck, the supersolder enables electronic systems, ranging from microelectronics and portable electronics to massive data centers, to operate at lower temperatures with higher power density and reliability.« less

  18. Cellular manipulation and patterning using ferromagnetic nanowires

    NASA Astrophysics Data System (ADS)

    Hultgren, Anne

    Ferromagnetic nanowires are demonstrated as an effective tool to apply forces to living cells. Both magnetic cell separations and the magnetic patterning of cells on a substrate will be accomplished through the use of cell-nanowire interactions as well as nanowire-magnetic field interactions. When introduced into cultures of NIH-3T3 cells, the nanowires are internalized by cells via the integrin-mediated adhesion pathway without inflicting any toxic effects on the cell cycle over the course of several days. In addition, the length of the nanowires was found to have an effect on the cell-nanowire interactions when the cells were dissociated from the tissue culture dish. To compare the effectiveness of the nanowires as a means of manipulating cells to the current technology which is based on superparamagnetic beads, magnetic cell separations were performed with electrodeposited Ni nanowires 350 nm in diameter and 5--35 mum long in field gradients of 80 T/m. Single-pass separations of NIH-3T3 cells bound to nanowires achieve up to 81% purity with 85% yield, a dramatic improvement over the 55% purity and 20% yield obtained with the beads. The yield for the separations were found to be dependent on the length of the nanowires, and was maximized when the length of the nanowires equaled the diameter of the cells. This dependence was exploited to perform a size-selective magnetic separation. Substrates containing arrays of micro-magnets, fabricated using photolithography, were placed in cell cultures. These micro-magnet arrays create regions of locally strong magnetic field gradients to trap nanowires in specific locations on the substrate. These substrates were used in conjunction with fluid flow and a weak, externally applied magnetic field to create and control patterns of cells bound to nanowires. Controlled isolation of heterogeneous pairs and groups of cells will enable the study of the biochemistry of cell-cell contacts.

  19. Ferromagnetic nanowires: Field-induced self-assembly, magnetotransport and biological applications

    NASA Astrophysics Data System (ADS)

    Tanase, Monica

    controllably introduce the cells in the proximity of arrays of micromagnets. Cells decorated the arrays forming patterns described well by dipolar interactions between the magnetic elements and the nanowires. Calculations of the locations favorable for trapping were performed by evaluating the energy of interaction between the array and the nanowires. A second-order mechanism of cell capture was also identified, i.e. chaining by wire-wire dipolar interaction.

  20. Three-Dimensional Array of TiN@Pt3Cu Nanowires as an Efficient Porous Electrode for the Lithium-Oxygen Battery.

    PubMed

    Luo, Wen-Bin; Pham, Thien Viet; Guo, Hai-Peng; Liu, Hua-Kun; Dou, Shi-Xue

    2017-02-28

    The nonaqueous lithium-oxygen battery is a promising candidate as a next-generation energy storage system because of its potentially high energy density (up to 2-3 kW kg -1 ), exceeding that of any other existing energy storage system for storing sustainable and clean energy to reduce greenhouse gas emissions and the consumption of nonrenewable fossil fuels. To achieve high round-trip efficiency and satisfactory cycling stability, the air electrode structure and the electrocatalysts play important roles. Here, a 3D array composed of one-dimensional TiN@Pt 3 Cu nanowires was synthesized and employed as a whole porous air electrode in a lithium-oxygen battery. The TiN nanowire was primarily used as an air electrode frame and catalyst support to provide a high electronic conductivity network because of the high-orientation one-dimensional crystalline structure. Meanwhile, deposited icosahedral Pt 3 Cu nanocrystals exhibit highly efficient catalytic activity owing to the abundant {111} active lattice facets and multiple twin boundaries. This porous air electrode comprises a one-dimensional TiN@Pt 3 Cu nanowire array that demonstrates excellent energy conversion efficiency and rate performance in full discharge and charge modes. The discharge capacity is up to 4600 mAh g -1 along with an 84% conversion efficiency at a current density of 0.2 mA cm -2 , and when the current density increased to 0.8 mA cm -2 , the discharge capacity is still greater than 3500 mAh g -1 together with a nearly 70% efficiency. This designed array is a promising bifunctional porous air electrode for lithium-oxygen batteries, forming a continuous conductive and high catalytic activity network to facilitate rapid gas and electrolyte diffusion and catalytic reaction throughout the whole energy conversion process.

  1. Programmable nanowire circuits for nanoprocessors.

    PubMed

    Yan, Hao; Choe, Hwan Sung; Nam, SungWoo; Hu, Yongjie; Das, Shamik; Klemic, James F; Ellenbogen, James C; Lieber, Charles M

    2011-02-10

    A nanoprocessor constructed from intrinsically nanometre-scale building blocks is an essential component for controlling memory, nanosensors and other functions proposed for nanosystems assembled from the bottom up. Important steps towards this goal over the past fifteen years include the realization of simple logic gates with individually assembled semiconductor nanowires and carbon nanotubes, but with only 16 devices or fewer and a single function for each circuit. Recently, logic circuits also have been demonstrated that use two or three elements of a one-dimensional memristor array, although such passive devices without gain are difficult to cascade. These circuits fall short of the requirements for a scalable, multifunctional nanoprocessor owing to challenges in materials, assembly and architecture on the nanoscale. Here we describe the design, fabrication and use of programmable and scalable logic tiles for nanoprocessors that surmount these hurdles. The tiles were built from programmable, non-volatile nanowire transistor arrays. Ge/Si core/shell nanowires coupled to designed dielectric shells yielded single-nanowire, non-volatile field-effect transistors (FETs) with uniform, programmable threshold voltages and the capability to drive cascaded elements. We developed an architecture to integrate the programmable nanowire FETs and define a logic tile consisting of two interconnected arrays with 496 functional configurable FET nodes in an area of ∼960 μm(2). The logic tile was programmed and operated first as a full adder with a maximal voltage gain of ten and input-output voltage matching. Then we showed that the same logic tile can be reprogrammed and used to demonstrate full-subtractor, multiplexer, demultiplexer and clocked D-latch functions. These results represent a significant advance in the complexity and functionality of nanoelectronic circuits built from the bottom up with a tiled architecture that could be cascaded to realize fully integrated

  2. Template-Assisted Scalable Nanowire Networks.

    PubMed

    Friedl, Martin; Cerveny, Kris; Weigele, Pirmin; Tütüncüoglu, Gozde; Martí-Sánchez, Sara; Huang, Chunyi; Patlatiuk, Taras; Potts, Heidi; Sun, Zhiyuan; Hill, Megan O; Güniat, Lucas; Kim, Wonjong; Zamani, Mahdi; Dubrovskii, Vladimir G; Arbiol, Jordi; Lauhon, Lincoln J; Zumbühl, Dominik M; Fontcuberta I Morral, Anna

    2018-04-11

    Topological qubits based on Majorana Fermions have the potential to revolutionize the emerging field of quantum computing by making information processing significantly more robust to decoherence. Nanowires are a promising medium for hosting these kinds of qubits, though branched nanowires are needed to perform qubit manipulations. Here we report a gold-free templated growth of III-V nanowires by molecular beam epitaxy using an approach that enables patternable and highly regular branched nanowire arrays on a far greater scale than what has been reported thus far. Our approach relies on the lattice-mismatched growth of InAs on top of defect-free GaAs nanomembranes yielding laterally oriented, low-defect InAs and InGaAs nanowires whose shapes are determined by surface and strain energy minimization. By controlling nanomembrane width and growth time, we demonstrate the formation of compositionally graded nanowires with cross-sections less than 50 nm. Scaling the nanowires below 20 nm leads to the formation of homogeneous InGaAs nanowires, which exhibit phase-coherent, quasi-1D quantum transport as shown by magnetoconductance measurements. These results are an important advance toward scalable topological quantum computing.

  3. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  4. Atomic-Scale Origin of Long-Term Stability and High Performance of p-GaN Nanowire Arrays for Photocatalytic Overall Pure Water Splitting.

    PubMed

    Kibria, Md Golam; Qiao, Ruimin; Yang, Wanli; Boukahil, Idris; Kong, Xianghua; Chowdhury, Faqrul Alam; Trudeau, Michel L; Ji, Wei; Guo, Hong; Himpsel, F J; Vayssieres, Lionel; Mi, Zetian

    2016-10-01

    The atomic-scale origin of the unusually high performance and long-term stability of wurtzite p-GaN oriented nanowire arrays is revealed. Nitrogen termination of both the polar (0001¯) top face and the nonpolar (101¯0) side faces of the nanowires is essential for long-term stability and high efficiency. Such a distinct atomic configuration ensures not only stability against (photo) oxidation in air and in water/electrolyte but, as importantly, also provides the necessary overall reverse crystal polarization needed for efficient hole extraction in p-GaN. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. GaN nanowire arrays with nonpolar sidewalls for vertically integrated field-effect transistors.

    PubMed

    Yu, Feng; Yao, Shengbo; Römer, Friedhard; Witzigmann, Bernd; Schimpke, Tilman; Strassburg, Martin; Bakin, Andrey; Schumacher, Hans Werner; Peiner, Erwin; Wasisto, Hutomo Suryo; Waag, Andreas

    2017-03-03

    Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

  6. Thermal expansion behavior study of Co nanowire array with in situ x-ray diffraction and x-ray absorption fine structure techniques

    NASA Astrophysics Data System (ADS)

    Mo, Guang; Cai, Quan; Jiang, Longsheng; Wang, Wei; Zhang, Kunhao; Cheng, Weidong; Xing, Xueqing; Chen, Zhongjun; Wu, Zhonghua

    2008-10-01

    In situ x-ray diffraction and x-ray absorption fine structure techniques were used to study the structural change of ordered Co nanowire array with temperature. The results show that the Co nanowires are polycrystalline with hexagonal close packed structure without phase change up until 700 °C. A nonlinear thermal expansion behavior has been found and can be well described by a quadratic equation with the first-order thermal expansion coefficient of 4.3×10-6/°C and the second-order thermal expansion coefficient of 5.9×10-9/°C. The mechanism of this nonlinear thermal expansion behavior is discussed.

  7. Growth Evolution and Characterization of PLD Zn(Mg)O Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Rahm, Andreas; Nobis, Thomas; Lorenz, Michael; Zimmermann, Gregor; Boukos, Nikos; Travlos, Anastasios; Grundmann, Marius

    ZnO and Zn0.98Mg0.02O nanowires have been grown by high-pressure pulsed laser deposition on sapphire substrates covered with gold colloidal particles as nucleation sites. We present a detailed study of the nanowire size and length distribution and of the growth evolution. We find that the aspect ratio varies linearly with deposition time. The linearity coefficient is independent of the catalytic gold particle size and lateral nanowire density. The superior structural quality of the whiskers is proven by X-ray diffraction and transmission electron microscopy. The defect-free ZnO nanowires exhibit a FWHM(2θ-ω) of the ZnO(0002) reflection of 22 arcsec. We show (0-11) step habit planes on the side faces of the nanowires that are a few atomic steps in height. The microscopic homogeneity of the optical properties is confirmed by temperature-dependent cathodoluminescence.

  8. Flexible 3D porous CuO nanowire arrays for enzymeless glucose sensing: in situ engineered versus ex situ piled

    NASA Astrophysics Data System (ADS)

    Huang, Jianfei; Zhu, Yihua; Yang, Xiaoling; Chen, Wei; Zhou, Ying; Li, Chunzhong

    2014-12-01

    Convenient determination of glucose in a sensitive, reliable and cost-effective way has aroused sustained research passion, bringing along assiduous investigation of high-performance electroactive nanomaterials to build enzymeless sensors. In addition to the intrinsic electrocatalytic capability of the sensing materials, electrode architecture at the microscale is also crucial for fully enhancing the performance. In this work, free-standing porous CuO nanowire (NW) was taken as a model sensing material to illustrate this point, where an in situ formed 3D CuO nanowire array (NWA) and CuO nanowires pile (NWP) immobilized with polymer binder by conventional drop-casting technique were both studied for enzymeless glucose sensing. The NWA electrode exhibited greatly promoted electrochemistry characterized by decreased overpotential for electro-oxidation of glucose and over 5-fold higher sensitivity compared to the NWP counterpart, benefiting from the binder-free nanoarray structure. Besides, its sensing performance was also satisfying in terms of rapidness, selectivity and durability. Further, the CuO NWA was utilized to fabricate a flexible sensor which showed excellent performance stability against mechanical bending. Thanks to its favorable electrode architecture, the CuO NWA is believed to offer opportunities for building high-efficiency flexible electrochemical devices.Convenient determination of glucose in a sensitive, reliable and cost-effective way has aroused sustained research passion, bringing along assiduous investigation of high-performance electroactive nanomaterials to build enzymeless sensors. In addition to the intrinsic electrocatalytic capability of the sensing materials, electrode architecture at the microscale is also crucial for fully enhancing the performance. In this work, free-standing porous CuO nanowire (NW) was taken as a model sensing material to illustrate this point, where an in situ formed 3D CuO nanowire array (NWA) and CuO nanowires

  9. Hierarchical Superhydrophobic Surfaces with Micropatterned Nanowire Arrays for High-Efficiency Jumping Droplet Condensation.

    PubMed

    Wen, Rongfu; Xu, Shanshan; Zhao, Dongliang; Lee, Yung-Cheng; Ma, Xuehu; Yang, Ronggui

    2017-12-27

    Self-propelled droplet jumping on nanostructured superhydrophobic surfaces is of interest for a variety of industrial applications including self-cleaning, water harvesting, power generation, and thermal management systems. However, the uncontrolled nucleation-induced Wenzel state of condensed droplets at large surface subcooling (high heat flux) leads to the formation of unwanted large pinned droplets, which results in the flooding phenomenon and greatly degrades the heat transfer performance. In this work, we present a novel strategy to manipulate droplet behaviors during the process from the droplet nucleation to growth and departure through a combination of spatially controlling initial nucleation for mobile droplets by closely spaced nanowires and promoting the spontaneous outward movement of droplets for rapid removal using micropatterned nanowire arrays. Through the optical visualization experiments and heat transfer tests, we demonstrate greatly improved condensation heat transfer characteristics on the hierarchical superhydrophobic surface including the higher density of microdroplets, smaller droplet departure radius, 133% wider range of surface subcooling for droplet jumping, and 37% enhancement in critical heat flux for jumping droplet condensation, compared to the-state-of-art jumping droplet condensation on nanostructured superhydrophobic surfaces. The excellent water repellency of such hierarchical superhydrophobic surfaces can be promising for many potential applications, such as anti-icing, antifogging, water desalination, and phase-change heat transfer.

  10. Synthesis and characterization of barium silicide (BaSi2) nanowire arrays for potential solar applications.

    PubMed

    Pokhrel, Ankit; Samad, Leith; Meng, Fei; Jin, Song

    2015-11-07

    In order to utilize nanostructured materials for potential solar and other energy-harvesting applications, scalable synthetic techniques for these materials must be developed. Herein we use a vapor phase conversion approach to synthesize nanowire (NW) arrays of semiconducting barium silicide (BaSi2) in high yield for the first time for potential solar applications. Dense arrays of silicon NWs obtained by metal-assisted chemical etching were converted to single-crystalline BaSi2 NW arrays by reacting with Ba vapor at about 930 °C. Structural characterization by X-ray diffraction and high-resolution transmission electron microscopy confirm that the converted NWs are single-crystalline BaSi2. The optimal conversion reaction conditions allow the phase-pure synthesis of BaSi2 NWs that maintain the original NW morphology, and tuning the reaction parameters led to a controllable synthesis of BaSi2 films on silicon substrates. The optical bandgap and electrochemical measurements of these BaSi2 NWs reveal a bandgap and carrier concentrations comparable to previously reported values for BaSi2 thin films.

  11. Simultaneous Detection of α-Fetoprotein and Carcinoembryonic Antigen Based on Si Nanowire Field-Effect Transistors.

    PubMed

    Zhu, Kuiyu; Zhang, Ye; Li, Zengyao; Zhou, Fan; Feng, Kang; Dou, Huiqiang; Wang, Tong

    2015-08-05

    Primary hepatic carcinoma (PHC) is one of the most common malignancies worldwide, resulting in death within six to 20 months. The survival rate can be improved by effective treatments when diagnosed at an early stage. The α-fetoprotein (AFP) and carcinoembryonic antigen (CEA) have been identified as markers that are expressed at higher levels in PHC patients. In this study, we employed silicon nanowire field-effect transistors (SiNW-FETs) with polydimethylsiloxane (PDMS) microfluidic channels to simultaneously detect AFP and CEA in desalted human serum. Dual-channel PDMS was first utilized for the selective modification of AFP and CEA antibodies on SiNWs, while single-channel PDMS offers faster and more sensitive detection of AFP and CEA in serum. During the SiNW modification process, 0.1% BSA was utilized to minimize nonspecific protein binding from serum. The linear dynamic ranges for the AFP and CEA detection were measured to be 500 fg/mL to 50 ng/mL and 50 fg/mL to 10 ng/mL, respectively. Our work demonstrates the promising potential of fabricated SiNW-FETs as a direct detection kit for multiple tumor markers in serum; therefore, it provides a chance for early stage diagnose and, hence, more effective treatments for PHC patients.

  12. Aligned hierarchical Ag/ZnO nano-heterostructure arrays via electrohydrodynamic nanowire template for enhanced gas-sensing properties.

    PubMed

    Yin, Zhouping; Wang, Xiaomei; Sun, Fazhe; Tong, Xiaohu; Zhu, Chen; Lv, Qiying; Ye, Dong; Wang, Shuai; Luo, Wei; Huang, YongAn

    2017-09-22

    Gas sensing performance can be improved significantly by the increase in both the effective gas exposure area and the surface reactivitiy of ZnO nanorods. Here, we propose aligned hierarchical Ag/ZnO nano-heterostructure arrays (h-Ag/ZnO-NAs) via electrohydrodynamic nanowire template, together with a subsequent hydrothermal synthesis and photoreduction reaction. The h-Ag/ZnO-NAs scatter at top for higher specific surface areas with the air, simultaneously contact at root for the electrical conduction. Besides, the ZnO nanorods are uniformly coated with dispersed Ag nanoparticles, resulting in a tremendous enhancement of the surface reactivity. Compared with pure ZnO, such h-Ag/ZnO-NAs exhibit lower electrical resistance and faster responses. Moreover, they demonstrate enhanced NO 2 gas sensing properties. Self-assembly via electrohydrodynamic nanowire template paves a new way for the preparation of high performance gas sensors.

  13. Achieving sub-50 nm controlled diameter of aperiodic Si nanowire arrays by ultrasonic catalyst removal for photonic applications

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Purohit, Zeel; Khanna, Sakshum; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2018-05-01

    We report an alternative approach to fabricate the vertically aligned aperiodic Si nanowire arrays by controlling the diameter of the Ag nanoparticles and tuneable ultrasonic removal. The process begins by sputtering the Ag thin film (t=5 nm) on the Si/SiO2 substrates. Followed by Ag thin film, annealed for various temperature (T=300°C, 400°C, 500°C and 600°C) to selectively achieve a high density, well-spaced and diameter controlled Ag nanoparticles (AgNPs) on the Si/SiO2 substrates. The sacrificial layer of AgNPs size indicates the controlled diameter of the Si nanowire arrays. Image J analysis for various annealed samples gives an indication of the high density, uniformity and equal distribution of closely packed AgNPs. Furthermore, the AgNPs covered with Au/Pd mesh (5 nm) as a template, was removed by ultrasonication in the etchant solution for several times in different intervals of preparation. The conventional and facile metal assisted electroless etching approach was finally employed to fabricate the vertically aperiodic sub-50 nm SiNWAs, can be applicable to various nanoscale opto-electronic applications.

  14. Nanofabrication of Arrays of Silicon Field Emitters with Vertical Silicon Nanowire Current Limiters and Self-Aligned Gates

    DTIC Science & Technology

    2016-08-19

    in a dielectric matrix. This paper explores the electronic device applications of dense arrays of silicon nanowires that are embedded in Nanotechnology ... Nanotechnology 27 (2016) 295302 (11pp) doi:10.1088/0957-4484/27/29/295302 Original content from this work may be used under the terms of the Creative...compared 2 Nanotechnology 27 (2016) 295302 S A Guerrera and A I Akinwande to the device reported by Velasquez-Garcia et al, but it also reduces the

  15. Synthesis of high crystallinity ZnO nanowire array on polymer substrate and flexible fiber-based sensor.

    PubMed

    Liu, Jinmei; Wu, Weiwei; Bai, Suo; Qin, Yong

    2011-11-01

    Well aligned ZnO nanowire (NW) arrays are grown on Kevlar fiber and Kapton film via the chemical vapor deposition (CVD) method. These NWs have better crystallinity than those synthesized through the low-temperature hydrothermal method. The average length and diameter of ZnO NWs grown on Kevlar fiber can be controlled from 0.5 to 2.76 μm and 30 to 300 nm, respectively. A flexible ultraviolet (UV) sensor based on Kevlar fiber/ZnO NWs hybrid structure is made to detect UV illumination quantificationally.

  16. Self-assembly and hierarchical patterning of aligned organic nanowire arrays by solvent evaporation on substrates with patterned wettability.

    PubMed

    Bao, Rong-Rong; Zhang, Cheng-Yi; Zhang, Xiu-Juan; Ou, Xue-Mei; Lee, Chun-Sing; Jie, Jian-Sheng; Zhang, Xiao-Hong

    2013-06-26

    The controlled growth and alignment of one-dimensional organic nanostructures at well-defined locations considerably hinders the integration of nanostructures for electronic and optoelectronic applications. Here, we demonstrate a simple process to achieve the growth, alignment, and hierarchical patterning of organic nanowires on substrates with controlled patterns of surface wettability. The first-level pattern is confined by the substrate patterns of wettability. Organic nanostructures are preferentially grown on solvent wettable regions. The second-level pattern is the patterning of aligned organic nanowires deposited by controlling the shape and movement of the solution contact lines during evaporation on the wettable regions. This process is controlled by the cover-hat-controlled method or vertical evaportation method. Therefore, various new patterns of organic nanostructures can be obtained by combing these two levels of patterns. This simple method proves to be a general approach that can be applied to other organic nanostructure systems. Using the as-prepared patterned nanowire arrays, an optoelectronic device (photodetector) is easily fabricated. Hence, the proposed simple, large-scale, low-cost method of preparing patterns of highly ordered organic nanostructures has high potential applications in various electronic and optoelectronic devices.

  17. Earth-Abundant Oxygen Evolution Catalysts Coupled onto ZnO Nanowire Arrays for Efficient Photoelectrochemical Water Cleavage

    PubMed Central

    Jiang, Chaoran; Moniz, Savio J A; Khraisheh, Majeda; Tang, Junwang

    2014-01-01

    ZnO has long been considered as a model UV-driven photoanode for photoelectrochemical water splitting, but its performance has been limited by fast charge-carrier recombination, extremely poor stability in aqueous solution, and slow kinetics of water oxidation. These issues were addressed by applying a strategy of optimization and passivation of hydrothermally grown 1D ZnO nanowire arrays. The length and diameter of bare ZnO nanowires were optimized by varying the growth time and precursor concentration to achieve optimal photoelectrochemical performance. The addition of earth-abundant cobalt phosphate (Co-Pi) and nickel borate (Ni-B) oxygen evolution catalysts onto ZnO nanowires resulted in substantial cathodic shifts in onset potential to as low as about 0.3 V versus the reversible hydrogen electrode (RHE) for Ni-B/ZnO, for which a maximum photocurrent density of 1.1 mA cm−2 at 0.9 V (vs. RHE) with applied bias photon-to-current efficiency of 0.4 % and an unprecedented near-unity incident photon-to-current efficiency at 370 nm. In addition the potential required for saturated photocurrent was dramatically reduced from 1.6 to 0.9 V versus RHE. Furthermore, the stability of these ZnO nanowires was significantly enhanced by using Ni-B compared to Co-Pi due to its superior chemical robustness, and it thus has additional functionality as a stable protecting layer on the ZnO surface. These remarkable enhancements in both photocatalytic activity and stability directly address the current severe limitations in the use of ZnO-based photoelectrodes for water-splitting applications, and can be applied to other photoanodes for efficient solar-driven fuel synthesis. PMID:25156820

  18. Nanocylinder arrays

    DOEpatents

    Tuominen, Mark; Schotter, Joerg; Thurn-Albrecht, Thomas; Russell, Thomas P.

    2007-03-13

    Pathways to rapid and reliable fabrication of nanocylinder arrays are provided. Simple methods are described for the production of well-ordered arrays of nanopores, nanowires, and other materials. This is accomplished by orienting copolymer films and removing a component from the film to produce nanopores, that in turn, can be filled with materials to produce the arrays. The resulting arrays can be used to produce nanoscale media, devices, and systems.

  19. Nanocylinder arrays

    DOEpatents

    Tuominen, Mark [Shutesbury, MA; Schotter, Joerg [Bielefeld, DE; Thurn-Albrecht, Thomas [Freiburg, DE; Russell, Thomas P [Amherst, MA

    2009-08-11

    Pathways to rapid and reliable fabrication of nanocylinder arrays are provided. Simple methods are described for the production of well-ordered arrays of nanopores, nanowires, and other materials. This is accomplished by orienting copolymer films and removing a component from the film to produce nanopores, that in turn, can be filled with materials to produce the arrays. The resulting arrays can be used to produce nanoscale media, devices, and systems.

  20. Physical vapor deposition of one-dimensional nanoparticle arrays on graphite: seeding the electrodeposition of gold nanowires.

    PubMed

    Cross, C E; Hemminger, J C; Penner, R M

    2007-09-25

    One-dimensional (1D) ensembles of 2-15 nm diameter gold nanoparticles were prepared using physical vapor deposition (PVD) on highly oriented pyrolytic graphite (HOPG) basal plane surfaces. These 1D Au nanoparticle ensembles (NPEs) were prepared by depositing gold (0.2-0.6 nm/s) at an equivalent thickness of 3-4 nm onto HOPG surfaces at 670-690 K. Under these conditions, vapor-deposited gold nucleated selectively at the linear step edge defects present on these HOPG surfaces with virtually no nucleation of gold particles on terraces. The number density of 2-15 nm diameter gold particles at step edges was 30-40 microm-1. These 1D NPEs were up to a millimeter in length and organized into parallel arrays on the HOPG surface, following the organization of step edges. Surprisingly, the deposition of more gold by PVD did not lead to the formation of continuous gold nanowires at step edges under the range of sample temperature or deposition flux we have investigated. Instead, these 1D Au NPEs were used as nucleation templates for the preparation by electrodeposition of gold nanowires. The electrodeposition of gold occurred selectively on PVD gold nanoparticles over the potential range from 700-640 mV vs SCE, and after optimization of the electrodeposition parameters continuous gold nanowires as small as 80-90 nm in diameter and several micrometers in length were obtained.

  1. Template-based synthesis and magnetic properties of Mn-Zn ferrite nanotube and nanowire arrays

    NASA Astrophysics Data System (ADS)

    Guo, Limin; Wang, Xiaohui; Zhong, Caifu; Li, Longtu

    2012-01-01

    Template-based electrophoretic deposition of Mn-Zn ferrite nanotubes (NTs) and nanowires (NWs) were achieved using anodic alumina oxide (AAO) membranes. The effect of electrophoretic current and deposition time on the morphology of the tubes was investigated. The samples show cubic spinel structure with no preferred orientation. Room-temperature magnetic properties of the Mn-Zn ferrite NT/NW arrays were studied. The magnetic easy axis parallels the NT/NW's channel axis attributing to the large shape anisotropy in this direction, especially for the NTs with a small wall thickness. Magnetocrystalline anisotropy and magnetostatic interactions were found dominant in the samples when applied field was perpendicular to the channel axis.

  2. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  3. Using galvanostatic electroforming of Bi 1–xSb x nanowires to control composition, crystallinity, and orientation

    DOE PAGES

    Limmer, Steven J.; Medlin, Douglas L.; Siegal, Michael P.; ...

    2014-12-03

    When using galvanostatic pulse deposition, we studied the factors influencing the quality of electroformed Bi 1–xSb x nanowires with respect to composition, crystallinity, and preferred orientation for high thermoelectric performance. Two nonaqueous baths with different Sb salts were investigated. The Sb salts used played a major role in both crystalline quality and preferred orientations. Nanowire arrays electroformed using an SbI 3 -based chemistry were polycrystalline with no preferred orientation, whereas arrays electroformed from an SbCl 3-based chemistry were strongly crystallographically textured with the desired trigonal orientation for optimal thermoelectric performance. From the SbCl 3 bath, the electroformed nanowire arraysmore » were optimized to have nanocompositional uniformity, with a nearly constant composition along the nanowire length. Moreover, nanowires harvested from the center of the array had an average composition of Bi 0.75 Sb 0.25. However, the nanowire compositions were slightly enriched in Sb in a small region near the edges of the array, with the composition approaching Bi 0.70Sb 0.30.« less

  4. Structural and optical properties of glancing angle deposited TiO2 nanowires array.

    PubMed

    Chinnamuthu, P; Mondal, A; Singh, N K; Dhar, J C; Das, S K; Chattopadhyay, K K

    2012-08-01

    TiO2 nanowires (NWs) have been synthesized by glancing angle deposition technique using e-beam evaporator. The average length 490 nm and diameter 80 nm of NWs were examined by field emission-scanning electron microscopy. Transmission electron microscopy emphasized that the NWs were widely dispersed at the top. X-ray diffraction has been carried out on the TiO2 thin film (TF) and NW array. A small blue shift of 0.03 eV was observed in Photoluminescence (PL) main band emission for TiO2 NW as compared to TiO2 TF. The high temperature annealing at 980 degrees C partially removed the oxygen vacancy from the sample, which was investigated by PL and optical absorption measurements.

  5. Self-supported three-dimensional Cu/Cu2O-CuO/rGO nanowire array electrodes for an efficient hydrogen evolution reaction.

    PubMed

    Ye, Lin; Wen, Zhenhai

    2018-06-14

    We report the fabrication of self-supported Cu/Cu2O-CuO/rGO nanowire arrays on commercial porous copper foam, which exhibit excellent activity and durability for electrochemical hydrogen evolution, presenting a small onset potential of 84 mV and a low overpotential of 105 mV at a current density of 10 mA cm-2.

  6. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  7. Piezo-phototronic Effect Enhanced UV/Visible Photodetector Based on Fully Wide Band Gap Type-II ZnO/ZnS Core/Shell Nanowire Array.

    PubMed

    Rai, Satish C; Wang, Kai; Ding, Yong; Marmon, Jason K; Bhatt, Manish; Zhang, Yong; Zhou, Weilie; Wang, Zhong Lin

    2015-06-23

    A high-performance broad band UV/visible photodetector has been successfully fabricated on a fully wide bandgap ZnO/ZnS type-II heterojunction core/shell nanowire array. The device can detect photons with energies significantly smaller (2.2 eV) than the band gap of ZnO (3.2 eV) and ZnS (3.7 eV), which is mainly attributed to spatially indirect type-II transition facilitated by the abrupt interface between the ZnO core and ZnS shell. The performance of the device was further enhanced through the piezo-phototronic effect induced lowering of the barrier height to allow charge carrier transport across the ZnO/ZnS interface, resulting in three orders of relative responsivity change measured at three different excitation wavelengths (385, 465, and 520 nm). This work demonstrates a prototype UV/visible photodetector based on the truly wide band gap semiconducting 3D core/shell nanowire array with enhanced performance through the piezo-phototronic effect.

  8. Hierarchical Superhydrophobic Surfaces with Micropatterned Nanowire Arrays for High-Efficiency Jumping Droplet Condensation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wen, Rongfu; Xu, Shanshan; Zhao, Dongliang

    Self-propelled droplet jumping on nanostructured superhydrophobic surfaces is of interest for a variety of industrial applications including self-cleaning, water harvesting, power generation, and thermal management systems. However, the uncontrolled nucleation-induced Wenzel state of condensed droplets at large surface subcooling (high heat flux) leads to the formation of unwanted large pinned droplets, which results in the flooding phenomenon and greatly degrades the heat transfer performance. In this work, we present a novel strategy to manipulate droplet behaviors during the process from the droplet nucleation to growth and departure through a combination of spatially controlling initial nucleation for mobile droplets by closelymore » spaced nanowires and promoting the spontaneous outward movement of droplets for rapid removal using micropatterned nanowire arrays. Through the optical visualization experiments and heat transfer tests, we demonstrate greatly improved condensation heat transfer characteristics on the hierarchical superhydrophobic surface including the higher density of microdroplets, smaller droplet departure radius, 133% wider range of surface subcooling for droplet jumping, and 37% enhancement in critical heat flux for jumping droplet condensation, compared to the-state-of-art jumping droplet condensation on nanostructured superhydrophobic surfaces. The excellent water repellency of such hierarchical superhydrophobic surfaces can be promising for many potential applications, such as anti-icing, antifogging, water desalination, and phase-change heat transfer.« less

  9. Hierarchical Superhydrophobic Surfaces with Micropatterned Nanowire Arrays for High-Efficiency Jumping Droplet Condensation

    DOE PAGES

    Wen, Rongfu; Xu, Shanshan; Zhao, Dongliang; ...

    2017-12-07

    Self-propelled droplet jumping on nanostructured superhydrophobic surfaces is of interest for a variety of industrial applications including self-cleaning, water harvesting, power generation, and thermal management systems. However, the uncontrolled nucleation-induced Wenzel state of condensed droplets at large surface subcooling (high heat flux) leads to the formation of unwanted large pinned droplets, which results in the flooding phenomenon and greatly degrades the heat transfer performance. In this work, we present a novel strategy to manipulate droplet behaviors during the process from the droplet nucleation to growth and departure through a combination of spatially controlling initial nucleation for mobile droplets by closelymore » spaced nanowires and promoting the spontaneous outward movement of droplets for rapid removal using micropatterned nanowire arrays. Through the optical visualization experiments and heat transfer tests, we demonstrate greatly improved condensation heat transfer characteristics on the hierarchical superhydrophobic surface including the higher density of microdroplets, smaller droplet departure radius, 133% wider range of surface subcooling for droplet jumping, and 37% enhancement in critical heat flux for jumping droplet condensation, compared to the-state-of-art jumping droplet condensation on nanostructured superhydrophobic surfaces. The excellent water repellency of such hierarchical superhydrophobic surfaces can be promising for many potential applications, such as anti-icing, antifogging, water desalination, and phase-change heat transfer.« less

  10. Fabrication and optical property of metal nanowire arrays embedded in anodic porous alumina membrane

    NASA Astrophysics Data System (ADS)

    Takase, Kouichi; Shimizu, Tomohiro; Sugawa, Kosuke; Aono, Takashige; Shirai, Yuma; Nishida, Tomohiko; Shingubara, Shoso

    2016-06-01

    Nanowires embedded in nanopores are potentially tough against surface scraping and agglomeration. In this study, we have fabricated Au and Ni nanowires embedded into anodic porous alumina (APA) and investigated their reflectance to study the effects of surface plasmon absorption properties and conversion from solar energy to thermal energy. Au nanowires embedded into APA show typical gold surface plasmon absorption at approximately 530 nm. On the other hand, Ni nanowires show quite a low reflectance under 600 nm. In the temperature elevation test, both Au and Ni nanowire samples present the same capability to warm up water. It means that Ni nanowires embedded into APA have almost the same photothermal activity as Au nanowires.

  11. Design of coated standing nanowire array solar cell performing beyond the planar efficiency limits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zeng, Yang; Ye, Qinghao; Shen, Wenzhong, E-mail: wzshen@sjtu.edu.cn

    2016-05-28

    The single standing nanowire (SNW) solar cells have been proven to perform beyond the planar efficiency limits in both open-circuit voltage and internal quantum efficiency due to the built-in concentration and the shifting of the absorption front. However, the expandability of these nano-scale units to a macro-scale photovoltaic device remains unsolved. The main difficulty lies in the simultaneous preservation of an effective built-in concentration in each unit cell and a broadband high absorption capability of their array. Here, we have provided a detailed theoretical guideline for realizing a macro-scale solar cell that performs furthest beyond the planar limits. The keymore » lies in a complementary design between the light-trapping of the single SNWs and that of the photonic crystal slab formed by the array. By tuning the hybrid HE modes of the SNWs through the thickness of a coaxial dielectric coating, the optimized coated SNW array can sustain an absorption rate over 97.5% for a period as large as 425 nm, which, together with the inherited carrier extraction advantage, leads to a cell efficiency increment of 30% over the planar limit. This work has demonstrated the viability of a large-size solar cell that performs beyond the planar limits.« less

  12. Temperature-dependent photoluminescence analysis of ZnO nanowire array annealed in air

    NASA Astrophysics Data System (ADS)

    Sun, Yanan; Gu, Xiuquan; Zhao, Yulong; Wang, Linmeng; Qiang, Yinghuai

    2018-05-01

    ZnO nanowire arrays (NWAs) were prepared on transparent conducting fluorine doped tin oxide (FTO) substrates through a facile hydrothermal method, followed by a 500 °C annealing to improve their crystalline qualities and photoelectrochemical (PEC) activities. It was found that the annealing didn't change the morphology, but resulted in a significant reduction of the donor concentration. Temperature-dependent photoluminescence (PL) was carried out for a comprehensive analysis of the effect from annealing. Noteworthy, four dominant peaks were identified from the 10 K spectrum of a 500 °C annealed sample, and they were assigned to FX, D0X, (e, D0) and (e, D0) -1LO, respectively. Of them, the FX emission was only existed below 130 K, while the room-temperature (RT) PL spectrum was dominated by the D0X emission.

  13. Ultrathin CsPbX3 Nanowire Arrays with Strong Emission Anisotropy.

    PubMed

    Gao, Yan; Zhao, Liyun; Shang, Qiuyu; Zhong, Yangguang; Liu, Zhen; Chen, Jie; Zhang, Zhepeng; Shi, Jia; Du, Wenna; Zhang, Yanfeng; Chen, Shulin; Gao, Peng; Liu, Xinfeng; Wang, Xina; Zhang, Qing

    2018-06-19

    1D nanowires of all-inorganic lead halide perovskites represent a good architecture for the development of polarization-sensitive optoelectronic devices due to their high absorption efficient, emission yield, and dielectric constants. However, among as-fabricated perovskite nanowires with the lateral dimensions of hundreds nanometers so far, the optical anisotropy is hindered and rarely explored owing to the invalidating of electrostatic dielectric mismatch in the physical dimensions. Here, well-aligned CsPbBr 3 and CsPbCl 3 nanowires with thickness T down to 15 and 7 nm, respectively, are synthesized using a vapor phase van der Waals epitaxial method. Strong emission anisotropy with polarization ratio up to ≈0.78 is demonstrated in the nanowires with T < 40 nm due to the electrostatic dielectric confinement. With the increasing of thickness, the polarization ratio remarkably reduces monotonously to ≈0.17 until T ≈140 nm; and further oscillates in a small amplitude owing to the wave characteristic of light. These findings not only represent a demonstration of perovskite-based polarization-sensitive light sources, but also advance fundamental understanding of their polarization properties of perovskite nanowires. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Tunable, flexible antireflection layer of ZnO nanowires embedded in PDMS.

    PubMed

    Kim, Min Kyu; Yi, Dong Kee; Paik, Ungyu

    2010-05-18

    In this article, we report the fabrication of ordered hybrid structures composed of ZnO nanowires and a polymeric matrix with a polymer precursor infiltrating the nanowire arrays. The antireflective properties of the resulting ZnO nanowire-embedded polydimethylsiloxane composite (ZPC) were investigated at various ZnO nanowire lengths and ZPC bending angles. Interestingly, we found that whereas the antireflective properties showed a strong dependence on the length of the embedded ZnO nanowires in PDMS, the bending of ZPC has little effect on the antireflective properties.

  15. X-ray Emission Characteristics of Ultra-High Energy Density Relativistic Plasmas Created by Ultrafast Laser Irradiation of Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Hollinger, R. C.; Bargsten, C.; Shlyaptsev, V. N.; Pukhov, A.; Purvis, M. A.; Townsend, A.; Keiss, D.; Wang, Y.; Wang, S.; Prieto, A.; Rocca, J. J.

    2014-10-01

    Irradiation of ordered nanowire arrays with high contrast femtosecond laser pulses of relativistic intensity creates volumetrically heated near solid density plasmas characterized by multi-KeV temperatures and extreme degrees of ionization. The large hydrodynamic-to-radiative lifetime ratio of these plasmas results in very efficient X-ray generation. Au nanowire array plasmas irradiated at I 5×1018 Wcm-2 are measured to convert ~ 5 percent of the laser energy into h ν > 0.9 KeV X-rays, and >1 × 10-4 into h ν > 9 KeV photons, creating bright picosecond X-ray sources. The angular distribution of the higher energy photons is measured to change from isotropic into annular as the intensity increases, while softer X-ray emission (h ν >1 KeV) remains isotropic and nearly unchanged. Model simulations suggest the unexpected annular distribution of the hard X-rays might result from bremsstrahlung of fast electrons confined in a high aspect ratio near solid density plasma in which the electron-ion collision mean free-path is of the order of the plasma thickness. Work supported by the U.S Department of Energy, Fusion Energy Sciences and the Defense Threat Reduction Agency Grant HDTRA-1-10-1-0079. A.P was supported by of DFG-funded project TR18.

  16. Contact planarization of ensemble nanowires

    NASA Astrophysics Data System (ADS)

    Chia, A. C. E.; LaPierre, R. R.

    2011-06-01

    The viability of four organic polymers (S1808, SC200, SU8 and Cyclotene) as filling materials to achieve planarization of ensemble nanowire arrays is reported. Analysis of the porosity, surface roughness and thermal stability of each filling material was performed. Sonication was used as an effective method to remove the tops of the nanowires (NWs) to achieve complete planarization. Ensemble nanowire devices were fully fabricated and I-V measurements confirmed that Cyclotene effectively planarizes the NWs while still serving the role as an insulating layer between the top and bottom contacts. These processes and analysis can be easily implemented into future characterization and fabrication of ensemble NWs for optoelectronic device applications.

  17. Contact planarization of ensemble nanowires.

    PubMed

    Chia, A C E; LaPierre, R R

    2011-06-17

    The viability of four organic polymers (S1808, SC200, SU8 and Cyclotene) as filling materials to achieve planarization of ensemble nanowire arrays is reported. Analysis of the porosity, surface roughness and thermal stability of each filling material was performed. Sonication was used as an effective method to remove the tops of the nanowires (NWs) to achieve complete planarization. Ensemble nanowire devices were fully fabricated and I-V measurements confirmed that Cyclotene effectively planarizes the NWs while still serving the role as an insulating layer between the top and bottom contacts. These processes and analysis can be easily implemented into future characterization and fabrication of ensemble NWs for optoelectronic device applications.

  18. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  19. Silver nanowire/polymer composite soft conductive film fabricated by large-area compatible coating for flexible pressure sensor array

    NASA Astrophysics Data System (ADS)

    Chen, Sujie; Li, Siying; Peng, Sai; Huang, Yukun; Zhao, Jiaqing; Tang, Wei; Guo, Xiaojun

    2018-01-01

    Soft conductive films composed of a silver nanowire (AgNW) network, a neutral-pH PEDOT:PSS over-coating layer and a polydimethylsiloxane (PDMS) elastomer substrate are fabricated by large area compatible coating processes. The neutral-pH PEDOT:PSS layer is shown to be able to significantly improve the conductivity, stretchability and air stability of the conductive films. The soft conductive films are patterned using a simple maskless patterning approach to fabricate an 8 × 8 flexible pressure sensor array. It is shown that such soft conductive films can help to improve the sensitivity and reduce the signal crosstalk over the pressure sensor array. Project supported by the Science and Technology Commission of Shanghai Municipality (No. 16JC1400603).

  20. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  1. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  2. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording

    PubMed Central

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-01-01

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development. PMID:28350370

  3. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording.

    PubMed

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-03-28

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development.

  4. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  5. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  6. Understanding and removing surface states limiting charge transport in TiO2 nanowire arrays for enhanced optoelectronic device performance.

    PubMed

    Sheng, Xia; Chen, Liping; Xu, Tao; Zhu, Kai; Feng, Xinjian

    2016-03-01

    Charge transport within electrode materials plays a key role in determining the optoelectronic device performance. Aligned single-crystal TiO 2 nanowire arrays offer an ideal electron transport path and are expected to have higher electron mobility. Unfortunately, their transport is found not to be superior to that in nanoparticle films. Here we show that the low electron transport in rutile TiO 2 nanowires is mainly caused by surface traps in relatively deep energy levels, which cannot be removed by conventional approaches, such as oxygen annealing treatment. Moreover, we demonstrate an effective wet-chemistry approach to minimize these trap states, leading to over 20-fold enhancement in electron diffusion coefficient and 62% improvement in solar cell performance. On the basis of our results, the potential of TiO 2 NWs can be developed and well-utilized, which is significantly important for their practical applications.

  7. Current induced incoherent magnetization dynamics in ferromagnetic/non-magnetic metallic multilayer nanowires

    NASA Astrophysics Data System (ADS)

    Al-Rashid, Md Mamun; Maqableh, Mazin; Stadler, Bethanie; Atulasimha, Jayasimha

    High density arrays of electrodeposited nanowires consisting of ferromagnetic/non-magnetic (Co/Cu) multilayers are promising as magnetic memory devices. For individual nanowires containing multiple (Co/Cu) bilayers, the stable magnetization orientations of the Co layers (with respect to each other and the nanowire axis) are dependent on the Cu layer thickness, even when the Co layer dimensions are fixed. This dependence is a result of the competition between shape anisotropy, magneto-crystalline anisotropy and intra-wire dipole coupling. However, when the nanowires are closely packed in arrays, inter-wire dipole coupling can result in complex and tunable domain structures comprising segments of multiple nanowires. This work explores the dependence of these domain structures and their switching on the non-magnetic layer thickness and intra-wire spacing both experimentally and via rigorous micromagnetic simulation. These domain structures play a crucial role in determining the current and time required for STT switching. NSF CAREER Grant CCF-1253370.

  8. Tailored Emission Properties of ZnTe/ZnTe:O/ZnO Core-Shell Nanowires Coupled with an Al Plasmonic Bowtie Antenna Array.

    PubMed

    Nie, Kui-Ying; Tu, Xuecou; Li, Jing; Chen, Xuanhu; Ren, Fang-Fang; Zhang, Guo-Gang; Kang, Lin; Gu, Shulin; Zhang, Rong; Wu, Peiheng; Zheng, Youdou; Tan, Hark Hoe; Jagadish, Chennupati; Ye, Jiandong

    2018-06-14

    The ability to manipulate light-matter interaction in semiconducting nanostructures is fascinating for implementing functionalities in advanced optoelectronic devices. Here, we report the tailoring of radiative emissions in a ZnTe/ZnTe:O/ZnO core-shell single nanowire coupled with a one-dimensional aluminum bowtie antenna array. The plasmonic antenna enables changes in the excitation and emission processes, leading to an obvious enhancement of near band edge emission (2.2 eV) and subgap excitonic emission (1.7 eV) bound to intermediate band states in a ZnTe/ZnTe:O/ZnO core-shell nanowire as well as surface-enhanced Raman scattering at room temperature. The increase of emission decay rate in the nanowire/antenna system, probed by time-resolved photoluminescence spectroscopy, yields an observable enhancement of quantum efficiency induced by local surface plasmon resonance. Electromagnetic simulations agree well with the experimental observations, revealing a combined effect of enhanced electric near-field intensity and the improvement of quantum efficiency in the ZnTe/ZnTe:O/ZnO nanowire/antenna system. The capability of tailoring light-matter interaction in low-efficient emitters may provide an alternative platform for designing advanced optoelectronic and sensing devices with precisely controlled response.

  9. Three-dimensional cotton-like nickel nanowire@Ni-Co hydroxide nanosheet arrays as binder-free electrode for high-performance asymmetric supercapacitor

    NASA Astrophysics Data System (ADS)

    Wan, Houzhao; Li, Lang; Xu, Yang; Tan, Qiuyang; Liu, Xiang; Zhang, Jun; Wang, Hanbin; Wang, Hao

    2018-05-01

    Three-dimensional (3D) cotton-like Ni-Co layered double hydroxide nanosheet arrays/nickel nanowires (3D Ni-Co LDH/NiNw) were successfully fabricated through a facile chemical bath deposition method. The 3D nickel nanowires are used as a conductive substrate with robust adhesion for high-pseudocapacitance Ni-Co LDH. The 3D Ni-Co LDH/NiNw electrode shows a high areal specific capacitance of 14 F cm-2 at 5 mA cm-2 and quality specific capacitance of 466.6 F g-1 at 0.125 A g-1 with respect to the whole quality of the electrode. The fabricated asymmetric supercapacitor exhibits a remarkable energy density of 0.387 mWh cm-2 using Ni-Co LDH/NiNw as the negative electrode. This high-performance composite electrode presents a new and affordable general approach for supercapacitors.

  10. Three-dimensional cotton-like nickel nanowire@Ni-Co hydroxide nanosheet arrays as binder-free electrode for high-performance asymmetric supercapacitor.

    PubMed

    Wan, Houzhao; Li, Lang; Xu, Yang; Tan, Qiuyang; Liu, Xiang; Zhang, Jun; Wang, Hanbin; Wang, Hao

    2018-05-11

    Three-dimensional (3D) cotton-like Ni-Co layered double hydroxide nanosheet arrays/nickel nanowires (3D Ni-Co LDH/NiNw) were successfully fabricated through a facile chemical bath deposition method. The 3D nickel nanowires are used as a conductive substrate with robust adhesion for high-pseudocapacitance Ni-Co LDH. The 3D Ni-Co LDH/NiNw electrode shows a high areal specific capacitance of 14 F cm -2 at 5 mA cm -2 and quality specific capacitance of 466.6 F g -1 at 0.125 A g -1 with respect to the whole quality of the electrode. The fabricated asymmetric supercapacitor exhibits a remarkable energy density of 0.387 mWh cm -2 using Ni-Co LDH/NiNw as the negative electrode. This high-performance composite electrode presents a new and affordable general approach for supercapacitors.

  11. Dye-sensitized solar cells with vertically aligned TiO2 nanowire arrays grown on carbon fibers.

    PubMed

    Cai, Xin; Wu, Hongwei; Hou, Shaocong; Peng, Ming; Yu, Xiao; Zou, Dechun

    2014-02-01

    One-dimensional semiconductor TiO2 nanowires (TNWs) have received widespread attention from solar cell and related optoelectronics scientists. The controllable synthesis of ordered TNW arrays on arbitrary substrates would benefit both fundamental research and practical applications. Herein, vertically aligned TNW arrays in situ grown on carbon fiber (CF) substrates through a facile, controllable, and seed-assisted thermal process is presented. Also, hierarchical TiO2 -nanoparticle/TNW arrays were prepared that favor both the dye loading and depressed charge recombination of the CF/TNW photoanode. An impressive conversion efficiency of 2.48 % (under air mass 1.5 global illumination) and an apparent efficiency of 4.18 % (with a diffuse board) due to the 3D light harvesting of the wire solar cell were achieved. Moreover, efficient and inexpensive wire solar cells made from all-CF electrodes and completely flexible CF-based wire solar cells were demonstrated, taking into account actual application requirements. This work may provide an intriguing avenue for the pursuit of lightweight, cost-effective, and high-performance flexible/wearable solar cells. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Carbon-Free CoO Mesoporous Nanowire Array Cathode for High-Performance Aprotic Li-O2 Batteries.

    PubMed

    Wu, Baoshan; Zhang, Hongzhang; Zhou, Wei; Wang, Meiri; Li, Xianfeng; Zhang, Huamin

    2015-10-21

    Although various kinds of catalysts have been developed for aprotic Li-O2 battery application, the carbon-based cathodes are still vulnerable to attacks from the discharge intermediates or products, as well as the accompanying electrolyte decomposition. To ameliorate this problem, the free-standing and carbon-free CoO nanowire array cathode was purposely designed for Li-O2 batteries. The single CoO nanowire formed as a special mesoporous structure, owing even comparable specific surface area and pore volume to the typical Super-P carbon particles. In addition to the highly selective oxygen reduction/evolution reactions catalytic activity of CoO cathodes, both excellent discharge specific capacity and cycling efficiency of Li-O2 batteries were obtained, with 4888 mAh gCoO(-1) and 50 cycles during 500 h period. Owing to the synergistic effect between elaborate porous structure and selective intermediate absorption on CoO crystal, a unique bimodal growth phenomenon of discharge products was occasionally observed, which further offers a novel mechanism to control the formation/decomposition morphology of discharge products in nanoscale. This research work is believed to shed light on the future development of high-performance aprotic Li-O2 batteries.

  13. Investigation of CuInSe2 nanowire arrays with core-shell structure electrodeposited at various duty cycles into anodic alumina templates

    NASA Astrophysics Data System (ADS)

    Cheng, Yu-Song; Wang, Na-Fu; Tsai, Yu-Zen; Lin, Jia-Jun; Houng, Mau-Phon

    2017-02-01

    Copper indium selenide (CuInSe2) nanowire (NW) arrays were prepared at various electrolyte duty cycles by filling anodic alumina templates through the pulsed electrodeposition technique. X-ray diffraction and scanning electron microscopy (SEM) images showed that the nucleation mechanism of CuInSe2 NW arrays was affected by the electrodeposition duty cycle. Moreover, SEM images showed that the diameter and length of the NWs were 80 nm and 2 μm, respectively. Furthermore, PEDOT/CuInSe2 NW core-shell arrays were fabricated using surfactant-modified CuInSe2 NW surfaces showing the lotus effect. Transmission electron microscopy images confirmed that a core-shell structure was achieved. Current-voltage plots revealed that the CuInSe2 NW arrays were p-type semiconductors; moreover, the core-shell structure improved the diode ideality factor from 3.91 to 2.63.

  14. Construction of Hierarchical CuO/Cu2O@NiCo2S4 Nanowire Arrays on Copper Foam for High Performance Supercapacitor Electrodes

    PubMed Central

    Zhou, Luoxiao; He, Ying; Jia, Congpu; Pavlinek, Vladimir; Saha, Petr; Cheng, Qilin

    2017-01-01

    Hierarchical copper oxide @ ternary nickel cobalt sulfide (CuO/Cu2O@NiCo2S4) core-shell nanowire arrays on Cu foam have been successfully constructed by a facile two-step strategy. Vertically aligned CuO/Cu2O nanowire arrays are firstly grown on Cu foam by one-step thermal oxidation of Cu foam, followed by electrodeposition of NiCo2S4 nanosheets on the surface of CuO/Cu2O nanowires to form the CuO/Cu2O@NiCo2S4 core-shell nanostructures. Structural and morphological characterizations indicate that the average thickness of the NiCo2S4 nanosheets is ~20 nm and the diameter of CuO/Cu2O core is ~50 nm. Electrochemical properties of the hierarchical composites as integrated binder-free electrodes for supercapacitor were evaluated by various electrochemical methods. The hierarchical composite electrodes could achieve ultrahigh specific capacitance of 3.186 F cm−2 at 10 mA cm−2, good rate capability (82.06% capacitance retention at the current density from 2 to 50 mA cm−2) and excellent cycling stability, with capacitance retention of 96.73% after 2000 cycles at 10 mA cm−2. These results demonstrate the significance of optimized design and fabrication of electrode materials with more sufficient electrolyte-electrode interface, robust structural integrity and fast ion/electron transfer. PMID:28914819

  15. Heavily Boron-Doped Silicon Layer for the Fabrication of Nanoscale Thermoelectric Devices

    PubMed Central

    Liu, Yang; Deng, Lingxiao; Zhang, Mingliang; Zhang, Shuyuan; Ma, Jing; Song, Peishuai; Liu, Qing; Ji, An; Yang, Fuhua; Wang, Xiaodong

    2018-01-01

    Heavily boron-doped silicon layers and boron etch-stop techniques have been widely used in the fabrication of microelectromechanical systems (MEMS). This paper provides an introduction to the fabrication process of nanoscale silicon thermoelectric devices. Low-dimensional structures such as silicon nanowire (SiNW) have been considered as a promising alternative for thermoelectric applications in order to achieve a higher thermoelectric figure of merit (ZT) than bulk silicon. Here, heavily boron-doped silicon layers and boron etch-stop processes for the fabrication of suspended SiNWs will be discussed in detail, including boron diffusion, electron beam lithography, inductively coupled plasma (ICP) etching and tetramethylammonium hydroxide (TMAH) etch-stop processes. A 7 μm long nanowire structure with a height of 280 nm and a width of 55 nm was achieved, indicating that the proposed technique is useful for nanoscale fabrication. Furthermore, a SiNW thermoelectric device has also been demonstrated, and its performance shows an obvious reduction in thermal conductivity. PMID:29385759

  16. Nanowire Thermoelectric Devices

    NASA Technical Reports Server (NTRS)

    Borshchevsky, Alexander; Fleurial, Jean-Pierre; Herman, Jennifer; Ryan, Margaret

    2005-01-01

    Nanowire thermoelectric devices, now under development, are intended to take miniaturization a step beyond the prior state of the art to exploit the potential advantages afforded by shrinking some device features to approximately molecular dimensions (of the order of 10 nm). The development of nanowire-based thermoelectric devices could lead to novel power-generating, cooling, and sensing devices that operate at relatively low currents and high voltages. Recent work on the theory of thermoelectric devices has led to the expectation that the performance of such a device could be enhanced if the diameter of the wires could be reduced to a point where quantum confinement effects increase charge-carrier mobility (thereby increasing the Seebeck coefficient) and reduce thermal conductivity. In addition, even in the absence of these effects, the large aspect ratios (length of the order of tens of microns diameter of the order of tens of nanometers) of nanowires would be conducive to the maintenance of large temperature differences at small heat fluxes. The predicted net effect of reducing diameters to the order of tens of nanometers would be to increase its efficiency by a factor of .3. Nanowires made of thermoelectric materials and devices that comprise arrays of such nanowires can be fabricated by electrochemical growth of the thermoelectric materials in templates that contain suitably dimensioned pores (10 to 100 nm in diameter and 1 to 100 microns long). The nanowires can then be contacted in bundles to form devices that look similar to conventional thermoelectric devices, except that a production version may contain nearly a billion elements (wires) per square centimeter, instead of fewer than a hundred as in a conventional bulk thermoelectric device or fewer than 100,000 as in a microdevice. It is not yet possible to form contacts with individual nanowires. Therefore, in fabricating a nanowire thermoelectric device, one forms contacts on nanowires in bundles of the

  17. Growth of low temperature silicon nano-structures for electronic and electrical energy generation applications.

    PubMed

    Gabrielyan, Nare; Saranti, Konstantina; Manjunatha, Krishna Nama; Paul, Shashi

    2013-02-15

    This paper represents the lowest growth temperature for silicon nano-wires (SiNWs) via a vapour-liquid-solid method, which has ever been reported in the literature. The nano-wires were grown using plasma-enhanced chemical vapour deposition technique at temperatures as low as 150°C using gallium as the catalyst. This study investigates the structure and the size of the grown silicon nano-structure as functions of growth temperature and catalyst layer thickness. Moreover, the choice of the growth temperature determines the thickness of the catalyst layer to be used.The electrical and optical characteristics of the nano-wires were tested by incorporating them in photovoltaic solar cells, two terminal bistable memory devices and Schottky diode. With further optimisation of the growth parameters, SiNWs, grown by our method, have promising future for incorporation into high performance electronic and optical devices.

  18. Three-Dimensional NiCo2O4@Polypyrrole Coaxial Nanowire Arrays on Carbon Textiles for High-Performance Flexible Asymmetric Solid-State Supercapacitor.

    PubMed

    Kong, Dezhi; Ren, Weina; Cheng, Chuanwei; Wang, Ye; Huang, Zhixiang; Yang, Hui Ying

    2015-09-30

    In this article, we report a novel electrode of NiCo2O4 nanowire arrays (NWAs) on carbon textiles with a polypyrrole (PPy) nanosphere shell layer to enhance the pseudocapacitive performance. The merits of highly conductive PPy and short ion transport channels in ordered NiCo2O4 mesoporous nanowire arrays together with the synergistic effect between NiCo2O4 and PPy result in a high specific capacitance of 2244 F g(-1), excellent rate capability, and cycling stability in NiCo2O4/PPy electrode. Moreover, a lightweight and flexible asymmetric supercapacitor (ASC) device is successfully assembled using the hybrid NiCo2O4@PPy NWAs and activated carbon (AC) as electrodes, achieving high energy density (58.8 W h kg(-1) at 365 W kg(-1)), outstanding power density (10.2 kW kg(-1) at 28.4 W h kg(-1)) and excellent cycling stability (∼89.2% retention after 5000 cycles), as well as high flexibility. The three-dimensional coaxial architecture design opens up new opportunities to fabricate a high-performance flexible supercapacitor for future portable and wearable electronic devices.

  19. Hierarchical Carbon Fibers with ZnO Nanowires for Volatile Sensing in Composite Curing (Postprint)

    DTIC Science & Technology

    2014-07-01

    needed to demonstrate the use of Zinc Oxide (ZnO) nanowire coated carbon fibers as a volatile sensor. ZnO nanowires are demonstrated to function as...processing. For this work, we report on the foundational study needed to demonstrate the use of Zinc Oxide (ZnO) nanowire coated carbon fibers as a...array of ZnO nanowires. Zinc oxide nanowires become more conductive in the presence of ethanol – as analyte sorbs to the surface, electron density

  20. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    NASA Astrophysics Data System (ADS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.