Sample records for nanowire metal-oxide-semiconductor field-effect

  1. Ultralow-power complementary metal-oxide-semiconductor inverters constructed on Schottky barrier modified nanowire metal-oxide-semiconductor field-effect-transistors.

    PubMed

    Ma, R M; Peng, R M; Wen, X N; Dai, L; Liu, C; Sun, T; Xu, W J; Qin, G G

    2010-10-01

    We show that the threshold voltages of both n- and p-channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) can be lowered to close to zero by adding extra Schottky contacts on top of nanowires (NWs). Novel complementary metal-oxide-semiconductor (CMOS) inverters are constructed on these Schottky barrier modified n- and p-channel NW MOSFETs. Based on the high performances of the modified n- and p-channel MOSFETs, especially the low threshold voltages, the as-fabricated CMOS inverters have low operating voltage, high voltage gain, and ultra-low static power dissipation.

  2. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  3. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  4. Rapid Transition of the Hole Rashba Effect from Strong Field Dependence to Saturation in Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Luo, Jun-Wei; Li, Shu-Shen; Zunger, Alex

    2017-09-01

    The electric field manipulation of the Rashba spin-orbit coupling effects provides a route to electrically control spins, constituting the foundation of the field of semiconductor spintronics. In general, the strength of the Rashba effects depends linearly on the applied electric field and is significant only for heavy-atom materials with large intrinsic spin-orbit interaction under high electric fields. Here, we illustrate in 1D semiconductor nanowires an anomalous field dependence of the hole (but not electron) Rashba effect (HRE). (i) At low fields, the strength of the HRE exhibits a steep increase with the field so that even low fields can be used for device switching. (ii) At higher fields, the HRE undergoes a rapid transition to saturation with a giant strength even for light-atom materials such as Si (exceeding 100 meV Å). (iii) The nanowire-size dependence of the saturation HRE is rather weak for light-atom Si, so size fluctuations would have a limited effect; this is a key requirement for scalability of Rashba-field-based spintronic devices. These three features offer Si nanowires as a promising platform for the realization of scalable complementary metal-oxide-semiconductor compatible spintronic devices.

  5. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  6. Rapid Transition of the Hole Rashba Effect from Strong Field Dependence to Saturation in Semiconductor Nanowires.

    PubMed

    Luo, Jun-Wei; Li, Shu-Shen; Zunger, Alex

    2017-09-22

    The electric field manipulation of the Rashba spin-orbit coupling effects provides a route to electrically control spins, constituting the foundation of the field of semiconductor spintronics. In general, the strength of the Rashba effects depends linearly on the applied electric field and is significant only for heavy-atom materials with large intrinsic spin-orbit interaction under high electric fields. Here, we illustrate in 1D semiconductor nanowires an anomalous field dependence of the hole (but not electron) Rashba effect (HRE). (i) At low fields, the strength of the HRE exhibits a steep increase with the field so that even low fields can be used for device switching. (ii) At higher fields, the HRE undergoes a rapid transition to saturation with a giant strength even for light-atom materials such as Si (exceeding 100 meV Å). (iii) The nanowire-size dependence of the saturation HRE is rather weak for light-atom Si, so size fluctuations would have a limited effect; this is a key requirement for scalability of Rashba-field-based spintronic devices. These three features offer Si nanowires as a promising platform for the realization of scalable complementary metal-oxide-semiconductor compatible spintronic devices.

  7. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  8. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  9. Methods for synthesizing metal oxide nanowires

    DOEpatents

    Sunkara, Mahendra Kumar; Kumar, Vivekanand; Kim, Jeong H.; Clark, Ezra Lee

    2016-08-09

    A method of synthesizing a metal oxide nanowire includes the steps of: combining an amount of a transition metal or a transition metal oxide with an amount of an alkali metal compound to produce a mixture; activating a plasma discharge reactor to create a plasma discharge; exposing the mixture to the plasma discharge for a first predetermined time period such that transition metal oxide nanowires are formed; contacting the transition metal oxide nanowires with an acid solution such that an alkali metal ion is exchanged for a hydrogen ion on each of the transition metal oxide nanowires; and exposing the transition metal oxide nanowires to the plasma discharge for a second predetermined time period to thermally anneal the transition metal oxide nanowires. Transition metal oxide nanowires produced using the synthesis methods described herein are also provided.

  10. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    PubMed

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  11. Band structure engineering strategies of metal oxide semiconductor nanowires and related nanostructures: A review

    NASA Astrophysics Data System (ADS)

    Piyadasa, Adimali; Wang, Sibo; Gao, Pu-Xian

    2017-07-01

    The electronic band structure of a solid state semiconductor determines many of its physical and chemical characteristics such as electrical, optical, physicochemical, and catalytic activity. Alteration or modification of the band structure could lead to significant changes in these physical and chemical characteristics, therefore we introduce new mechanisms of creating novel solid state materials with interesting properties. Over the past three decades, research on band structure engineering has allowed development of various methods to modify the band structure of engineered materials. Compared to bulk counterparts, nanostructures generally exhibit higher band structure modulation capabilities due to the quantum confinement effect, prominent surface effect, and higher strain limit. In this review we will discuss various band structure engineering strategies in semiconductor nanowires and other related nanostructures, mostly focusing on metal oxide systems. Several important strategies of band structure modulation are discussed in detail, such as doping, alloying, straining, interface and core-shell nanostructuring.

  12. High performance Si nanowire field-effect-transistors based on a CMOS inverter with tunable threshold voltage.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Sohn, Jung Inn; Cha, Seung Nam; Whang, Dongmok; Kim, Jong Min; Kang, Dae Joon

    2014-05-21

    We successfully fabricated nanowire-based complementary metal-oxide semiconductor (NWCMOS) inverter devices by utilizing n- and p-type Si nanowire field-effect-transistors (NWFETs) via a low-temperature fabrication processing technique. We demonstrate that NWCMOS inverter devices can be operated at less than 1 V, a significantly lower voltage than that of typical thin-film based complementary metal-oxide semiconductor (CMOS) inverter devices. This low-voltage operation was accomplished by controlling the threshold voltage of the n-type Si NWFETs through effective management of the nanowire (NW) doping concentration, while realizing high voltage gain (>10) and ultra-low static power dissipation (≤3 pW) for high-performance digital inverter devices. This result offers a viable means of fabricating high-performance, low-operation voltage, and high-density digital logic circuits using a low-temperature fabrication processing technique suitable for next-generation flexible electronics.

  13. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  14. Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes

    NASA Astrophysics Data System (ADS)

    Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.

    2018-02-01

    Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.

  15. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    NASA Astrophysics Data System (ADS)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  16. Current-voltage characteristics of the semiconductor nanowires under the metal-semiconductor-metal structure

    NASA Astrophysics Data System (ADS)

    Wen, Jing; Zhang, Xitian; Gao, Hong; Wang, Mingjiao

    2013-12-01

    We present a method to calculate the I-V characteristics of semiconductor nanowires under the metal-semiconductor-metal (MSM) structure. The carrier concentration as an important parameter is introduced into the expression of the current. The subband structure of the nanowire has been considered for associating it with the position of the Fermi level and circumventing the uncertainties of the contact areas in the contacts. The tunneling and thermionic emission currents in the two Schottky barriers at the two metal-semiconductor contacts are discussed. We find that the two barriers have different influences on the I-V characteristics of the MSM structure, one of which under the forward bias plays the role of threshold voltage if its barrier height is large and the applied voltage is small, and the other under the reverse bias controls the shapes of I-V curves. Our calculations show that the shapes of the I-V curves for the MSM structure are mainly determined by the barrier heights of the contacts and the carrier concentration. The nearly identical I-V characteristics can be obtained by using different values of the barrier heights and carrier concentration, which means that the contact type conversion can be ascribed not only to the changes of the barrier heights but also that of the carrier concentration. We also discuss the mechanisms of the ohmic-Schottky conversions and clarify the ambiguity in the literature. The possibility about the variation of the carrier concentration under the applied fields has been confirmed by experimental results.

  17. Plasmonic engineering of metal-oxide nanowire heterojunctions in integrated nanowire rectification units

    NASA Astrophysics Data System (ADS)

    Lin, Luchan; Zou, Guisheng; Liu, Lei; Duley, Walt W.; Zhou, Y. Norman

    2016-05-01

    We show that irradiation with femtosecond laser pulses can produce robust nanowire heterojunctions in coupled non-wetting metal-oxide Ag-TiO2 structures. Simulations indicate that joining arises from the effect of strong plasmonic localization in the region of the junction. Strong electric field effects occur in both Ag and TiO2 resulting in the modification of both surfaces and an increase in wettability of TiO2, facilitating the interconnection of Ag and TiO2 nanowires. Irradiation leads to the creation of a thin layer of highly defected TiO2 in the contact region between the Ag and TiO2 nanowires. The presence of this layer allows the formation of a heterojunction and offers the possibility of engineering the electronic characteristics of interfacial structures. Rectifying junctions with single and bipolar properties have been generated in Ag-TiO2 nanowire circuits incorporating asymmetrical and symmetrical interfacial structures, respectively. This fabrication technique should be applicable for the interconnection of other heterogeneous metal-oxide nanowire components and demonstrates that femtosecond laser irradiation enables interfacial engineering for electronic applications of integrated nanowire structures.

  18. Models of second-order effects in metal-oxide-semiconductor field-effect transistors for computer applications

    NASA Technical Reports Server (NTRS)

    Benumof, Reuben; Zoutendyk, John; Coss, James

    1988-01-01

    Second-order effects in metal-oxide-semiconductor field-effect transistors (MOSFETs) are important for devices with dimensions of 2 microns or less. The short and narrow channel effects and drain-induced barrier lowering primarily affect threshold voltage, but formulas for drain current must also take these effects into account. In addition, the drain current is sensitive to channel length modulation due to pinch-off or velocity saturation and is diminished by electron mobility degradation due to normal and lateral electric fields in the channel. A model of a MOSFET including these considerations and emphasizing charge conservation is discussed.

  19. Differential-Mode Biosensor Using Dual Extended-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jinhyeon; Lee, Hee Ho; Ahn, Jungil; Seo, Sang-Ho; Shin, Jang-Kyoo

    2012-06-01

    In this paper, we present a differential-mode biosensor using dual extended-gate metal-oxide-semiconductor field-effect transistors (MOSFETs), which possesses the advantages of both the extended-gate structure and the differential-mode operation. The extended-gate MOSFET was fabricated using a 0.6 µm standard complementary metal oxide semiconductor (CMOS) process. The Au extended gate is the sensing gate on which biomolecules are immobilized, while the Pt extended gate is the dummy gate for use in the differential-mode detection circuit. The differential-mode operation offers many advantages such as insensitivity to the variation of temperature and light, as well as low noise. The outputs were measured using a semiconductor parameter analyzer in a phosphate buffered saline (PBS; pH 7.4) solution. A standard Ag/AgCl reference electrode was used to apply the gate bias. We measured the variation of output voltage with time, temperature, and light intensity. The bindings of self-assembled monolayer (SAM), streptavidin, and biotin caused a variation in the output voltage of the differential-mode detection circuit and this was confirmed by surface plasmon resonance (SPR) experiment. Biotin molecules could be detected up to a concentration of as low as 0.001 µg/ml.

  20. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    NASA Astrophysics Data System (ADS)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  1. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  2. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  3. Design of Contact Electrodes for Semiconductor Nanowire Solar Energy Harvesting Devices.

    PubMed

    Lin, Tzuging; Ramadurgam, Sarath; Yang, Chen

    2017-04-12

    Transparent, low-resistive contacts are critical for efficient solar energy harvesting devices. It is important to reconsider the material choices and electrode design as devices move from 2D films to 1D nanostructures. In this paper, we study the effectiveness of indium tin oxide (ITO) and metals, such as Ag and Cu, as contacts in 2D and 1D systems. Although ITO has been studied extensively and developed into an effective transparent contact for 2D devices, our results show that effectiveness does not translate to 1D systems. Particularly with consideration of resistance requirement, nanowires with metal shells as contacts enable better absorption within the semiconductor as compared to ITO. Furthermore, there is a strong dependence of contact performance on the semiconductor band gap and diameter of nanowires. We found that metal contacts outperform ITO for nanowire devices, regardless of the sheet resistance constraint, in the regime of diameters less than 100 nm and band-gaps greater than 1 eV. These metal shells optimized for best absorption are significantly thinner than ITO, which enables for the design of devices with high nanowire number density and consequently higher device efficiencies.

  4. Low temperature mobility in hafnium-oxide gated germanium p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Beer, Chris; Whall, Terry; Parker, Evan; Leadley, David; De Jaeger, Brice; Nicholas, Gareth; Zimmerman, Paul; Meuris, Marc; Szostak, Slawomir; Gluszko, Grzegorz; Lukasiak, Lidia

    2007-12-01

    Effective mobility measurements have been made at 4.2K on high performance high-k gated germanium p-type metal-oxide-semiconductor field effect transistors with a range of Ge/gate dielectric interface state densities. The mobility is successfully modelled by assuming surface roughness and interface charge scattering at the SiO2 interlayer/Ge interface. The deduced interface charge density is approximately equal to the values obtained from the threshold voltage and subthreshold slope measurements on each device. A hydrogen anneal reduces both the interface state density and the surface root mean square roughness by 20%.

  5. Inversion channel diamond metal-oxide-semiconductor field-effect transistor with normally off characteristics.

    PubMed

    Matsumoto, Tsubasa; Kato, Hiromitsu; Oyama, Kazuhiro; Makino, Toshiharu; Ogura, Masahiko; Takeuchi, Daisuke; Inokuma, Takao; Tokuda, Norio; Yamasaki, Satoshi

    2016-08-22

    We fabricated inversion channel diamond metal-oxide-semiconductor field-effect transistors (MOSFETs) with normally off characteristics. At present, Si MOSFETs and insulated gate bipolar transistors (IGBTs) with inversion channels are widely used because of their high controllability of electric power and high tolerance. Although a diamond semiconductor is considered to be a material with a strong potential for application in next-generation power devices, diamond MOSFETs with an inversion channel have not yet been reported. We precisely controlled the MOS interface for diamond by wet annealing and fabricated p-channel and planar-type MOSFETs with phosphorus-doped n-type body on diamond (111) substrate. The gate oxide of Al2O3 was deposited onto the n-type diamond body by atomic layer deposition at 300 °C. The drain current was controlled by the negative gate voltage, indicating that an inversion channel with a p-type character was formed at a high-quality n-type diamond body/Al2O3 interface. The maximum drain current density and the field-effect mobility of a diamond MOSFET with a gate electrode length of 5 μm were 1.6 mA/mm and 8.0 cm(2)/Vs, respectively, at room temperature.

  6. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  7. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  8. Gas Sensors Based on Semiconducting Nanowire Field-Effect Transistors

    PubMed Central

    Feng, Ping; Shao, Feng; Shi, Yi; Wan, Qing

    2014-01-01

    One-dimensional semiconductor nanostructures are unique sensing materials for the fabrication of gas sensors. In this article, gas sensors based on semiconducting nanowire field-effect transistors (FETs) are comprehensively reviewed. Individual nanowires or nanowire network films are usually used as the active detecting channels. In these sensors, a third electrode, which serves as the gate, is used to tune the carrier concentration of the nanowires to realize better sensing performance, including sensitivity, selectivity and response time, etc. The FET parameters can be modulated by the presence of the target gases and their change relate closely to the type and concentration of the gas molecules. In addition, extra controls such as metal decoration, local heating and light irradiation can be combined with the gate electrode to tune the nanowire channel and realize more effective gas sensing. With the help of micro-fabrication techniques, these sensors can be integrated into smart systems. Finally, some challenges for the future investigation and application of nanowire field-effect gas sensors are discussed. PMID:25232915

  9. Large Lateral Photovoltaic Effect in Metal-(Oxide-) Semiconductor Structures

    PubMed Central

    Yu, Chongqi; Wang, Hui

    2010-01-01

    The lateral photovoltaic effect (LPE) can be used in position-sensitive detectors to detect very small displacements due to its output of lateral photovoltage changing linearly with light spot position. In this review, we will summarize some of our recent works regarding LPE in metal-semiconductor and metal-oxide-semiconductor structures, and give a theoretical model of LPE in these two structures. PMID:22163463

  10. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  11. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  12. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  13. Temperature effects in contacts between a metal and a semiconductor nanowire near the degenerate doping

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting; Burgess, Tim; Tan, H. H.; Jagadish, Chennupati; Kogan, Andrei

    2018-04-01

    We have investigated the nonlinear conductance in diffusion-doped Si:GaAs nanowires contacted by patterned metal films in a wide range of temperatures T. The wire resistance R W and the zero bias resistance R C, dominated by the contacts, exhibit very different responses to temperature changes. While R W shows almost no dependence on T, R C varies by several orders of magnitude as the devices are cooled from room temperature to T = 5 K. We develop a model that employs a sharp donor level very low in the GaAs conduction band and show that our observations are consistent with the model predictions. We then demonstrate that such measurements can be used to estimate carrier properties in nanostructured semiconductors and obtain an estimate for N D, the doping density in our samples. We also discuss the effects of surface states and dielectric confinement on carrier density in semiconductor nanowires.

  14. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    PubMed Central

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  15. CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES: Switching Characteristics of Phase Change Memory Cell Integrated with Metal-Oxide Semiconductor Field Effect Transistor

    NASA Astrophysics Data System (ADS)

    Xu, Cheng; Liu, Bo; Chen, Yi-Feng; Liang, Shuang; Song, Zhi-Tang; Feng, Song-Lin; Wan, Xu-Dong; Yang, Zuo-Ya; Xie, Joseph; Chen, Bomy

    2008-05-01

    A Ge2Sb2Te5 based phase change memory device cell integrated with metal-oxide semiconductor field effect transistor (MOSFET) is fabricated using standard 0. 18 μm complementary metal-oxide semiconductor process technology. It shows steady switching characteristics in the dc current-voltage measurement. The phase changing phenomenon from crystalline state to amorphous state with a voltage pulse altitude of 2.0 V and pulse width of 50 ns is also obtained. These results show the feasibility of integrating phase change memory cell with MOSFET.

  16. Experimental study of uniaxial stress effects on Coulomb-limited mobility in p-type metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kobayashi, Shigeki; Saitoh, Masumi; Nakabayashi, Yukio; Uchida, Ken

    2007-11-01

    Uniaxial stress effects on Coulomb-limited mobility (μCoulomb) in Si metal-oxide-semiconductor field-effect transistors (MOSFETs) are investigated experimentally. By using the four-point bending method, uniaxial stress corresponding to 0.1% strain is applied to MOSFETs along the channel direction. It is found that μCoulomb in p-type MOSFETs is enhanced greatly by uniaxial stress; μCoulomb is as sensitive as phonon-limited mobility. The high sensitivity of μCoulomb in p-type MOSFETs to stress arises from the stress-induced change of hole effective mass.

  17. Single photon sources in 4H-SiC metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Abe, Y.; Umeda, T.; Okamoto, M.; Kosugi, R.; Harada, S.; Haruyama, M.; Kada, W.; Hanaizumi, O.; Onoda, S.; Ohshima, T.

    2018-01-01

    We present single photon sources (SPSs) embedded in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). They are formed in the SiC/SiO2 interface regions of wet-oxidation C-face 4H-SiC MOSFETs and were not found in other C-face and Si-face MOSFETs. Their bright room-temperature photoluminescence (PL) was observed in the range from 550 to 750 nm and revealed variable multi-peak structures as well as variable peak shifts. We characterized a wide variety of their PL spectra as the inevitable variation of local atomic structures at the interface. Their polarization dependence indicates that they are formed at the SiC side of the interface. We also demonstrate that it is possible to switch on/off the SPSs by a bias voltage of the MOSFET.

  18. SOI metal-oxide-semiconductor field-effect transistor photon detector based on single-hole counting.

    PubMed

    Du, Wei; Inokawa, Hiroshi; Satoh, Hiroaki; Ono, Atsushi

    2011-08-01

    In this Letter, a scaled-down silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) is characterized as a photon detector, where photogenerated individual holes are trapped below the negatively biased gate and modulate stepwise the electron current flowing in the bottom channel induced by the positive substrate bias. The output waveforms exhibit clear separation of current levels corresponding to different numbers of trapped holes. Considering this capability of single-hole counting, a small dark count of less than 0.02 s(-1) at room temperature, and low operation voltage of 1 V, SOI MOSFET could be a unique photon-number-resolving detector if the small quantum efficiency were improved. © 2011 Optical Society of America

  19. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  20. Fabrication of lateral electrodes on semiconductor nanowires through structurally matched insulation for functional optoelectronics.

    PubMed

    Sheng, Yun; Sun, Huabin; Wang, Jianyu; Gao, Fan; Wang, Junzhuan; Pan, Lijia; Pu, Lin; Zheng, Youdou; Shi, Yi

    2013-01-18

    A strategy of using structurally matched alumina insulation to produce lateral electrodes on semiconductor nanowires is presented. Nanowires in the architecture are structurally matched with alumina insulation using selective anodic oxidation. Lateral electrodes are fabricated by directly evaporating metallic atoms onto the opposite sides of the nanowires. The integrated architecture with lateral electrodes propels carriers to transport them across nanowires and is crucially beneficial to the injection/extraction in optoelectronics. The matched architecture and the insulating properties of the alumina layer are investigated experimentally. ZnO nanowires are functionalized into an ultraviolet photodiode as an example. The present strategy successfully implements an advantageous architecture and is significant in developing diverse semiconductor nanowires in optoelectronic applications.

  1. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  2. A general melt-injection-decomposition route to oriented metal oxide nanowire arrays

    NASA Astrophysics Data System (ADS)

    Han, Dongqiang; Zhang, Xinwei; Hua, Zhenghe; Yang, Shaoguang

    2016-12-01

    In this manuscript, a general melt-injection-decomposition (MID) route has been proposed and realized for the fabrication of oriented metal oxide nanowire arrays. Nitrate was used as the starting materials, which was injected into the nanopores of the anodic aluminum oxide (AAO) membrane through the capillarity action in its liquid state. At higher temperature, the nitrate decomposed into corresponding metal oxide within the nanopores of the AAO membrane. Oriented metal oxide nanowire arrays were formed within the AAO membrane as a result of the confinement of the nanopores. Four kinds of metal oxide (CuO, Mn2O3, Co3O4 and Cr2O3) nanowire arrays are presented here as examples fabricated by this newly developed process. X-ray diffraction, scanning electron microscopy and transmission electron microscopy studies showed clear evidence of the formations of the oriented metal oxide nanowire arrays. Formation mechanism of the metal oxide nanowire arrays is discussed based on the Thermogravimetry and Differential Thermal Analysis measurement results.

  3. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulationmore » by the gate and pinch off.« less

  4. Rectification and Photoconduction Mapping of Axial Metal-Semiconductor Interfaces Embedded in GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, Marta; Piazza, Vincenzo; Rubini, Silvia; Roddaro, Stefano

    2015-10-01

    Semiconductor nanowires have emerged as an important enabling technology and are today used in many advanced device architectures, with an impact both for what concerns fundamental science and in view of future applications. One of the key challenges in the development of nanowire-based devices is the fabrication of reliable nanoscale contacts. Recent developments in the creation of metal-semiconductor junctions by thermal annealing of metallic electrodes offer promising perspectives. Here, we analyze the optoelectronic properties of nano-Schottky barriers obtained thanks to the controlled formation of metallic AuGa regions in GaAs nanowire. The junctions display a rectifying behavior and their transport characteristics are analyzed to extract the average ideality factor and barrier height in the current architecture. The presence, location, and properties of the Schottky junctions are cross-correlated with spatially resolved photocurrent measurements. Broadband light emission is reported in the reverse breakdown regime; this observation, combined with the absence of electroluminescence at forward bias, is consistent with the device unipolar nature.

  5. Surfactant-Templated Mesoporous Metal Oxide Nanowires

    DOE PAGES

    Luo, Hongmei; Lin, Qianglu; Baber, Stacy; ...

    2010-01-01

    We demore » monstrate two approaches to prepare mesoporous metal oxide nanowires by surfactant assembly and nanoconfinement via sol-gel or electrochemical deposition. For example, mesoporous Ta 2 O 5 and zeolite nanowires are prepared by block copolymer Pluronic 123-templated sol-gel method, and mesoporous ZnO nanowires are prepared by electrodeposition in presence of anionic surfactant sodium dodecyl sulfate (SDS) surfactant, in porous membranes. The morphologies of porous nanowires are studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses.« less

  6. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  7. Monolithic integration of GaN-based light-emitting diodes and metal-oxide-semiconductor field-effect transistors.

    PubMed

    Lee, Ya-Ju; Yang, Zu-Po; Chen, Pin-Guang; Hsieh, Yung-An; Yao, Yung-Chi; Liao, Ming-Han; Lee, Min-Hung; Wang, Mei-Tan; Hwang, Jung-Min

    2014-10-20

    In this study, we report a novel monolithically integrated GaN-based light-emitting diode (LED) with metal-oxide-semiconductor field-effect transistor (MOSFET). Without additionally introducing complicated epitaxial structures for transistors, the MOSFET is directly fabricated on the exposed n-type GaN layer of the LED after dry etching, and serially connected to the LED through standard semiconductor-manufacturing technologies. Such monolithically integrated LED/MOSFET device is able to circumvent undesirable issues that might be faced by other kinds of integration schemes by growing a transistor on an LED or vice versa. For the performances of resulting device, our monolithically integrated LED/MOSFET device exhibits good characteristics in the modulation of gate voltage and good capability of driving injected current, which are essential for the important applications such as smart lighting, interconnection, and optical communication.

  8. Additional compound semiconductor nanowires for photonics

    NASA Astrophysics Data System (ADS)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  9. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  10. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. GaN metal-oxide-semiconductor field-effect transistors on AlGaN/GaN heterostructure with recessed gate

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Ao, Jin-Ping; Wang, Pangpang; Jiang, Ying; Li, Liuan; Kawaharada, Kazuya; Liu, Yang

    2015-04-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure with a recess gate were fabricated and characterized. The device showed good pinch-off characteristics and a maximum field-effect mobility of 145.2 cm2·V-1·s-1. The effects of etching gas of Cl2 and SiCl4 were investigated in the gate recess process. SiCl4-etched devices showed higher channel mobility and lower threshold voltage. Atomic force microscope measurement was done to investigate the etching profile with different etching protection mask. Compared with photoresist, SiO2-masked sample showed lower surface roughness and better profile with stepper sidewall and weaker trenching effect resulting in higher channel mobility in the MOSFET.

  12. Influence of quantizing magnetic field and Rashba effect on indium arsenide metal-oxide-semiconductor structure accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Kovchavtsev, A. P.; Aksenov, M. S.; Tsarenko, A. V.; Nastovjak, A. E.; Pogosov, A. G.; Pokhabov, D. A.; Tereshchenko, O. E.; Valisheva, N. A.

    2018-05-01

    The accumulation capacitance oscillations behavior in the n-InAs metal-oxide-semiconductor structures with different densities of the built-in charge (Dbc) and the interface traps (Dit) at temperature 4.2 K in the magnetic field (B) 2-10 T, directed perpendicular to the semiconductor-dielectric interface, is studied. A decrease in the oscillation frequency and an increase in the capacitance oscillation amplitude are observed with the increase in B. At the same time, for a certain surface accumulation band bending, the influence of the Rashba effect, which is expressed in the oscillations decay and breakdown, is traced. The experimental capacitance-voltage curves are in a good agreement with the numeric simulation results of the self-consistent solution of Schrödinger and Poisson equations in the magnetic field, taking into account the quantization, nonparabolicity of dispersion law, and Fermi-Dirac electron statistics, with the allowance for the Rashba effect. The Landau quantum level broadening in a two-dimensional electron gas (Lorentzian-shaped density of states), due to the electron scattering mechanism, linearly depends on the magnetic field. The correlation between the interface electronic properties and the characteristic scattering times was established.

  13. A compact quantum correction model for symmetric double gate metal-oxide-semiconductor field-effect transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Edward Namkyu; Shin, Yong Hyeon; Yun, Ilgu, E-mail: iyun@yonsei.ac.kr

    2014-11-07

    A compact quantum correction model for a symmetric double gate (DG) metal-oxide-semiconductor field-effect transistor (MOSFET) is investigated. The compact quantum correction model is proposed from the concepts of the threshold voltage shift (ΔV{sub TH}{sup QM}) and the gate capacitance (C{sub g}) degradation. First of all, ΔV{sub TH}{sup QM} induced by quantum mechanical (QM) effects is modeled. The C{sub g} degradation is then modeled by introducing the inversion layer centroid. With ΔV{sub TH}{sup QM} and the C{sub g} degradation, the QM effects are implemented in previously reported classical model and a comparison between the proposed quantum correction model and numerical simulationmore » results is presented. Based on the results, the proposed quantum correction model can be applicable to the compact model of DG MOSFET.« less

  14. Monolithically Integrated Metal/Semiconductor Tunnel Junction Nanowire Light-Emitting Diodes.

    PubMed

    Sadaf, S M; Ra, Y H; Szkopek, T; Mi, Z

    2016-02-10

    We have demonstrated for the first time an n(++)-GaN/Al/p(++)-GaN backward diode, wherein an epitaxial Al layer serves as the tunnel junction. The resulting p-contact free InGaN/GaN nanowire light-emitting diodes (LEDs) exhibited a low turn-on voltage (∼2.9 V), reduced resistance, and enhanced power, compared to nanowire LEDs without the use of Al tunnel junction or with the incorporation of an n(++)-GaN/p(++)-GaN tunnel junction. This unique Al tunnel junction overcomes some of the critical issues related to conventional GaN-based tunnel junction designs, including stress relaxation, wide depletion region, and light absorption, and holds tremendous promise for realizing low-resistivity, high-brightness III-nitride nanowire LEDs in the visible and deep ultraviolet spectral range. Moreover, the demonstration of monolithic integration of metal and semiconductor nanowire heterojunctions provides a seamless platform for realizing a broad range of multifunctional nanoscale electronic and photonic devices.

  15. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  16. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  17. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  18. Radiation hardening of metal-oxide semi-conductor (MOS) devices by boron

    NASA Technical Reports Server (NTRS)

    Danchenko, V.

    1974-01-01

    Technique using boron effectively protects metal-oxide semiconductor devices from ionizing radiation without using shielding materials. Boron is introduced into insulating gate oxide layer at semiconductor-insulator interface.

  19. Radiation hardness of β-Ga2O3 metal-oxide-semiconductor field-effect transistors against gamma-ray irradiation

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Sasaki, Kohei; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka

    2018-01-01

    The effects of ionizing radiation on β-Ga2O3 metal-oxide-semiconductor field-effect transistors (MOSFETs) were investigated. A gamma-ray tolerance as high as 1.6 MGy(SiO2) was demonstrated for the bulk Ga2O3 channel by virtue of weak radiation effects on the MOSFETs' output current and threshold voltage. The MOSFETs remained functional with insignificant hysteresis in their transfer characteristics after exposure to the maximum cumulative dose. Despite the intrinsic radiation hardness of Ga2O3, radiation-induced gate leakage and drain current dispersion ascribed respectively to dielectric damage and interface charge trapping were found to limit the overall radiation hardness of these devices.

  20. Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires.

    PubMed

    Yan, Jie-Yun

    2018-06-13

    Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires is studied. Based on the excitonic theory, the numerical method to calculate the photoconductivity spectrum in the nanowires is developed, which can simulate optical pump terahertz-probe spectroscopy measurements on real nanowires and thereby calculate the typical photoconductivity spectrum. With the help of the energetic structure deduced from the calculated linear absorption spectrum, the numerically observed shift of the resonant peak in the photoconductivity spectrum is found to result from the dominant exciton transition between excited or continuum states to the ground state, and the quantitative analysis is in good agreement with the quantum plasmon model. Besides, the dependence of the photoconductivity on the polarization of the terahertz field is also discussed. The numerical method and supporting theoretical analysis provide a new tool for experimentalists to understand the terahertz photoconductivity in intrinsic semiconductor nanowires at low temperatures or for nanowires subjected to below bandgap photoexcitation, where excitonic effects dominate.

  1. Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Yan, Jie-Yun

    2018-06-01

    Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires is studied. Based on the excitonic theory, the numerical method to calculate the photoconductivity spectrum in the nanowires is developed, which can simulate optical pump terahertz-probe spectroscopy measurements on real nanowires and thereby calculate the typical photoconductivity spectrum. With the help of the energetic structure deduced from the calculated linear absorption spectrum, the numerically observed shift of the resonant peak in the photoconductivity spectrum is found to result from the dominant exciton transition between excited or continuum states to the ground state, and the quantitative analysis is in good agreement with the quantum plasmon model. Besides, the dependence of the photoconductivity on the polarization of the terahertz field is also discussed. The numerical method and supporting theoretical analysis provide a new tool for experimentalists to understand the terahertz photoconductivity in intrinsic semiconductor nanowires at low temperatures or for nanowires subjected to below bandgap photoexcitation, where excitonic effects dominate.

  2. The AMOS cell - An improved metal-semiconductor solar cell. [Antireflection coated Metal Oxide Semiconductor

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y.-C. M.

    1975-01-01

    A new fabrication process is being developed which significantly improves the efficiency of metal-semiconductor solar cells. The resultant effect, a marked increase in the open-circuit voltage, is produced by the addition of an interfacial layer oxide on the semiconductor. Cells using gold on n-type gallium arsenide have been made in small areas (0.17 sq cm) with conversion efficiencies of 15% in terrestrial sunlight.

  3. Self-assembly of metal nanowires induced by alternating current electric fields

    NASA Astrophysics Data System (ADS)

    García-Sánchez, Pablo; Arcenegui, Juan J.; Morgan, Hywel; Ramos, Antonio

    2015-01-01

    We describe the reversible assembly of an aqueous suspension of metal nanowires into two different 2-dimensional stable configurations. The assembly is induced by an AC electric field of magnitude around 10 kV/m. It is known that single metal nanowires orientate parallel to the electric field for all values of applied frequency, according to two different mechanisms depending on the frequency. These different mechanisms also govern the mutual interaction between nanowires, which leads to directed-assembly into distinctive structures, the shape of which depends on the frequency of the applied field. We show that for frequencies higher than the typical frequency for charging the electrical double layer at the metal-electrolyte interface, dipole-dipole interaction leads to the formation of chains of nanowires. For lower frequencies, the nanowires form wavy bands perpendicular to the electric field direction. This behavior appears to be driven by the electroosmotic flow induced on the metal surface of the nanowires. Remarkably, no similar structures have been reported in previous studies of nanowires.

  4. Nanometer-scale modification and welding of silicon and metallic nanowires with a high-intensity electron beam.

    PubMed

    Xu, Shengyong; Tian, Mingliang; Wang, Jinguo; Xu, Jian; Redwing, Joan M; Chan, Moses H W

    2005-12-01

    We demonstrate that a high-intensity electron beam can be applied to create holes, gaps, and other patterns of atomic and nanometer dimensions on a single nanowire, to weld individual nanowires to form metal-metal or metal-semiconductor junctions, and to remove the oxide shell from a crystalline nanowire. In single-crystalline Si nanowires, the beam induces instant local vaporization and local amorphization. In metallic Au, Ag, Cu, and Sn nanowires, the beam induces rapid local surface melting and enhanced surface diffusion, in addition to local vaporization. These studies open up a novel approach for patterning and connecting nanomaterials in devices and circuits at the nanometer scale.

  5. A CMOS-Compatible Poly-Si Nanowire Device with Hybrid Sensor/Memory Characteristics for System-on-Chip Applications

    PubMed Central

    Chen, Min-Cheng; Chen, Hao-Yu; Lin, Chia-Yi; Chien, Chao-Hsin; Hsieh, Tsung-Fan; Horng, Jim-Tong; Qiu, Jian-Tai; Huang, Chien-Chao; Ho, Chia-Hua; Yang, Fu-Liang

    2012-01-01

    This paper reports a versatile nano-sensor technology using “top-down” poly-silicon nanowire field-effect transistors (FETs) in the conventional Complementary Metal-Oxide Semiconductor (CMOS)-compatible semiconductor process. The nanowire manufacturing technique reduced nanowire width scaling to 50 nm without use of extra lithography equipment, and exhibited superior device uniformity. These n type polysilicon nanowire FETs have positive pH sensitivity (100 mV/pH) and sensitive deoxyribonucleic acid (DNA) detection ability (100 pM) at normal system operation voltages. Specially designed oxide-nitride-oxide buried oxide nanowire realizes an electrically Vth-adjustable sensor to compensate device variation. These nanowire FETs also enable non-volatile memory application for a large and steady Vth adjustment window (>2 V Programming/Erasing window). The CMOS-compatible manufacturing technique of polysilicon nanowire FETs offers a possible solution for commercial System-on-Chip biosensor application, which enables portable physiology monitoring and in situ recording. PMID:22666012

  6. Integration of Metal Oxide Nanowires in Flexible Gas Sensing Devices

    PubMed Central

    Comini, Elisabetta

    2013-01-01

    Metal oxide nanowires are very promising active materials for different applications, especially in the field of gas sensors. Advances in fabrication technologies now allow the preparation of nanowires on flexible substrates, expanding the potential market of the resulting sensors. The critical steps for the large-scale preparation of reliable sensing devices are the elimination of high temperatures processes and the stretchability of the entire final device, including the active material. Direct growth on flexible substrates and post-growth procedures have been successfully used for the preparation of gas sensors. The paper will summarize the procedures used for the preparation of flexible and wearable gas sensors prototypes with an overlook of the challenges and the future perspectives concerning this field. PMID:23955436

  7. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  8. All-Graphene Planar Self-Switching MISFEDs, Metal-Insulator-Semiconductor Field-Effect Diodes

    PubMed Central

    Al-Dirini, Feras; Hossain, Faruque M.; Nirmalathas, Ampalavanapillai; Skafidas, Efstratios

    2014-01-01

    Graphene normally behaves as a semimetal because it lacks a bandgap, but when it is patterned into nanoribbons a bandgap can be introduced. By varying the width of these nanoribbons this band gap can be tuned from semiconducting to metallic. This property allows metallic and semiconducting regions within a single Graphene monolayer, which can be used in realising two-dimensional (2D) planar Metal-Insulator-Semiconductor field effect devices. Based on this concept, we present a new class of nano-scale planar devices named Graphene Self-Switching MISFEDs (Metal-Insulator-Semiconductor Field-Effect Diodes), in which Graphene is used as the metal and the semiconductor concurrently. The presented devices exhibit excellent current-voltage characteristics while occupying an ultra-small area with sub-10 nm dimensions and an ultimate thinness of a single atom. Quantum mechanical simulation results, based on the Extended Huckel method and Nonequilibrium Green's Function Formalism, show that a Graphene Self-Switching MISFED with a channel as short as 5 nm can achieve forward-to-reverse current rectification ratios exceeding 5000. PMID:24496307

  9. Improvement of charge-pumping electrically detected magnetic resonance and its application to silicon metal-oxide-semiconductor field-effect transistor

    NASA Astrophysics Data System (ADS)

    Hori, Masahiro; Tsuchiya, Toshiaki; Ono, Yukinori

    2017-01-01

    Charge-pumping electrically detected magnetic resonance (CP EDMR), or EDMR in the CP mode, is improved and applied to a silicon metal-oxide-semiconductor field-effect transistor (MOSFET). Real-time monitoring of the CP process reveals that high-frequency transient currents are an obstacle to signal amplification for EDMR. Therefore, we introduce cutoff circuitry, leading to a detection limit for the number of spins as low as 103 for Si MOS interface defects. With this improved method, we demonstrate that CP EDMR inherits one of the most important features of the CP method: the gate control of the energy window of the detectable interface defects for spectroscopy.

  10. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  11. Metal Catalyst for Low-Temperature Growth of Controlled Zinc Oxide Nanowires on Arbitrary Substrates

    PubMed Central

    Kim, Baek Hyun; Kwon, Jae W.

    2014-01-01

    Zinc oxide nanowires generated by hydrothermal method present superior physical and chemical characteristics. Quality control of the growth has been very challenging and controlled growth is only achievable under very limited conditions using homogeneous seed layers with high temperature processes. Here we show the controlled ZnO nanowire growth on various organic and inorganic materials without the requirement of a homogeneous seed layer and a high temperature process. We also report the discovery of an important role of the electronegativity in the nanowire growth on arbitrary substrates. Using heterogeneous metal oxide interlayers with low-temperature hydrothermal methods, we demonstrate well-controlled ZnO nanowire arrays and single nanowires on flat or curved surfaces. A metal catalyst and heterogeneous metal oxide interlayers are found to determine lattice-match with ZnO and to largely influence the controlled alignment. These findings will contribute to the development of novel nanodevices using controlled nanowires. PMID:24625584

  12. Atomic-Resolution Spectrum Imaging of Semiconductor Nanowires.

    PubMed

    Zamani, Reza R; Hage, Fredrik S; Lehmann, Sebastian; Ramasse, Quentin M; Dick, Kimberly A

    2018-03-14

    Over the past decade, III-V heterostructure nanowires have attracted a surge of attention for their application in novel semiconductor devices such as tunneling field-effect transistors (TFETs). The functionality of such devices critically depends on the specific atomic arrangement at the semiconductor heterointerfaces. However, most of the currently available characterization techniques lack sufficient spatial resolution to provide local information on the atomic structure and composition of these interfaces. Atomic-resolution spectrum imaging by means of electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope (STEM) is a powerful technique with the potential to resolve structure and chemical composition with sub-angstrom spatial resolution and to provide localized information about the physical properties of the material at the atomic scale. Here, we demonstrate the use of atomic-resolution EELS to understand the interface atomic arrangement in three-dimensional heterostructures in semiconductor nanowires. We observed that the radial interfaces of GaSb-InAs heterostructure nanowires are atomically abrupt, while the axial interface in contrast consists of an interfacial region where intermixing of the two compounds occurs over an extended spatial region. The local atomic configuration affects the band alignment at the interface and, hence, the charge transport properties of devices such as GaSb-InAs nanowire TFETs. STEM-EELS thus represents a very promising technique for understanding nanowire physical properties, such as differing electrical behavior across the radial and axial heterointerfaces of GaSb-InAs nanowires for TFET applications.

  13. A Wide-Range Tunable Level-Keeper Using Vertical Metal-Oxide-Semiconductor Field-Effect Transistors for Current-Reuse Systems

    NASA Astrophysics Data System (ADS)

    Tanoi, Satoru; Endoh, Tetsuo

    2012-04-01

    A wide-range tunable level-keeper using vertical metal-oxide-semiconductor field-effect transistors (MOSFETs) is proposed for current-reuse analog systems. The design keys for widening tunable range of the operation are a two-path feed-back and a vertical MOSFET with back-bias-effect free. The proposed circuit with the vertical MOSFETs shows the 1.23-V tunable-range of the input level with the 2.4-V internal-supply voltage (VDD) in the simulation. This tunable-range of the proposed circuit is 4.7 times wider than that of the conventional. The achieved current efficiency of the proposed level-keeper is 66% at the 1.2-V output with the 2.4-V VDD. This efficiency of the proposed circuit is twice higher than that of the traditional voltage down converter.

  14. INTERDISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Quantum-Mechanical Study on Surrounding-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Hu, Guang-Xi; Wang, Ling-Li; Liu, Ran; Tang, Ting-Ao; Qiu, Zhi-Jun

    2010-10-01

    As the channel length of metal-oxide-semiconductor field-effect transistors (MOSFETs) scales into the nanometer regime, quantum mechanical effects are becoming more and more significant. In this work, a model for the surrounding-gate (SG) nMOSFET is developed. The Schrödinger equation is solved analytically. Some of the solutions are verified via results obtained from simulations. It is found that the percentage of the electrons with lighter conductivity mass increases as the silicon body radius decreases, or as the gate voltage reduces, or as the temperature decreases. The centroid of inversion-layer is driven away from the silicon-oxide interface towards the silicon body, therefore the carriers will suffer less scattering from the interface and the electrons effective mobility of the SG nMOSFETs will be enhanced.

  15. Large current modulation and tunneling magnetoresistance change by a side-gate electric field in a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor.

    PubMed

    Kanaki, Toshiki; Yamasaki, Hiroki; Koyama, Tomohiro; Chiba, Daichi; Ohya, Shinobu; Tanaka, Masaaki

    2018-05-08

    A vertical spin metal-oxide-semiconductor field-effect transistor (spin MOSFET) is a promising low-power device for the post scaling era. Here, using a ferromagnetic-semiconductor GaMnAs-based vertical spin MOSFET with a GaAs channel layer, we demonstrate a large drain-source current I DS modulation by a gate-source voltage V GS with a modulation ratio up to 130%, which is the largest value that has ever been reported for vertical spin field-effect transistors thus far. We find that the electric field effect on indirect tunneling via defect states in the GaAs channel layer is responsible for the large I DS modulation. This device shows a tunneling magnetoresistance (TMR) ratio up to ~7%, which is larger than that of the planar-type spin MOSFETs, indicating that I DS can be controlled by the magnetization configuration. Furthermore, we find that the TMR ratio can be modulated by V GS . This result mainly originates from the electric field modulation of the magnetic anisotropy of the GaMnAs ferromagnetic electrodes as well as the potential modulation of the nonmagnetic semiconductor GaAs channel layer. Our findings provide important progress towards high-performance vertical spin MOSFETs.

  16. Deformable Organic Nanowire Field-Effect Transistors.

    PubMed

    Lee, Yeongjun; Oh, Jin Young; Kim, Taeho Roy; Gu, Xiaodan; Kim, Yeongin; Wang, Ging-Ji Nathan; Wu, Hung-Chin; Pfattner, Raphael; To, John W F; Katsumata, Toru; Son, Donghee; Kang, Jiheong; Matthews, James R; Niu, Weijun; He, Mingqian; Sinclair, Robert; Cui, Yi; Tok, Jeffery B-H; Lee, Tae-Woo; Bao, Zhenan

    2018-02-01

    Deformable electronic devices that are impervious to mechanical influence when mounted on surfaces of dynamically changing soft matters have great potential for next-generation implantable bioelectronic devices. Here, deformable field-effect transistors (FETs) composed of single organic nanowires (NWs) as the semiconductor are presented. The NWs are composed of fused thiophene diketopyrrolopyrrole based polymer semiconductor and high-molecular-weight polyethylene oxide as both the molecular binder and deformability enhancer. The obtained transistors show high field-effect mobility >8 cm 2 V -1 s -1 with poly(vinylidenefluoride-co-trifluoroethylene) polymer dielectric and can easily be deformed by applied strains (both 100% tensile and compressive strains). The electrical reliability and mechanical durability of the NWs can be significantly enhanced by forming serpentine-like structures of the NWs. Remarkably, the fully deformable NW FETs withstand 3D volume changes (>1700% and reverting back to original state) of a rubber balloon with constant current output, on the surface of which it is attached. The deformable transistors can robustly operate without noticeable degradation on a mechanically dynamic soft matter surface, e.g., a pulsating balloon (pulse rate: 40 min -1 (0.67 Hz) and 40% volume expansion) that mimics a beating heart, which underscores its potential for future biomedical applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2018-06-04

    Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high- k oxides on hydrogenated-diamond (H-diamond) for metal-oxide-semiconductor (MOS) capacitors and MOS field-effect transistors (MOSFETs) is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High- k oxide insulators are deposited using atomic layer deposition (ALD) and sputtering deposition (SD) techniques. Electrical properties of the H-diamond MOS capacitors with high- k oxides of ALD-Al₂O₃, ALD-HfO₂, ALD-HfO₂/ALD-Al₂O₃ multilayer, SD-HfO₂/ALD-HfO₂ bilayer, SD-TiO₂/ALD-Al₂O₃ bilayer, and ALD-TiO₂/ALD-Al₂O₃ bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al₂O₃/H-diamond and SD-HfO₂/ALD-HfO₂/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO₂/ALD-Al₂O₃ bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p -type channel characteristics for the ALD-Al₂O₃/H-diamond, SD-HfO₂/ALD-HfO₂/H-diamond, and ALD-TiO₂/ALD-Al₂O₃/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high- k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  18. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  19. Ultralow-power non-volatile memory cells based on P(VDF-TrFE) ferroelectric-gate CMOS silicon nanowire channel field-effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2015-07-21

    Nanowire-based ferroelectric-complementary metal-oxide-semiconductor (NW FeCMOS) nonvolatile memory devices were successfully fabricated by utilizing single n- and p-type Si nanowire ferroelectric-gate field effect transistors (NW FeFETs) as individual memory cells. In addition to having the advantages of single channel n- and p-type Si NW FeFET memory, Si NW FeCMOS memory devices exhibit a direct readout voltage and ultralow power consumption. The reading state power consumption of this device is less than 0.1 pW, which is more than 10(5) times lower than the ON-state power consumption of single-channel ferroelectric memory. This result implies that Si NW FeCMOS memory devices are well suited for use in non-volatile memory chips in modern portable electronic devices, especially where low power consumption is critical for energy conservation and long-term use.

  20. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    PubMed

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  1. Method for the rapid synthesis of large quantities of metal oxide nanowires at low temperatures

    DOEpatents

    Sunkara, Mahendra Kumar [Louisville, KY; Vaddiraju, Sreeram [Mountain View, CA; Mozetic, Miran [Ljubljan, SI; Cvelbar, Uros [Idrija, SI

    2009-09-22

    A process for the rapid synthesis of metal oxide nanoparticles at low temperatures and methods which facilitate the fabrication of long metal oxide nanowires. The method is based on treatment of metals with oxygen plasma. Using oxygen plasma at low temperatures allows for rapid growth unlike other synthesis methods where nanomaterials take a long time to grow. Density of neutral oxygen atoms in plasma is a controlling factor for the yield of nanowires. The oxygen atom density window differs for different materials. By selecting the optimal oxygen atom density for various materials the yield can be maximized for nanowire synthesis of the metal.

  2. Synthesis and characterization of single-crystalline zinc tin oxide nanowires

    NASA Astrophysics Data System (ADS)

    Shi, Jen-Bin; Wu, Po-Feng; Lin, Hsien-Sheng; Lin, Ya-Ting; Lee, Hsuan-Wei; Kao, Chia-Tze; Liao, Wei-Hsiang; Young, San-Lin

    2014-05-01

    Crystalline zinc tin oxide (ZTO; zinc oxide with heavy tin doping of 33 at.%) nanowires were first synthesized using the electrodeposition and heat treatment method based on an anodic aluminum oxide (AAO) membrane, which has an average diameter of about 60 nm. According to the field emission scanning electron microscopy (FE-SEM) results, the synthesized ZTO nanowires are highly ordered and have high wire packing densities. The length of ZTO nanowires is about 4 μm, and the aspect ratio is around 67. ZTO nanowires with a Zn/(Zn + Sn) atomic ratio of 0.67 (approximately 2/3) were observed from an energy dispersive spectrometer (EDS). X-ray diffraction (XRD) and corresponding selected area electron diffraction (SAED) patterns demonstrated that the ZTO nanowire is hexagonal single-crystalline. The study of ultraviolet/visible/near-infrared (UV/Vis/NIR) absorption showed that the ZTO nanowire is a wide-band semiconductor with a band gap energy of 3.7 eV.

  3. Synthesis and characterization of single-crystalline zinc tin oxide nanowires.

    PubMed

    Shi, Jen-Bin; Wu, Po-Feng; Lin, Hsien-Sheng; Lin, Ya-Ting; Lee, Hsuan-Wei; Kao, Chia-Tze; Liao, Wei-Hsiang; Young, San-Lin

    2014-01-01

    Crystalline zinc tin oxide (ZTO; zinc oxide with heavy tin doping of 33 at.%) nanowires were first synthesized using the electrodeposition and heat treatment method based on an anodic aluminum oxide (AAO) membrane, which has an average diameter of about 60 nm. According to the field emission scanning electron microscopy (FE-SEM) results, the synthesized ZTO nanowires are highly ordered and have high wire packing densities. The length of ZTO nanowires is about 4 μm, and the aspect ratio is around 67. ZTO nanowires with a Zn/(Zn + Sn) atomic ratio of 0.67 (approximately 2/3) were observed from an energy dispersive spectrometer (EDS). X-ray diffraction (XRD) and corresponding selected area electron diffraction (SAED) patterns demonstrated that the ZTO nanowire is hexagonal single-crystalline. The study of ultraviolet/visible/near-infrared (UV/Vis/NIR) absorption showed that the ZTO nanowire is a wide-band semiconductor with a band gap energy of 3.7 eV.

  4. Silicon and germanium nanowire electronics: physics of conventional and unconventional transistors

    NASA Astrophysics Data System (ADS)

    Weber, Walter M.; Mikolajick, Thomas

    2017-06-01

    Research in the field of electronics of 1D group-IV semiconductor structures has attracted increasing attention over the past 15 years. The exceptional combination of the unique 1D electronic transport properties with the mature material know-how of highly integrated silicon and germanium technology holds the promise of enhancing state-of-the-art electronics. In addition of providing conduction channels that can bring conventional field effect transistors to the uttermost scaling limits, the physics of 1D group IV nanowires endows new device principles. Such unconventional silicon and germanium nanowire devices are contenders for beyond complementary metal oxide semiconductor (CMOS) computing by virtue of their distinct switching behavior and higher expressive value. This review conveys to the reader a systematic recapitulation and analysis of the physics of silicon and germanium nanowires and the most relevant CMOS and CMOS-like devices built from silicon and germanium nanowires, including inversion mode, junctionless, steep-slope, quantum well and reconfigurable transistors.

  5. Stable Defects in Semiconductor Nanowires.

    PubMed

    Sanchez, A M; Gott, J A; Fonseka, H A; Zhang, Y; Liu, H; Beanland, R

    2018-05-09

    Semiconductor nanowires are commonly described as being defect-free due to their ability to expel mobile defects with long-range strain fields. Here, we describe previously undiscovered topologically protected line defects with null Burgers vector that, unlike dislocations, are stable in nanoscale crystals. We analyze the defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor-liquid-solid growth and subsequent vapor-solid shell growth. We use a form of the Burgers circuit method that can be applied to multiply twinned material without difficulty. Our observations show that the nanowire microstructure is very different from bulk material, with line defects either (a) trapped by locks or other defects, (b) arranged as dipoles or groups with a zero total Burgers vector, or (c) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material. The most common defect is the three-monolayer high twin facet with a zero Burgers vector. Studies of individual nanowires using cathodoluminescence show that optical emission is quenched in defective regions, showing that they act as strong nonradiative recombination centers.

  6. Band-to-band tunneling in a carbon nanotube metal-oxide-semiconductor field-effect transistor is dominated by phonon-assisted tunneling.

    PubMed

    Koswatta, Siyuranga O; Lundstrom, Mark S; Nikonov, Dmitri E

    2007-05-01

    Band-to-band tunneling (BTBT) devices have recently gained a lot of interest due to their potential for reducing power dissipation in integrated circuits. We have performed extensive simulations for the BTBT operation of carbon nanotube metal-oxide-semiconductor field-effect transistors (CNT-MOSFETs) using the nonequilibrium Green's function formalism for both ballistic and dissipative quantum transport. In comparison with recently reported experimental data (J. Am. Chem. Soc. 2006, 128, 3518-3519), we have obtained strong evidence that BTBT in CNT-MOSFETs is dominated by optical phonon assisted inelastic transport, which can have important implications on the transistor characteristics. It is shown that, under large biasing conditions, two-phonon scattering may also become important.

  7. Fabrication and characterization of the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Qing-Wen, Song; Xiao-Yan, Tang; Yan-Jing, He; Guan-Nan, Tang; Yue-Hu, Wang; Yi-Meng, Zhang; Hui, Guo; Ren-Xu, Jia; Hong-Liang, Lv; Yi-Men, Zhang; Yu-Ming, Zhang

    2016-03-01

    In this paper, the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFFETs) have been fabricated and characterized. A sandwich- (nitridation-oxidation-nitridation) type process was used to grow the gate dielectric film to obtain high channel mobility. The interface properties of 4H-SiC/SiO2 were examined by the measurement of HF I-V, G-V, and C-V over a range of frequencies. The ideal C-V curve with little hysteresis and the frequency dispersion were observed. As a result, the interface state density near the conduction band edge of 4H-SiC was reduced to 2 × 1011 eV-1·cm-2, the breakdown field of the grown oxides was about 9.8 MV/cm, the median peak field-effect mobility is about 32.5 cm2·V-1·s-1, and the maximum peak field-effect mobility of 38 cm2·V-1·s-1 was achieved in fabricated lateral 4H-SiC MOSFFETs. Projcet supported by the National Natural Science Foundation of China (Grant Nos. 61404098, 61176070, and 61274079), the Doctoral Fund of Ministry of Education of China (Grant Nos. 20110203110010 and 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), and the Key Specific Projects of Ministry of Education of China (Grant No. 625010101).

  8. Improved Hot Carrier Reliability Characteristics of Metal Oxide Semiconductor Field Effect Transistors with High-k Gate Dielectric by Using High Pressure Deuterium Post Metallization Annealing

    NASA Astrophysics Data System (ADS)

    Park, Hokyung; Choi, Rino; Lee, Byoung Hun; Hwang, Hyunsang

    2007-09-01

    High pressure deuterium annealing on the hot carrier reliability characteristics of HfSiO metal oxide semiconductor field effect transistor (MOSFET) was investigated. Comparing with the conventional forming gas (H2/Ar=10%/96%, 480 °C, 30 min) annealed sample, MOSFET annealed in 5 atm pure deuterium ambient at 400 °C showed the improvement of linear drain current, reduction of interface trap density, and improvement of the hot carrier reliability characteristics. These improvements can be attributed to the effective passivation of the interface trap site after high pressure annealing and heavy mass effect of deuterium. These results indicate that high pressure pure deuterium annealing can be a promising process for improving device performance as well as hot carrier reliability, together.

  9. Stress Characterization of 4H-SiC Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) using Raman Spectroscopy and the Finite Element Method.

    PubMed

    Yoshikawa, Masanobu; Kosaka, Kenichi; Seki, Hirohumi; Kimoto, Tsunenobu

    2016-07-01

    We measured the depolarized and polarized Raman spectra of a 4H-SiC metal-oxide-semiconductor field-effect transistor (MOSFET) and found that compressive stress of approximately 20 MPa occurs under the source and gate electrodes and tensile stress of approximately 10 MPa occurs between the source and gate electrodes. The experimental result was in close agreement with the result obtained by calculation using the finite element method (FEM). A combination of Raman spectroscopy and FEM provides much data on the stresses in 4H-SiC MOSFET. © The Author(s) 2016.

  10. Analysis of electric field distribution in GaAs metal-semiconductor field effect transistor with a field-modulating plate

    NASA Astrophysics Data System (ADS)

    Hori, Yasuko; Kuzuhara, Masaaki; Ando, Yuji; Mizuta, Masashi

    2000-04-01

    Electric field distribution in the channel of a field effect transistor (FET) with a field-modulating plate (FP) has been theoretically investigated using a two-dimensional ensemble Monte Carlo simulation. This analysis revealed that the introduction of FP is effective in canceling the influence of surface traps under forward bias conditions and in reducing the electric field intensity at the drain side of the gate edge under pinch-off bias conditions. This study also found that a partial overlap of the high-field region under the gate and that at the FP electrode is important for reducing the electric field intensity. The optimized metal-semiconductor FET with FP (FPFET) (LGF˜0.2 μm) exhibited a much lower peak electric field intensity than a conventional metal-semiconductor FET. Based on these numerically calculated results, we have proposed a design procedure to optimize the power FPFET structure with extremely high breakdown voltages while maintaining reasonable gain performance.

  11. Operation of the GaSb p-channel metal-oxide-semiconductor field-effect transistors fabricated on (111)A surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nishi, K., E-mail: nishi@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    2014-12-08

    We demonstrate the operation of GaSb p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on (111)A surfaces with Al{sub 2}O{sub 3} gate dielectrics formed by atomic-layer deposition at 150 °C. The p-MOSFETs on (111)A surfaces exhibit higher drain current and lower subthreshold swing than those on (100) surfaces. We find that the interface-state density (D{sub it}) values at the Al{sub 2}O{sub 3}/GaSb MOS interfaces on the (111)A surfaces are lower than those on the (100) surfaces, which can lead to performance enhancement of the GaSb p-MOSFETs on (111)A surfaces. The mobility of the GaSb p-MOSFETs on (111)A surfaces is 80% higher than that onmore » (100) surfaces.« less

  12. Ionic Liquid Activation of Amorphous Metal-Oxide Semiconductors for Flexible Transparent Electronic Devices

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2016-02-09

    To begin this abstract, amorphous metal-oxide semiconductors offer the high carrier mobilities and excellent large-area uniformity required for high performance, transparent, flexible electronic devices; however, a critical bottleneck to their widespread implementation is the need to activate these materials at high temperatures which are not compatible with flexible polymer substrates. The highly controllable activation of amorphous indium gallium zinc oxide semiconductor channels using ionic liquid gating at room temperature is reported. Activation is controlled by electric field-induced oxygen migration across the ionic liquid-semiconductor interface. In addition to activation of unannealed devices, it is shown that threshold voltages of a transistormore » can be linearly tuned between the enhancement and depletion modes. Finally, the first ever example of transparent flexible thin film metal oxide transistor on a polyamide substrate created using this simple technique is demonstrated. Finally, this study demonstrates the potential of field-induced activation as a promising alternative to traditional postdeposition thermal annealing which opens the door to wide scale implementation into flexible electronic applications.« less

  13. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    DOEpatents

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  14. Ballistic superconductivity in semiconductor nanowires.

    PubMed

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K; van Veen, Jasper; de Moor, Michiel W A; Bommer, Jouri D S; van Woerkom, David J; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P A M; Quintero-Pérez, Marina; Cassidy, Maja C; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P

    2017-07-06

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices.

  15. Ballistic superconductivity in semiconductor nanowires

    PubMed Central

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P.; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K.; van Veen, Jasper; de Moor, Michiel W. A.; Bommer, Jouri D. S.; van Woerkom, David J.; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P.A.M.; Quintero-Pérez, Marina; Cassidy, Maja C.; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P.

    2017-01-01

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices. PMID:28681843

  16. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    NASA Astrophysics Data System (ADS)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  17. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  18. Effects of substrate voltage on noise characteristics and hole lifetime in SOI metal-oxide-semiconductor field-effect transistor photon detector.

    PubMed

    Putranto, Dedy Septono Catur; Priambodo, Purnomo Sidi; Hartanto, Djoko; Du, Wei; Satoh, Hiroaki; Ono, Atsushi; Inokawa, Hiroshi

    2014-09-08

    Low-frequency noise and hole lifetime in silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistors (MOSFETs) are analyzed, considering their use in photon detection based on single-hole counting. The noise becomes minimum at around the transition point between front- and back-channel operations when the substrate voltage is varied, and increases largely on both negative and positive sides of the substrate voltage showing peculiar Lorentzian (generation-recombination) noise spectra. Hole lifetime is evaluated by the analysis of drain current histogram at different substrate voltages. It is found that the peaks in the histogram corresponding to the larger number of stored holes become higher as the substrate bias becomes larger. This can be attributed to the prolonged lifetime caused by the higher electric field inside the body of SOI MOSFET. It can be concluded that, once the inversion channel is induced for detection of the photo-generated holes, the small absolute substrate bias is favorable for short lifetime and low noise, leading to high-speed operation.

  19. Parallel Nanoshaping of Brittle Semiconductor Nanowires for Strained Electronics.

    PubMed

    Hu, Yaowu; Li, Ji; Tian, Jifa; Xuan, Yi; Deng, Biwei; McNear, Kelly L; Lim, Daw Gen; Chen, Yong; Yang, Chen; Cheng, Gary J

    2016-12-14

    Semiconductor nanowires (SCNWs) provide a unique tunability of electro-optical property than their bulk counterparts (e.g., polycrystalline thin films) due to size effects. Nanoscale straining of SCNWs is desirable to enable new ways to tune the properties of SCNWs, such as electronic transport, band structure, and quantum properties. However, there are two bottlenecks to prevent the real applications of straining engineering of SCNWs: strainability and scalability. Unlike metallic nanowires which are highly flexible and mechanically robust for parallel shaping, SCNWs are brittle in nature and could easily break at strains slightly higher than their elastic limits. In addition, the ability to generate nanoshaping in large scale is limited with the current technologies, such as the straining of nanowires with sophisticated manipulators, nanocombing NWs with U-shaped trenches, or buckling NWs with prestretched elastic substrates, which are incompatible with semiconductor technology. Here we present a top-down fabrication methodology to achieve large scale nanoshaping of SCNWs in parallel with tunable elastic strains. This method utilizes nanosecond pulsed laser to generate shock pressure and conformably deform the SCNWs onto 3D-nanostructured silicon substrates in a scalable and ultrafast manner. A polymer dielectric nanolayer is integrated in the process for cushioning the high strain-rate deformation, suppressing the generation of dislocations or cracks, and providing self-preserving mechanism for elastic strain storage in SCNWs. The elastic strain limits have been studied as functions of laser intensity, dimensions of nanowires, and the geometry of nanomolds. As a result of 3D straining, the inhomogeneous elastic strains in GeNWs result in notable Raman peak shifts and broadening, which bring more tunability of the electrical-optical property in SCNWs than traditional strain engineering. We have achieved the first 3D nanostraining enhanced germanium field-effect

  20. Geometrical and band-structure effects on phonon-limited hole mobility in rectangular cross-sectional germanium nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Mori, S.; Morioka, N.

    2014-12-21

    We calculated the phonon-limited hole mobility in rectangular cross-sectional [001], [110], [111], and [112]-oriented germanium nanowires, and the hole transport characteristics were investigated. A tight-binding approximation was used for holes, and phonons were described by a valence force field model. Then, scattering probability of holes by phonons was calculated taking account of hole-phonon interaction atomistically, and the linearized Boltzmann's transport equation was solved to calculate the hole mobility at low longitudinal field. The dependence of the hole mobility on nanowire geometry was analyzed in terms of the valence band structure of germanium nanowires, and it was found that the dependencemore » was qualitatively reproduced by considering an average effective mass and the density of states of holes. The calculation revealed that [110] germanium nanowires with large height along the [001] direction show high hole mobility. Germanium nanowires with this geometry are also expected to exhibit high electron mobility in our previous work, and thus they are promising for complementary metal-oxide-semiconductor (CMOS) applications.« less

  1. Growth of metal oxide nanowires from supercooled liquid nanodroplets.

    PubMed

    Kim, Myung Hwa; Lee, Byeongdu; Lee, Sungsik; Larson, Christopher; Baik, Jeong Min; Yavuz, Cafer T; Seifert, Sönke; Vajda, Stefan; Winans, Randall E; Moskovits, Martin; Stucky, Galen D; Wodtke, Alec M

    2009-12-01

    Nanometer-sized liquid droplets formed at temperatures below the bulk melting point become supercooled as they grow through Ostwald ripening or coalescence and can be exploited to grow nanowires without any catalyst. We used this simple approach to synthesize a number of highly crystalline metal oxide nanowires in a chemical or physical vapor deposition apparatus. Examples of nanowires made in this way include VO(2), V(2)O(5), RuO(2), MoO(2), MoO(3), and Fe(3)O(4), some of which have not been previously reported. Direct evidence of this new mechanism of nanowire growth is found from in situ 2-dimensional GISAXS (grazing incidence small angle X-ray scattering) measurements of VO(2) nanowire growth, which provides quantitative information on the shapes and sizes of growing nanowires as well as direct evidence of the presence of supercooled liquid droplets. We observe dramatic changes in nanowire growth by varying the choice of substrate, reflecting the influence of wetting forces on the supercooled nanodroplet shape and mobility as well as substrate-nanowire lattice matching on the definition of nanowire orientation. Surfaces with defects can also be used to pattern the growth of the nanowires. The simplicity of this synthesis concept suggests it may be rather general in its application.

  2. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  3. Effect of Si-doping on InAs nanowire transport and morphology

    NASA Astrophysics Data System (ADS)

    Wirths, S.; Weis, K.; Winden, A.; Sladek, K.; Volk, C.; Alagha, S.; Weirich, T. E.; von der Ahe, M.; Hardtdegen, H.; Lüth, H.; Demarina, N.; Grützmacher, D.; Schäpers, Th.

    2011-09-01

    The effect of Si-doping on the morphology, structure, and transport properties of nanowires was investigated. The nanowires were deposited by selective-area metal organic vapor phase epitaxy in an N2 ambient. It is observed that doping systematically affects the nanowire morphology but not the structure of the nanowires. However, the transport properties of the wires are greatly affected. Room-temperature four-terminal measurements show that with an increasing dopant supply the conductivity monotonously increases. For the highest doping level the conductivity is higher by a factor of 25 compared to only intrinsically doped reference nanowires. By means of back-gate field-effect transistor measurements it was confirmed that the doping results in an increased carrier concentration. Temperature dependent resistance measurements reveal, for lower doping concentrations, a thermally activated semiconductor-type increase of the conductivity. In contrast, the nanowires with the highest doping concentration show a metal-type decrease of the resistivity with decreasing temperature.

  4. Design of Highly Selective Gas Sensors via Physicochemical Modification of Oxide Nanowires: Overview

    PubMed Central

    Woo, Hyung-Sik; Na, Chan Woong; Lee, Jong-Heun

    2016-01-01

    Strategies for the enhancement of gas sensing properties, and specifically the improvement of gas selectivity of metal oxide semiconductor nanowire (NW) networks grown by chemical vapor deposition and thermal evaporation, are reviewed. Highly crystalline NWs grown by vapor-phase routes have various advantages, and thus have been applied in the field of gas sensors over the years. In particular, n-type NWs such as SnO2, ZnO, and In2O3 are widely studied because of their simple synthetic preparation and high gas response. However, due to their usually high responses to C2H5OH and NO2, the selective detection of other harmful and toxic gases using oxide NWs remains a challenging issue. Various strategies—such as doping/loading of noble metals, decorating/doping of catalytic metal oxides, and the formation of core–shell structures—have been explored to enhance gas selectivity and sensitivity, and are discussed herein. Additional methods such as the transformation of n-type into p-type NWs and the formation of catalyst-doped hierarchical structures by branch growth have also proven to be promising for the enhancement of gas selectivity. Accordingly, the physicochemical modification of oxide NWs via various methods provides new strategies to achieve the selective detection of a specific gas, and after further investigations, this approach could pave a new way in the field of NW-based semiconductor-type gas sensors. PMID:27657076

  5. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  6. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission.

    PubMed

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO(2) and In(2)O(3) are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications. This journal is © The Royal Society of Chemistry 2011

  7. Silicon Nanowire Field Effect Transistor Sensors with Minimal Sensor to Sensor Variations and Enhanced Sensing Characteristics.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Jagtiani, Ashish; Kratschmer, Ernst; Miao, Xin; Zhu, Yu; Mo, Renee; Sosa, Norma; Hamann, Hendrik F; Shahidi, Ghavam; Riel, Heike

    2018-06-22

    Silicon nanowire field effect transistor (FET) sensors have demonstrated their ability for rapid and label free detection of proteins, nucleotide sequences, and viruses at ultralow concentrations with the potential to be a transformative diagnostic technology. Their nanoscale size gives them their unique ultralow detection ability but also makes their fabrication challenging with large sensor to sensor variations, thus limiting their commercial applications. In this work, a combined approach of nanofabrication, device simulation, materials and electrical characterization is applied towards identifying and improving fabrication steps that induce sensor to sensor variations. An enhanced complementary metal-oxide-semiconductor (CMOS) compatible process for fabricating silicon nanowire FET sensors is demonstrated. Nanowire (30 nm width) FETs with aqueous solution as gates are shown to have the Nernst limit sub-threshold swing SS = 60 mV/decade with ~1.7% variations, whereas literature values for SS are ≥ 80 mV/decade with larger (>10 times) variations. Also, their threshold voltage variations are significantly (~3 times) reduced, compared to literature values. Furthermore, these improved FETs have significantly reduced drain current hysteresis (~0.6 mV) and enhanced on-current to off-current ratios (~10 6 ). These improvements resulted in nanowire FET sensors with lowest (~3%) reported sensor to sensor variations, compared to literature studies. Also, these improved nanowire sensors have the highest reported sensitivity and enhanced signal to noise ratio with the lowest reported defect density of 1x10 18 eV -1 cm -3 in comparison to literature data. In summary, this work brings the nanowire sensor technology a step closer to commercial products for early diagnosis and monitoring of diseases.

  8. Metal Oxide Semi-Conductor Gas Sensors in Environmental Monitoring

    PubMed Central

    Fine, George F.; Cavanagh, Leon M.; Afonja, Ayo; Binions, Russell

    2010-01-01

    Metal oxide semiconductor gas sensors are utilised in a variety of different roles and industries. They are relatively inexpensive compared to other sensing technologies, robust, lightweight, long lasting and benefit from high material sensitivity and quick response times. They have been used extensively to measure and monitor trace amounts of environmentally important gases such as carbon monoxide and nitrogen dioxide. In this review the nature of the gas response and how it is fundamentally linked to surface structure is explored. Synthetic routes to metal oxide semiconductor gas sensors are also discussed and related to their affect on surface structure. An overview of important contributions and recent advances are discussed for the use of metal oxide semiconductor sensors for the detection of a variety of gases—CO, NOx, NH3 and the particularly challenging case of CO2. Finally a description of recent advances in work completed at University College London is presented including the use of selective zeolites layers, new perovskite type materials and an innovative chemical vapour deposition approach to film deposition. PMID:22219672

  9. Ferroelectric-field-effect-enhanced electroresistance in metal/ferroelectric/semiconductor tunnel junctions

    NASA Astrophysics Data System (ADS)

    Wen, Zheng; Li, Chen; Wu, Di; Li, Aidong; Ming, Naiben

    2013-07-01

    Ferroelectric tunnel junctions (FTJs), composed of two metal electrodes separated by an ultrathin ferroelectric barrier, have attracted much attention as promising candidates for non-volatile resistive memories. Theoretical and experimental works have revealed that the tunnelling resistance switching in FTJs originates mainly from a ferroelectric modulation on the barrier height. However, in these devices, modulation on the barrier width is very limited, although the tunnelling transmittance depends on it exponentially as well. Here we propose a novel tunnelling heterostructure by replacing one of the metal electrodes in a normal FTJ with a heavily doped semiconductor. In these metal/ferroelectric/semiconductor FTJs, not only the height but also the width of the barrier can be electrically modulated as a result of a ferroelectric field effect, leading to a greatly enhanced tunnelling electroresistance. This idea is implemented in Pt/BaTiO3/Nb:SrTiO3 heterostructures, in which an ON/OFF conductance ratio above 104, about one to two orders greater than those reported in normal FTJs, can be achieved at room temperature. The giant tunnelling electroresistance, reliable switching reproducibility and long data retention observed in these metal/ferroelectric/semiconductor FTJs suggest their great potential in non-destructive readout non-volatile memories.

  10. Phosphorus-Rich Copper Phosphide Nanowires for Field-Effect Transistors and Lithium-Ion Batteries.

    PubMed

    Li, Guo-An; Wang, Chiu-Yen; Chang, Wei-Chung; Tuan, Hsing-Yu

    2016-09-27

    Phosphorus-rich transition metal phosphide CuP2 nanowires were synthesized with high quality and high yield (∼60%) via the supercritical fluid-liquid-solid (SFLS) growth at 410 °C and 10.2 MPa. The obtained CuP2 nanowires have a high aspect ratio and exhibit a single crystal structure of monoclinic CuP2 without any impurity phase. CuP2 nanowires have progressive improvement for semiconductors and energy storages compared with bulk CuP2. Being utilized for back-gate field effect transistor (FET) measurement, CuP2 nanowires possess a p-type behavior intrinsically with an on/off ratio larger than 10(4) and its single nanowire electrical transport property exhibits a hole mobility of 147 cm(2) V(-1) s(-1), representing the example of a CuP2 transistor. In addition, CuP2 nanowires can serve as an appealing anode material for a lithium-ion battery electrode. The discharge capacity remained at 945 mA h g(-1) after 100 cycles, showing a good capacity retention of 88% based on the first discharge capacity. Even at a high rate of 6 C, the electrode still exhibited an outstanding result with a capacity of ∼600 mA h g(-1). Ex-situ transmission electron microscopy and CV tests demonstrate that the stability of capacity retention and remarkable rate capability of the CuP2 nanowires electrode are attributed to the role of the metal phosphide conversion-type lithium storage mechanism. Finally, CuP2 nanowire anodes and LiFePO4 cathodes were assembled into pouch-type lithium batteries offering a capacity over 60 mA h. The full cell shows high capacity and stable capacity retention and can be used as an energy supply to operate electronic devices such as mobile phones and mini 4WD cars.

  11. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    NASA Astrophysics Data System (ADS)

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-02-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters.

  12. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    PubMed Central

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-01-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters. PMID:26842997

  13. Heterojunction metal-oxide-metal Au-Fe{sub 3}O{sub 4}-Au single nanowire device for spintronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reddy, K. M., E-mail: mrkongara@boisestate.edu; Punnoose, Alex; Hanna, Charles

    2015-05-07

    In this report, we present the synthesis of heterojunction magnetite nanowires in alumina template and describe magnetic and electrical properties from a single nanowire device for spintronics applications. Heterojunction Au-Fe-Au nanowire arrays were electrodeposited in porous aluminum oxide templates, and an extensive and controlled heat treatment process converted Fe segment to nanocrystalline cubic magnetite phase with well-defined Au-Fe{sub 3}O{sub 4} interfaces as confirmed by the transmission electron microscopy. Magnetic measurements revealed Verwey transition shoulder around 120 K and a room temperature coercive field of 90 Oe. Current–voltage (I-V) characteristics of a single Au-Fe{sub 3}O{sub 4}-Au nanowire have exhibited Ohmic behavior. Anomalous positivemore » magnetoresistance of about 0.5% is observed on a single nanowire, which is attributed to the high spin polarization in nanowire device with pure Fe{sub 3}O{sub 4} phase and nanocontact barrier. This work demonstrates the ability to preserve the pristine Fe{sub 3}O{sub 4} and well defined electrode contact metal (Au)–magnetite interface, which helps in attaining high spin polarized current.« less

  14. Multilevel metallization method for fabricating a metal oxide semiconductor device

    NASA Technical Reports Server (NTRS)

    Hollis, B. R., Jr.; Feltner, W. R.; Bouldin, D. L.; Routh, D. E. (Inventor)

    1978-01-01

    An improved method is described of constructing a metal oxide semiconductor device having multiple layers of metal deposited by dc magnetron sputtering at low dc voltages and low substrate temperatures. The method provides multilevel interconnections and cross over between individual circuit elements in integrated circuits without significantly reducing the reliability or seriously affecting the yield.

  15. Instability analysis of charges trapped in the oxide of metal-ultra thin oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Aziz, A.; Kassmi, K.; Maimouni, R.; Olivié, F.; Sarrabayrouse, G.; Martinez, A.

    2005-09-01

    In this paper, we present the theoretical and experimental results of the influence of a charge trapped in ultra-thin oxide of metal/ultra-thin oxide/semiconductor structures (MOS) on the I(Vg) current-voltage characteristics when the conduction is of the Fowler-Nordheim (FN) tunneling type. The charge, which is negative, is trapped near the cathode (metal/oxide interface) after constant current injection by the metal (Vg<0). Of particular interest is the influence on the Δ Vg(Vg) shift over the whole I(Vg) characteristic at high field (greater than the injection field (>12.5 MV/cm)). It is shown that the charge centroid varies linearly with respect to the voltage Vg. The behavior at low field (<12.5 MV/cm) is analyzed in référence A. Aziz, K. Kassmi, Ka. Kassmi, F. Olivié, Semicond. Sci. Technol. 19, 877 (2004) and considers that the trapped charge centroid is fixed. The results obtained make it possible to analyze the influence of the injected charge and the applied field on the centroid position of the trapped charge, and to highlight the charge instability in the ultra-thin oxide of MOS structures.

  16. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  17. Spontaneous polarization induced electric field in zinc oxide nanowires and nanostars

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farid, S., E-mail: sfarid3@uic.edu; Choi, M.; Datta, D.

    We report on the detection mechanism of spontaneous polarization using electrostatic force microscopy in zinc oxide nanowires and nanostars grown by vapor-liquid-solid technique. Optical and structural properties are investigated in detail to understand the complex ZnO nanostructures comprehensively. Calculations are carried out to estimate the electric field from the change in interleave amplitude induced by the electrostatic force due to the spontaneous polarization effects. Attraction of the probe between the tip and the sample varies for different structures with a stronger attraction for nanostars as compared to nanowires. Strength of electric field is dependent on the orientation of nanowires andmore » nanostars c-axis with measured magnitude of electric field to be ∼10{sup 7 }V/m and 10{sup 8 }V/m respectively. This technique presents a unique detection mechanism of built-in spontaneous polarization and electric field from polar ZnO nanowires with applications in voltage gated ion channels, nano-bio interfaces, optoelectronic and photonic devices.« less

  18. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    NASA Astrophysics Data System (ADS)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  19. Ultralow power complementary inverter circuits using axially doped p- and n-channel Si nanowire field effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2016-06-09

    We have successfully synthesized axially doped p- and n-type regions on a single Si nanowire (NW). Diodes and complementary metal-oxide-semiconductor (CMOS) inverter devices using single axial p- and n-channel Si NW field-effect transistors (FETs) were fabricated. We show that the threshold voltages of both p- and n-channel Si NW FETs can be lowered to nearly zero by effectively controlling the doping concentration. Because of the high performance of the p- and n-type Si NW channel FETs, especially with regard to the low threshold voltage, the fabricated NW CMOS inverters have a low operating voltage (<3 V) while maintaining a high voltage gain (∼6) and ultralow static power dissipation (≤0.3 pW) at an input voltage of ±3 V. This result offers a viable way for the fabrication of a high-performance high-density logic circuit using a low-temperature fabrication process, which makes it suitable for flexible electronics.

  20. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    PubMed

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  1. Comparing Hall Effect and Field Effect Measurements on the Same Single Nanowire.

    PubMed

    Hultin, Olof; Otnes, Gaute; Borgström, Magnus T; Björk, Mikael; Samuelson, Lars; Storm, Kristian

    2016-01-13

    We compare and discuss the two most commonly used electrical characterization techniques for nanowires (NWs). In a novel single-NW device, we combine Hall effect and back-gated and top-gated field effect measurements and quantify the carrier concentrations in a series of sulfur-doped InP NWs. The carrier concentrations from Hall effect and field effect measurements are found to correlate well when using the analysis methods described in this work. This shows that NWs can be accurately characterized with available electrical methods, an important result toward better understanding of semiconductor NW doping.

  2. Functional integrity of flexible n-channel metal-oxide-semiconductor field-effect transistors on a reversibly bistable platform

    NASA Astrophysics Data System (ADS)

    Alfaraj, Nasir; Hussain, Aftab M.; Torres Sevilla, Galo A.; Ghoneim, Mohamed T.; Rojas, Jhonathan P.; Aljedaani, Abdulrahman B.; Hussain, Muhammad M.

    2015-10-01

    Flexibility can bring a new dimension to state-of-the-art electronics, such as rollable displays and integrated circuit systems being transformed into more powerful resources. Flexible electronics are typically hosted on polymeric substrates. Such substrates can be bent and rolled up, but cannot be independently fixed at the rigid perpendicular position necessary to realize rollable display-integrated gadgets and electronics. A reversibly bistable material can assume two stable states in a reversible way: flexibly rolled state and independently unbent state. Such materials are used in cycling and biking safety wristbands and a variety of ankle bracelets for orthopedic healthcare. They are often wrapped around an object with high impulsive force loading. Here, we study the effects of cumulative impulsive force loading on thinned (25 μm) flexible silicon-based n-channel metal-oxide-semiconductor field-effect transistor devices housed on a reversibly bistable flexible platform. We found that the transistors have maintained their high performance level up to an accumulated 180 kN of impact force loading. The gate dielectric layers have maintained their reliability, which is evidenced by the low leakage current densities. Also, we observed low variation in the effective electron mobility values, which manifests that the device channels have maintained their carrier transport properties.

  3. Near interface traps in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors monitored by temperature dependent gate current transient measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena

    This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{supmore » 11} cm{sup −2}).« less

  4. Organically Modified Silicas on Metal Nanowires

    PubMed Central

    2010-01-01

    Organically modified silica coatings were prepared on metal nanowires using a variety of silicon alkoxides with different functional groups (i.e., carboxyl groups, polyethylene oxide, cyano, dihydroimidazole, and hexyl linkers). Organically modified silicas were deposited onto the surface of 6-μm-long, ∼300-nm-wide, cylindrical metal nanowires in suspension by the hydrolysis and polycondensation of silicon alkoxides. Syntheses were performed at several ratios of tetraethoxysilane to an organically modified silicon alkoxide to incorporate desired functional groups into thin organosilica shells on the nanowires. These coatings were characterized using transmission electron microscopy, X-ray photoelectron spectroscopy, and infrared spectroscopy. All of the organically modified silicas prepared here were sufficiently porous to allow the removal of the metal nanowire cores by acid etching to form organically modified silica nanotubes. Additional functionality provided to the modified silicas as compared to unmodified silica prepared using only tetraethoxysilane precursors was demonstrated by chromate adsorption on imidazole-containing silicas and resistance to protein adsorption on polyethyleneoxide-containing silicas. Organically modified silica coatings on nanowires and other nano- and microparticles have potential application in fields such as biosensing or nanoscale therapeutics due to the enhanced properties of the silica coatings, for example, the prevention of biofouling. PMID:20715881

  5. Interactions between semiconductor nanowires and living cells.

    PubMed

    Prinz, Christelle N

    2015-06-17

    Semiconductor nanowires are increasingly used for biological applications and their small dimensions make them a promising tool for sensing and manipulating cells with minimal perturbation. In order to interface cells with nanowires in a controlled fashion, it is essential to understand the interactions between nanowires and living cells. The present paper reviews current progress in the understanding of these interactions, with knowledge gathered from studies where living cells were interfaced with vertical nanowire arrays. The effect of nanowires on cells is reported in terms of viability, cell-nanowire interface morphology, cell behavior, changes in gene expression as well as cellular stress markers. Unexplored issues and unanswered questions are discussed.

  6. Ultrathin body GaSb-on-insulator p-channel metal-oxide-semiconductor field-effect transistors on Si fabricated by direct wafer bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    2015-02-16

    We have realized ultrathin body GaSb-on-insulator (GaSb-OI) on Si wafers by direct wafer bonding technology using atomic-layer deposition (ALD) Al{sub 2}O{sub 3} and have demonstrated GaSb-OI p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on Si. A 23-nm-thick GaSb-OI p-MOSFET exhibits the peak effective mobility of ∼76 cm{sup 2}/V s. We have found that the effective hole mobility of the thin-body GaSb-OI p-MOSFETs decreases with a decrease in the GaSb-OI thickness or with an increase in Al{sub 2}O{sub 3} ALD temperature. The InAs passivation of GaSb-OI MOS interfaces can enhance the peak effective mobility up to 159 cm{sup 2}/V s for GaSb-OI p-MOSFETs with themore » 20-nm-thick GaSb layer.« less

  7. Direct observation of single-charge-detection capability of nanowire field-effect transistors.

    PubMed

    Salfi, J; Savelyev, I G; Blumin, M; Nair, S V; Ruda, H E

    2010-10-01

    A single localized charge can quench the luminescence of a semiconductor nanowire, but relatively little is known about the effect of single charges on the conductance of the nanowire. In one-dimensional nanostructures embedded in a material with a low dielectric permittivity, the Coulomb interaction and excitonic binding energy are much larger than the corresponding values when embedded in a material with the same dielectric permittivity. The stronger Coulomb interaction is also predicted to limit the carrier mobility in nanowires. Here, we experimentally isolate and study the effect of individual localized electrons on carrier transport in InAs nanowire field-effect transistors, and extract the equivalent charge sensitivity. In the low carrier density regime, the electrostatic potential produced by one electron can create an insulating weak link in an otherwise conducting nanowire field-effect transistor, modulating its conductance by as much as 4,200% at 31 K. The equivalent charge sensitivity, 4 × 10(-5) e Hz(-1/2) at 25 K and 6 × 10(-5) e Hz(-1/2) at 198 K, is orders of magnitude better than conventional field-effect transistors and nanoelectromechanical systems, and is just a factor of 20-30 away from the record sensitivity for state-of-the-art single-electron transistors operating below 4 K (ref. 8). This work demonstrates the feasibility of nanowire-based single-electron memories and illustrates a physical process of potential relevance for high performance chemical sensors. The charge-state-detection capability we demonstrate also makes the nanowire field-effect transistor a promising host system for impurities (which may be introduced intentionally or unintentionally) with potentially long spin lifetimes, because such transistors offer more sensitive spin-to-charge conversion readout than schemes based on conventional field-effect transistors.

  8. Effect of field deposition and pore size on Co/Cu barcode nanowires by electrodeposition

    NASA Astrophysics Data System (ADS)

    Cho, Ji Ung; Wu, Jun-Hua; Min, Ji Hyun; Lee, Ju Hun; Liu, Hong-Ling; Kim, Young Keun

    2007-03-01

    We have studied the effect of an external magnetic field applied during electrodeposition of Co/Cu barcode nanowires in anodic aluminum oxide nanotemplates. The magnetic properties of the barcode nanowires were greatly enhanced for 50 nm pore diameter regardless of segment aspect ratio, but field deposition has little effect on the 200 nm nanowires. The magnetic improvement is correlated with a structural change, attributed to field modification of the growth habit of the barcode nanowires. A mechanism of growth subject to geometric confinement is proposed.

  9. Tailoring the Spectroscopic Properties of Semiconductor Nanowires via Surface-Plasmon-Based Optical Engineering

    PubMed Central

    2014-01-01

    Semiconductor nanowires, due to their unique electronic, optical, and chemical properties, are firmly placed at the forefront of nanotechnology research. The rich physics of semiconductor nanowire optics arises due to the enhanced light–matter interactions at the nanoscale and coupling of optical modes to electronic resonances. Furthermore, confinement of light can be taken to new extremes via coupling to the surface plasmon modes of metal nanostructures integrated with nanowires, leading to interesting physical phenomena. This Perspective will examine how the optical properties of semiconductor nanowires can be altered via their integration with highly confined plasmonic nanocavities that have resulted in properties such as orders of magnitude faster and more efficient light emission and lasing. The use of plasmonic nanocavities for tailored optical absorption will also be discussed in order to understand and engineer fundamental optical properties of these hybrid systems along with their potential for novel applications, which may not be possible with purely dielectric cavities. PMID:25396030

  10. Metal-semiconductor barrier modulation for high photoresponse in transition metal dichalcogenide field effect transistors.

    PubMed

    Li, Hua-Min; Lee, Dae-Yeong; Choi, Min Sup; Qu, Deshun; Liu, Xiaochi; Ra, Chang-Ho; Yoo, Won Jong

    2014-02-10

    A gate-controlled metal-semiconductor barrier modulation and its effect on carrier transport were investigated in two-dimensional (2D) transition metal dichalcogenide (TMDC) field effect transistors (FETs). A strong photoresponse was observed in both unipolar MoS2 and ambipolar WSe2 FETs (i) at the high drain voltage due to a high electric field along the channel for separating photo-excited charge carriers and (ii) at the certain gate voltage due to the optimized barriers for the collection of photo-excited charge carriers at metal contacts. The effective barrier height between Ti/Au and TMDCs was estimated by a low temperature measurement. An ohmic contact behavior and drain-induced barrier lowering (DIBL) were clearly observed in MoS2 FET. In contrast, a Schottky-to-ohmic contact transition was observed in WSe2 FET as the gate voltage increases, due to the change of majority carrier transport from holes to electrons. The gate-dependent barrier modulation effectively controls the carrier transport, demonstrating its great potential in 2D TMDCs for electronic and optoelectronic applications.

  11. Predictive simulations and optimization of nanowire field-effect PSA sensors including screening

    NASA Astrophysics Data System (ADS)

    Baumgartner, Stefan; Heitzinger, Clemens; Vacic, Aleksandar; Reed, Mark A.

    2013-06-01

    We apply our self-consistent PDE model for the electrical response of field-effect sensors to the 3D simulation of nanowire PSA (prostate-specific antigen) sensors. The charge concentration in the biofunctionalized boundary layer at the semiconductor-electrolyte interface is calculated using the propka algorithm, and the screening of the biomolecules by the free ions in the liquid is modeled by a sensitivity factor. This comprehensive approach yields excellent agreement with experimental current-voltage characteristics without any fitting parameters. Having verified the numerical model in this manner, we study the sensitivity of nanowire PSA sensors by changing device parameters, making it possible to optimize the devices and revealing the attributes of the optimal field-effect sensor.

  12. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  13. A Self-Aligned InGaAs Quantum-Well Metal-Oxide-Semiconductor Field-Effect Transistor Fabricated through a Lift-Off-Free Front-End Process

    NASA Astrophysics Data System (ADS)

    Lin, Jianqiang; Kim, Tae-Woo; Antoniadis, Dimitri A.; del Alamo, Jesús A.

    2012-06-01

    We present a novel n-type InGaAs quantum-well metal-oxide-semiconductor field-effect transistor (QW-MOSFET) fabricated by a self-aligned gate-last process and investigate relevant Si-like manufacturing issues in future III-V MOSFETs. The device structure features a composite InP/Al2O3 gate barrier with a capacitance equivalent thickness (CET) of 3 nm and non alloyed Mo ohmic contacts. We have found that RIE introduces significant damage to the intrinsic device resulting in poor current drive and subthreshold swing. The effect is largely removed through a thermal annealing step. Thermally annealed QW-MOSFETs exhibit a subthreshold swing of 95 mV/dec, indicative of excellent interfacial characteristics. The peak mobility of the MOSFET is 2780 cm2 V-1 s-1.

  14. P-Channel InGaN/GaN heterostructure metal-oxide-semiconductor field effect transistor based on polarization-induced two-dimensional hole gas

    PubMed Central

    Zhang, Kexiong; Sumiya, Masatomo; Liao, Meiyong; Koide, Yasuo; Sang, Liwen

    2016-01-01

    The concept of p-channel InGaN/GaN heterostructure field effect transistor (FET) using a two-dimensional hole gas (2DHG) induced by polarization effect is demonstrated. The existence of 2DHG near the lower interface of InGaN/GaN heterostructure is verified by theoretical simulation and capacitance-voltage profiling. The metal-oxide-semiconductor FET (MOSFET) with Al2O3 gate dielectric shows a drain-source current density of 0.51 mA/mm at the gate voltage of −2 V and drain bias of −15 V, an ON/OFF ratio of two orders of magnitude and effective hole mobility of 10 cm2/Vs at room temperature. The normal operation of MOSFET without freeze-out at 8 K further proves that the p-channel behavior is originated from the polarization-induced 2DHG. PMID:27021054

  15. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  16. A silicon metal-oxide-semiconductor electron spin-orbit qubit.

    PubMed

    Jock, Ryan M; Jacobson, N Tobias; Harvey-Collard, Patrick; Mounce, Andrew M; Srinivasa, Vanita; Ward, Dan R; Anderson, John; Manginell, Ron; Wendt, Joel R; Rudolph, Martin; Pluym, Tammy; Gamble, John King; Baczewski, Andrew D; Witzel, Wayne M; Carroll, Malcolm S

    2018-05-02

    The silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin-orbit (SO) effects. Here we advantageously use interface-SO coupling for a critical control axis in a double-quantum-dot singlet-triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface-SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, [Formula: see text], of 1.6 μs is consistent with 99.95% 28 Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.

  17. Semiconductor Nanowires and Nanotubes for Energy Conversion

    NASA Astrophysics Data System (ADS)

    Fardy, Melissa Anne

    Se nanowires allowed their thermoelectric properties to be controllably tuned by increasing their carrier concentration or hole mobility. After optimal annealing, single PbSe nanowires exhibited a thermoelectric figure of merit (ZT) of 0.12 at 300 K. In addition, using a field-effect gated device, the Seebeck coefficient of single PbSe nanowires could be tuned from 64 to 193 muV˙K-1. This direct electrical field control of the electrical conductivity and Seebeck coefficient suggests a powerful strategy for optimizing ZT in thermoelectric devices and these results represent the first demonstration of field-effect modulation of the thermoelectric figure of merit in a single semiconductor nanowire. This novel strategy for thermoelectric property modulation could prove especially important in optimizing the thermoelectric properties of semiconductors where reproducible doping is difficult to achieve. Recent theoretical work has shown large enhancements in ZT for single-crystal nanowires containing nanoscale interfaces along their lengths. M2O3(ZnO) n ( M = In, Ga, Fe) superlattice nanowires were synthesized via a novel solid-state diffusion approach to investigate this possible enhancement. Using atomic resolution Z-contrast STEM imaging a detailed structural analysis was performed on In2-xGaxO3(ZnO) n nanowires, leading to the discovery that octahedral inclusions within the superlattice structure are likely generated through a defect-assisted process. Single-nanowire thermal and electrical measurements on In2-x GaxO3(ZnO)n reveal a simultaneous improvement in all contributing factors to the thermoelectric figure of merit, giving an order of magnitude enhancement over similar bulk materials at room temperature. This is the first report of enhancement of all three thermoelectric parameters (Seebeck coefficient, electrical conductivity, and thermal resistivity) for a nanowire system. Photoelectrochemical water splitting is another exciting renewable energy application that can

  18. Reconfigurable quadruple quantum dots in a silicon nanowire transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Betz, A. C., E-mail: ab2106@cam.ac.uk; Broström, M.; Gonzalez-Zalba, M. F.

    2016-05-16

    We present a reconfigurable metal-oxide-semiconductor multi-gate transistor that can host a quadruple quantum dot in silicon. The device consists of an industrial quadruple-gate silicon nanowire field-effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple-gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.

  19. Numerical analysis of band tails in nanowires and their effects on the performance of tunneling field-effect transistors

    NASA Astrophysics Data System (ADS)

    Tanaka, Takahisa; Uchida, Ken

    2018-06-01

    Band tails in heavily doped semiconductors are one of the important parameters that determine transfer characteristics of tunneling field-effect transistors. In this study, doping concentration and doing profile dependences of band tails in heavily doped Si nanowires were analyzed by a nonequilibrium Green function method. From the calculated band tails, transfer characteristics of nanowire tunnel field-effect transistors were numerically analyzed by Wentzel–Kramer–Brillouin approximation with exponential barriers. The calculated transfer characteristics demonstrate that the band tails induced by dopants degrade the subthreshold slopes of Si nanowires from 5 to 56 mV/dec in the worst case. On the other hand, surface doping leads to a high drain current while maintaining a small subthreshold slope.

  20. Negative Photoconductance in Heavily Doped Si Nanowire Field-Effect Transistors.

    PubMed

    Baek, Eunhye; Rim, Taiuk; Schütt, Julian; Baek, Chang-Ki; Kim, Kihyun; Baraban, Larysa; Cuniberti, Gianaurelio

    2017-11-08

    We report the first observation of negative photoconductance (NPC) in n- and p-doped Si nanowire field-effect transistors (FETs) and demonstrate the strong influence of doping concentrations on the nonconventional optical switching of the devices. Furthermore, we show that the NPC of Si nanowire FETs is dependent on the wavelength of visible light due to the phonon-assisted excitation to multiple conduction bands with different band gap energies that would be a distinct optoelectronic property of indirect band gap semiconductor. We attribute the main driving force of NPC in Si nanowire FETs to the photogenerated hot electrons trapping by dopants ions and interfacial states. Finally, comparing back- and top-gate modulation, we derive the mechanisms of the transition between negative and positive photoconductance regimes in nanowire devices. The transition is decided by the competition between the light-induced interfacial trapping and the recombination of mobile carriers, which is dependent on the light intensity and the doping concentration.

  1. Engineering Nanowire n-MOSFETs at L_{g}<8 nm

    NASA Astrophysics Data System (ADS)

    Mehrotra, Saumitra R.; Kim, SungGeun; Kubis, Tillmann; Povolotskyi, Michael; Lundstrom, Mark S.; Klimeck, Gerhard

    2013-07-01

    As metal-oxide-semiconductor field-effect transistors (MOSFET) channel lengths (Lg) are scaled to lengths shorter than Lg<8 nm source-drain tunneling starts to become a major performance limiting factor. In this scenario a heavier transport mass can be used to limit source-drain (S-D) tunneling. Taking InAs and Si as examples, it is shown that different heavier transport masses can be engineered using strain and crystal orientation engineering. Full-band extended device atomistic quantum transport simulations are performed for nanowire MOSFETs at Lg<8 nm in both ballistic and incoherent scattering regimes. In conclusion, a heavier transport mass can indeed be advantageous in improving ON state currents in ultra scaled nanowire MOSFETs.

  2. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  3. Anomalous threshold voltage change by 2 MeV electron irradiation at 100 °C in deep submicron metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Hayama, K.; Ohyama, H.; Simoen, E.; Rafí, J. M.; Mercha, A.; Claeys, C.

    2004-04-01

    The degradation of the electrical properties of deep submicron metal-oxide-semiconductor field-effect transistors (MOSFETs) by 2 MeV electron irradiation at high temperatures was studied. The irradiation temperatures were 30, 100, 150 and 200 °C, and the fluence was fixed at 1015e/cm2. For most experimental conditions, the threshold voltage (VT) is observed to reduce in absolute value both for n- and p-MOSFETs. This reduction is most pronounced at 100 °C, as at this irradiation temperature, the radiation-induced density of interface traps is highest. It is proposed that hydrogen neutralization of the dopants in the substrate plays a key role, whereby the hydrogen is released from the gate by the 2 MeV electrons.

  4. Positron studies of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  5. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  6. Tailoring light-matter coupling in semiconductor and hybrid-plasmonic nanowires

    PubMed Central

    Piccione, Brian; Aspetti, Carlos O.; Cho, Chang-Hee; Agarwal, Ritesh

    2014-01-01

    Understanding interactions between light and matter is central to many fields, providing invaluable insights into the nature of matter. In its own right, a greater understanding of light-matter coupling has allowed for the creation of tailored applications, resulting in a variety of devices such as lasers, switches, sensors, modulators, and detectors. Reduction of optical mode volume is crucial to enhancing light-matter coupling strength, and among solid-state systems, self-assembled semiconductor and hybrid-plasmonic nanowires are amenable to creation of highly-confined optical modes. Following development of unique spectroscopic techniques designed for the nanowire morphology, carefully engineered semiconductor nanowire cavities have recently been tailored to enhance light-matter coupling strength in a manner previously seen in optical microcavities. Much smaller mode volumes in tailored hybrid-plasmonic nanowires have recently allowed for similar breakthroughs, resulting in sub-picosecond excited-state lifetimes and exceptionally high radiative rate enhancement. Here, we review literature on light-matter interactions in semiconductor and hybrid-plasmonic monolithic nanowire optical cavities to highlight recent progress made in tailoring light-matter coupling strengths. Beginning with a discussion of relevant concepts from optical physics, we will discuss how our knowledge of light-matter coupling has evolved with our ability to produce ever-shrinking optical mode volumes, shifting focus from bulk materials to optical microcavities, before moving on to recent results obtained from semiconducting nanowires. PMID:25093385

  7. A new era of semiconductor genetics using ion-sensitive field-effect transistors: the gene-sensitive integrated cell.

    PubMed

    Toumazou, Christofer; Thay, Tan Sri Lim Kok; Georgiou, Pantelis

    2014-03-28

    Semiconductor genetics is now disrupting the field of healthcare owing to the rapid parallelization and scaling of DNA sensing using ion-sensitive field-effect transistors (ISFETs) fabricated using commercial complementary metal -oxide semiconductor technology. The enabling concept of DNA reaction monitoring introduced by Toumazou has made this a reality and we are now seeing relentless scaling with Moore's law ultimately achieving the $100 genome. In this paper, we present the next evolution of this technology through the creation of the gene-sensitive integrated cell (GSIC) for label-free real-time analysis based on ISFETs. This device is derived from the traditional metal-oxide semiconductor field-effect transistor (MOSFET) and has electrical performance identical to that of a MOSFET in a standard semiconductor process, yet is capable of incorporating DNA reaction chemistries for applications in single nucleotide polymorphism microarrays and DNA sequencing. Just as application-specific integrated circuits, which are developed in much the same way, have shaped our consumer electronics industry and modern communications and memory technology, so, too, do GSICs based on a single underlying technology principle have the capacity to transform the life science and healthcare industries.

  8. Ultra low-loss, isotropic optical negative-index metamaterial based on hybrid metal-semiconductor nanowires

    PubMed Central

    Paniagua-Domínguez, R.; Abujetas, D. R.; Sánchez-Gil, J. A.

    2013-01-01

    Recently, many fascinating properties predicted for metamaterials (negative refraction, superlensing, electromagnetic cloaking,…) were experimentally demonstrated. Unfortunately, the best achievements have no direct translation to the optical domain, without being burdened by technological and conceptual difficulties. Of particular importance within the realm of optical negative-index metamaterials (NIM), is the issue of simultaneously achieving strong electric and magnetic responses and low associated losses. Here, hybrid metal-semiconductor nanowires are proposed as building blocks of optical NIMs. The metamaterial thus obtained, highly isotropic in the plane normal to the nanowires, presents a negative index of refraction in the near-infrared, with values of the real part well below −1, and extremely low losses (an order of magnitude better than present optical NIMs). Tunability of the system allows to select the operating range in the whole telecom spectrum. The design is proven in configurations such as prisms and slabs, directly observing negative refraction. PMID:23514968

  9. Emergence of transverse spin in optical modes of semiconductor nanowires

    DOE PAGES

    Alizadeh, M. H.; Reinhard, Bjorn M.

    2016-04-11

    The transverse spin angular momentum of light has recently received tremendous attention as it adds a new degree of freedom for controlling light-matter interactions. In this work we demonstrate the generation of transverse spin angular momentum by the weakly-guided mode of semiconductor nanowires. The evanescent field of these modes in combination with the transversality condition rigorously accounts for the occurrence of transverse spin angular momentum. Furthermore, the intriguing and nontrivial spin properties of optical modes in semiconductor nanowires are of high interest for a broad range of new applications including chiral optical trapping, quantum information processing, and nanophotonic circuitry.

  10. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  11. One-Dimensional Nanostructures and Devices of II–V Group Semiconductors

    PubMed Central

    2009-01-01

    The II–V group semiconductors, with narrow band gaps, are important materials with many applications in infrared detectors, lasers, solar cells, ultrasonic multipliers, and Hall generators. Since the first report on trumpet-like Zn3P2nanowires, one-dimensional (1-D) nanostructures of II–V group semiconductors have attracted great research attention recently because these special 1-D nanostructures may find applications in fabricating new electronic and optoelectronic nanoscale devices. This article covers the 1-D II–V semiconducting nanostructures that have been synthesized till now, focusing on nanotubes, nanowires, nanobelts, and special nanostructures like heterostructured nanowires. Novel electronic and optoelectronic devices built on 1-D II–V semiconducting nanostructures will also be discussed, which include metal–insulator-semiconductor field-effect transistors, metal-semiconductor field-effect transistors, andp–nheterojunction photodiode. We intent to provide the readers a brief account of these exciting research activities. PMID:20596452

  12. Prediction of the thermal annealing of thick oxide metal-oxide-semiconductor dosimeters irradiated in a harsh radiation environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravotti, F.; Glaser, M.; Saigne, F.

    Radiation-sensing metal-oxide-semiconductor field-effect transistors produced by the laboratory LAAS-CNRS were exposed to a harsh hadron field that represents the real radiation environment expected at the CERN Large Hadron Collider experiments. The long-term stability of the transistor's I{sub ds}-V{sub gs} characteristic was investigated using the isochronal annealing technique. In this work, devices exposed to high intensity hadron levels ({phi}{>=}10{sup 12} neutrons/cm{sup 2}) show evidences of displacement damages in the I{sub ds}-V{sub gs} annealing behavior. By comparing experimental and simulated results over 14 months, the isochronal annealing method, originally devoted to oxide trapped charge, is shown to enable prediction of the recoverymore » of silicon bulk defects.« less

  13. Room temperature-synthesized vertically aligned InSb nanowires: electrical transport and field emission characteristics

    PubMed Central

    2013-01-01

    Vertically aligned single-crystal InSb nanowires were synthesized via the electrochemical method at room temperature. The characteristics of Fourier transform infrared spectrum revealed that in the syntheses of InSb nanowires, energy bandgap shifts towards the short wavelength with the occurrence of an electron accumulation layer. The current–voltage curve, based on the metal–semiconductor–metal model, showed a high electron carrier concentration of 2.0 × 1017 cm−3 and a high electron mobility of 446.42 cm2 V−1 s−1. Additionally, the high carrier concentration of the InSb semiconductor with the surface accumulation layer induced a downward band bending effect that reduces the electron tunneling barrier. Consequently, the InSb nanowires exhibit significant field emission properties with an extremely low turn-on field of 1.84 V μm−1 and an estimative threshold field of 3.36 V μm−1. PMID:23399075

  14. Nanowire systems: technology and design

    PubMed Central

    Gaillardon, Pierre-Emmanuel; Amarù, Luca Gaetano; Bobba, Shashikanth; De Marchi, Michele; Sacchetto, Davide; De Micheli, Giovanni

    2014-01-01

    Nanosystems are large-scale integrated systems exploiting nanoelectronic devices. In this study, we consider double independent gate, vertically stacked nanowire field effect transistors (FETs) with gate-all-around structures and typical diameter of 20 nm. These devices, which we have successfully fabricated and evaluated, control the ambipolar behaviour of the nanostructure by selectively enabling one type of carriers. These transistors work as switches with electrically programmable polarity and thus realize an exclusive or operation. The intrinsic higher expressive power of these FETs, when compared with standard complementary metal oxide semiconductor technology, enables us to realize more efficient logic gates, which we organize as tiles to realize nanowire systems by regular arrays. This article surveys both the technology for double independent gate FETs as well as physical and logic design tools to realize digital systems with this fabrication technology. PMID:24567471

  15. Gate voltage dependent 1/f noise variance model based on physical noise generation mechanisms in n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Arai, Yukiko; Aoki, Hitoshi; Abe, Fumitaka; Todoroki, Shunichiro; Khatami, Ramin; Kazumi, Masaki; Totsuka, Takuya; Wang, Taifeng; Kobayashi, Haruo

    2015-04-01

    1/f noise is one of the most important characteristics for designing analog/RF circuits including operational amplifiers and oscillators. We have analyzed and developed a novel 1/f noise model in the strong inversion, saturation, and sub-threshold regions based on SPICE2 type model used in any public metal-oxide-semiconductor field-effect transistor (MOSFET) models developed by the University of California, Berkeley. Our model contains two noise generation mechanisms that are mobility and interface trap number fluctuations. Noise variability dependent on gate voltage is also newly implemented in our model. The proposed model has been implemented in BSIM4 model of a SPICE3 compatible circuit simulator. Parameters of the proposed model are extracted with 1/f noise measurements for simulation verifications. The simulation results show excellent agreements between measurement and simulations.

  16. Growth of vertically aligned nanowires in metal-oxide nanocomposites: kinetic Monte-Carlo modeling versus experiments.

    PubMed

    Hennes, M; Schuler, V; Weng, X; Buchwald, J; Demaille, D; Zheng, Y; Vidal, F

    2018-04-26

    We employ kinetic Monte-Carlo simulations to study the growth process of metal-oxide nanocomposites obtained via sequential pulsed laser deposition. Using Ni-SrTiO3 (Ni-STO) as a model system, we reduce the complexity of the computational problem by choosing a coarse-grained approach mapping Sr, Ti and O atoms onto a single effective STO pseudo-atom species. With this ansatz, we scrutinize the kinetics of the sequential synthesis process, governed by alternating deposition and relaxation steps, and analyze the self-organization propensity of Ni atoms into straight vertically aligned nanowires embedded in the surrounding STO matrix. We finally compare the predictions of our binary toy model with experiments and demonstrate that our computational approach captures fundamental aspects of self-assembled nanowire synthesis. Despite its simplicity, our modeling strategy successfully describes the impact of relevant parameters like the concentration or laser frequency on the final nanoarchitecture of metal-oxide thin films grown via pulsed laser deposition.

  17. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Tuttle, Blair R.; Dhar, Sarit

    2015-06-01

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO2/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  18. Modeling of Metal-Ferroelectric-Semiconductor Field Effect Transistors

    NASA Technical Reports Server (NTRS)

    Duen Ho, Fat; Macleod, Todd C.

    1998-01-01

    The characteristics for a MFSFET (metal-ferroelectric-semiconductor field effect transistor) is very different than a conventional MOSFET and must be modeled differently. The drain current has a hysteresis shape with respect to the gate voltage. The position along the hysteresis curve is dependent on the last positive or negative polling of the ferroelectric material. The drain current also has a logarithmic decay after the last polling. A model has been developed to describe the MFSFET drain current for both gate voltage on and gate voltage off conditions. This model takes into account the hysteresis nature of the MFSFET and the time dependent decay. The model is based on the shape of the Fermi-Dirac function which has been modified to describe the MFSFET's drain current. This is different from the model proposed by Chen et. al. and that by Wu.

  19. Magnetoconductance signatures of subband structure in semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Holloway, Gregory; Haapamaki, Chris; Lapierre, Ray; Baugh, Jonathan

    2015-03-01

    Understanding the subband structure due to radial confinement in semiconductor nanowires can benefit technologies ranging from optical sensors to quantum information processing. An axial magnetic field couples to the orbital angular momentum, giving rise to non-trivial features in electronic transport as a function of magnetic field. Previous reports focused on conduction electrons confined to a thin shell near the nanowire surface, which lead to flux-periodic energies and conductance oscillations. Here, we calculate the eigenstates for more general radial potentials with moderate to low surface band bending such that electrons are distributed more uniformly across the nanowire cross-section. It is found that the energy spectrum becomes aperiodic in both gate voltage and magnetic field as the radial potential becomes flatter. The behavior of an energy level is dictated by its angular momentum, and this allows, in principle, each state to be identified based on its dependence on magnetic field and the chemical potential. We experimentally investigate a short-channel InAs nanowire FET in search of conductance features that reveal this subband structure. A quantitative measure for assigning conductance features to specific transverse states is introduced and applied to this device.

  20. Effect of Al-diffusion-induced positive flatband voltage shift on the electrical characteristics of Al-incorporated high-k metal-oxide-semiconductor field-effective transistor

    NASA Astrophysics Data System (ADS)

    Wang, Wenwu; Akiyama, Koji; Mizubayashi, Wataru; Nabatame, Toshihide; Ota, Hiroyuki; Toriumi, Akira

    2009-03-01

    We systematically studied what effect Al diffusion from high-k dielectrics had on the flatband voltage (Vfb) of Al-incorporated high-k gate stacks. An anomalous positive shift fin Vfb with the decreasing equivalent oxide thickness (EOT) of high-k gate stacks is reported. As the SiO2 interfacial layer is aggressively thinned in Al-incorporated HfxAl1-xOy gate stacks with a metal-gate electrode, the Vfb first lies on the well known linear Vfb-EOT plot and deviates toward the positive-voltage direction (Vfb roll-up), followed by shifting toward negative voltage (Vfb roll-off). We demonstrated that the Vfb roll-up behavior remarkably decreases the threshold voltage (Vth) of p-type metal-oxide-semiconductor field-effect transistors (p-MOSFETs), and does not cause severe degradation in the characteristics of hole mobility. The Vfb roll-up behavior, which is independent of gate materials but strongly dependent on high-k dielectrics, was ascribed to variations in fixed charges near the SiO2/Si interface, which are caused by Al diffusion from HfxAl1-xOy through SiO2 to the SiO2/Si interface. These results indicate that anomalous positive shift in Vfb, i.e., Vfb roll-up, should be taken into consideration in quantitatively adjusting Vfb in thin EOT regions and that it could be used to further tune Vth in p-MOSFETs.

  1. Fabricating metal-oxide-semiconductor field-effect transistors on a polyethylene terephthalate substrate by applying low-temperature layer transfer of a single-crystalline silicon layer by meniscus force

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakaike, Kohei; Akazawa, Muneki; Nakamura, Shogo

    2013-12-02

    A low-temperature local-layer technique for transferring a single-crystalline silicon (c-Si) film by using a meniscus force was proposed, and an n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) was fabricated on polyethylene terephthalate (PET) substrate. It was demonstrated that it is possible to transfer and form c-Si films in the required shape at the required position on PET substrates at extremely low temperatures by utilizing a meniscus force. The proposed technique for layer transfer was applied for fabricating high-performance c-Si MOSFETs on a PET substrate. The fabricated MOSFET showed a high on/off ratio of more than 10{sup 8} and a high field-effect mobilitymore » of 609 cm{sup 2} V{sup −1} s{sup −1}.« less

  2. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  3. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE PAGES

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick; ...

    2018-05-02

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  4. New Material Transistor with Record-High Field-Effect Mobility among Wide-Band-Gap Semiconductors.

    PubMed

    Shih, Cheng Wei; Chin, Albert

    2016-08-03

    At an ultrathin 5 nm, we report a new high-mobility tin oxide (SnO2) metal-oxide-semiconductor field-effect transistor (MOSFET) exhibiting extremely high field-effect mobility values of 279 and 255 cm(2)/V-s at 145 and 205 °C, respectively. These values are the highest reported mobility values among all wide-band-gap semiconductors of GaN, SiC, and metal-oxide MOSFETs, and they also exceed those of silicon devices at the aforementioned elevated temperatures. For the first time among existing semiconductor transistors, a new device physical phenomenon of a higher mobility value was measured at 45-205 °C than at 25 °C, which is due to the lower optical phonon scattering by the large SnO2 phonon energy. Moreover, the high on-current/off-current of 4 × 10(6) and the positive threshold voltage of 0.14 V at 25 °C are significantly better than those of a graphene transistor. This wide-band-gap SnO2 MOSFET exhibits high mobility in a 25-205 °C temperature range, a wide operating voltage of 1.5-20 V, and the ability to form on an amorphous substrate, rendering it an ideal candidate for multifunctional low-power integrated circuit (IC), display, and brain-mimicking three-dimensional IC applications.

  5. Silver nanowires-templated metal oxide for broadband Schottky photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patel, Malkeshkumar; Kim, Hong-Sik; Kim, Joondong, E-mail: joonkim@inu.ac.kr

    2016-04-04

    Silver nanowires (AgNWs)-templated transparent metal oxide layer was applied for Si Schottky junction device, which remarked the record fastest photoresponse of 3.4 μs. Self-operating AgNWs-templated Schottky photodetector showed broad wavelength photodetection with high responsivity (42.4 A W{sup −1}) and detectivity (2.75 × 10{sup 15} Jones). AgNWs-templated indium-tin-oxide (ITO) showed band-to-band excitation due to the internal photoemission, resulting in significant carrier collection performances. Functional metal oxide layer was formed by AgNWs-templated from ITO structure. The grown ITO above AgNWs has a cylindrical shape and acts as a thermal protector of AgNWs for high temperature environment without any deformation. We developed thermal stable AgNWs-templated transparent oxidemore » devices and demonstrated the working mechanism of AgNWs-templated Schottky devices. We may propose the high potential of hybrid transparent layer design for various photoelectric applications, including solar cells.« less

  6. Quantum Dot Sensitized Solar Cells Based on Ternary Metal Oxide Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Wenyong; Tang, Jinke; Dahnovsky, Yuri

    In Phase I of this project we investigate quantum dot sensitized solar cells (QDSSCs) based on ternary metal oxide nanowires and study the physical and chemical mechanisms that govern device operation. Our research has the following five objectives: (1) synthesis of ternary metal oxide nanowires, (2) synthesis of QDs and exploration of non-solution based QD deposition methods, (3) physical and electro-optical characterizations of fabricated solar devices, (4) device modeling and first-principle theoretical study of transport physics, and (5) investigation of long-term stability issues of QD sensitized solar cells. In Phase II of this project our first major research goal ismore » to investigate magnetically doped quantum dots and related spin polarization effect, which could improve light absorption and suppress electron relaxation in the QDs. We will utilize both physical and chemical methods to synthesize these doped QDs. We will also study magnetically modified nanowires and introduce spin-polarized transport into QDSSCs, and inspect its impact on forward electron injection and back electron transfer processes. Our second goal is to study novel solid-state electrolytes for QDSSCs. Specifically, we will inspect a new type of polymer electrolytes based on a modified polysulfide redox couple, and examine the effect of their electrical properties on QDSSC performance. These solid-state electrolytes could also be used as filler materials for in situ sample fracturing in STM and enable cross-sectional interface examination of QD/nanowire structures. Our third research goal is to examine the interfacial properties such as energy level alignment at QD/nanowire interfaces using the newly developed Cross-sectional Scanning Tunneling Microscopy and Spectroscopy technique for non-cleavable materials. This technique allows a direct probing of band structures and alignment at device interfaces, which could generate important insight into the mechanisms that govern QDSSC

  7. Design considerations and emerging challenges for nanotube-, nanowire-, and negative capacitor-field effect transistors

    NASA Astrophysics Data System (ADS)

    Wahab, Md. Abdul

    As the era of classical planar metal-oxide-semiconductor field-effect transistors (MOSFETs) comes to an end, the semiconductor industry is beginning to adopt 3D device architectures, such as FinFETs, starting at the 22 nm technology node. Since physical limits such as short channel effect (SCE) and self-heating may dominate, it may be difficult to scale Si FinFET below 10 nm. In this regard, transistors with different materials, geometries, or operating principles may help. For example, gate has excellent electrostatic control over 2D thin film channel with planar geometry, and 1D nanowire (NW) channel with gate-all-around (GAA) geometry to reduce SCE. High carrier mobility of single wall carbon nanotube (SWNT) or III-V channels may reduce VDD to reduce power consumption. Therefore, as channel of transistor, 2D thin film of array SWNTs and 1D III-V multi NWs are promising for sub 10 nm technology nodes. In this thesis, we analyze the potential of these transistors from process, performance, and reliability perspectives. For SWNT FETs, we discuss a set of challenges (such as how to (i) characterize diameter distribution, (ii) remove metallic (m)-SWNTs, and (iii) avoid electrostatic cross-talk among the neighboring SWNTs), and demonstrate solution strategies both theoretically and experimentally. Regarding self-heating in these new class of devices (SWNT FET and GAA NW FET including state-of-the-art FinFET), higher thermal resistance from poor thermal conducting oxides results significant temperature rise, and reduces the IC life-time. For GAA NW FETs, we discuss accurate self-heating evaluation with good spatial, temporal, and thermal resolutions. The introduction of negative capacitor (NC), as gate dielectric stack of transistor, allows sub 60 mV/dec operation to reduce power consumption significantly. Taken together, our work provides a comprehensive perspective regarding the challenges and opportunities of sub 10 nm technology nodes.

  8. Ballistic Spin Field Effect Transistor Based on Silicon Nanowires

    NASA Astrophysics Data System (ADS)

    Osintsev, Dmitri; Sverdlov, Viktor; Stanojevic, Zlatan; Selberherr, Siegfried

    2011-03-01

    We investigate the properties of ballistic spin field-effect transistors build on silicon nanowires. An accurate description of the conduction band based on the k . p} model is necessary in thin and narrow silicon nanostructures. The subband effective mass and subband splitting dependence on the nanowire dimensions is analyzed and used in the transport calculations. The spin transistor is formed by sandwiching the nanowire between two ferromagnetic metallic contacts. Delta-function barriers at the interfaces between the contacts and the silicon channel are introduced. The major contribution to the electric field-dependent spin-orbit interaction in confined silicon systems is due to the interface-induced inversion asymmetry which is of the Dresselhaus type. We study the current and conductance through the system for the contacts being in parallel and anti-parallel configurations. Differences between the [100] and [110] orientated structures are investigated in details. This work is supported by the European Research Council through the grant #247056 MOSILSPIN.

  9. Chip-scale fluorescence microscope based on a silo-filter complementary metal-oxide semiconductor image sensor.

    PubMed

    Ah Lee, Seung; Ou, Xiaoze; Lee, J Eugene; Yang, Changhuei

    2013-06-01

    We demonstrate a silo-filter (SF) complementary metal-oxide semiconductor (CMOS) image sensor for a chip-scale fluorescence microscope. The extruded pixel design with metal walls between neighboring pixels guides fluorescence emission through the thick absorptive filter to the photodiode of a pixel. Our prototype device achieves 13 μm resolution over a wide field of view (4.8 mm × 4.4 mm). We demonstrate bright-field and fluorescence longitudinal imaging of living cells in a compact, low-cost configuration.

  10. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  11. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  12. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  13. CMOS array design automation techniques. [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Ramondetta, P.; Feller, A.; Noto, R.; Lombardi, T.

    1975-01-01

    A low cost, quick turnaround technique for generating custom metal oxide semiconductor arrays using the standard cell approach was developed, implemented, tested and validated. Basic cell design topology and guidelines are defined based on an extensive analysis that includes circuit, layout, process, array topology and required performance considerations particularly high circuit speed.

  14. Origin of the performances degradation of two-dimensional-based metal-oxide-semiconductor field effect transistors in the sub-10 nm regime: A first-principles study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Anh Khoa Augustin; IMEC, 75 Kapeldreef, B-3001 Leuven; Pourtois, Geoffrey

    2016-01-25

    The impact of the scaling of the channel length on the performances of metal-oxide-semiconductor field effect transistors, based on two-dimensional (2D) channel materials, is theoretically investigated, using density functional theory combined with the non-equilibrium Green's function method. It is found that the scaling of the channel length below 10 nm leads to strong device performance degradations. Our simulations reveal that this degradation is essentially due to the tunneling current flowing between the source and the drain in these aggressively scaled devices. It is shown that this electron tunneling process is modulated by the effective mass of the 2D channel material, andmore » sets the limit of the scaling in future transistor designs.« less

  15. Memory effects in a Al/Ti:HfO2/CuPc metal-oxide-semiconductor device

    NASA Astrophysics Data System (ADS)

    Tripathi, Udbhav; Kaur, Ramneek

    2016-05-01

    Metal oxide semiconductor structured organic memory device has been successfully fabricated. Ti doped hafnium oxide (Ti:HfO2) nanoparticles has been fabricated by precipitation method and further calcinated at 800 °C. Copper phthalocyanine, a hole transporting material has been utilized as an organic semiconductor. The electrical properties of the fabricated device have been studied by measuring the current-voltage and capacitance-voltage characteristics. The amount of charge stored in the nanoparticles has been calculated by using flat band condition. This simple approach for fabricating MOS memory device has opens up opportunities for the development of next generation memory devices.

  16. Spatially resolved Hall effect measurement in a single semiconductor nanowire.

    PubMed

    Storm, Kristian; Halvardsson, Filip; Heurlin, Magnus; Lindgren, David; Gustafsson, Anders; Wu, Phillip M; Monemar, Bo; Samuelson, Lars

    2012-11-01

    Efficient light-emitting diodes and photovoltaic energy-harvesting devices are expected to play an important role in the continued efforts towards sustainable global power consumption. Semiconductor nanowires are promising candidates as the active components of both light-emitting diodes and photovoltaic cells, primarily due to the added freedom in device design offered by the nanowire geometry. However, for nanowire-based components to move past the proof-of-concept stage and be implemented in production-grade devices, it is necessary to precisely quantify and control fundamental material properties such as doping and carrier mobility. Unfortunately, the nanoscale geometry that makes nanowires interesting for applications also makes them inherently difficult to characterize. Here, we report a method to carry out Hall measurements on single core-shell nanowires. Our technique allows spatially resolved and quantitative determination of the carrier concentration and mobility of the nanowire shell. As Hall measurements have previously been completely unavailable for nanowires, the experimental platform presented here should facilitate the implementation of nanowires in advanced practical devices.

  17. Thin film three-dimensional topological insulator metal-oxide-semiconductor field-effect-transistors: A candidate for sub-10 nm devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akhavan, N. D., E-mail: nima.dehdashti@uwa.edu.au; Jolley, G.; Umana-Membreno, G. A.

    2014-08-28

    Three-dimensional (3D) topological insulators (TI) are a new state of quantum matter in which surface states reside in the bulk insulating energy bandgap and are protected by time-reversal symmetry. It is possible to create an energy bandgap as a consequence of the interaction between the conduction band and valence band surface states from the opposite surfaces of a TI thin film, and the width of the bandgap can be controlled by the thin film thickness. The formation of an energy bandgap raises the possibility of thin-film TI-based metal-oxide-semiconductor field-effect-transistors (MOSFETs). In this paper, we explore the performance of MOSFETs basedmore » on thin film 3D-TI structures by employing quantum ballistic transport simulations using the effective continuous Hamiltonian with fitting parameters extracted from ab-initio calculations. We demonstrate that thin film transistors based on a 3D-TI structure provide similar electrical characteristics compared to a Si-MOSFET for gate lengths down to 10 nm. Thus, such a device can be a potential candidate to replace Si-based MOSFETs in the sub-10 nm regime.« less

  18. Metal Oxide Nanowire Preparation and Their Integration into Chemical Sensing Devices at the SENSOR Lab in Brescia

    PubMed Central

    Bertuna, Angela; Faglia, Guido; Ferroni, Matteo; Kaur, Navpreet; Munasinghe Arachchige, Hashitha M. M.; Sberveglieri, Giorgio; Comini, Elisabetta

    2017-01-01

    Metal oxide 1D nanowires are probably the most promising structures to develop cheap stable and selective chemical sensors. The purpose of this contribution is to review almost two-decades of research activity at the Sensor Lab Brescia on their preparation during by vapor solid (n-type In2O3, ZnO), vapor liquid solid (n-type SnO2 and p-type NiO) and thermal evaporation and oxidation (n-type ZnO, WO3 and p-type CuO) methods. For each material we’ve assessed the chemical sensing performance in relation to the preparation conditions and established a rank in the detection of environmental and industrial pollutants: SnO2 nanowires were effective in DMMP detection, ZnO nanowires in NO2, acetone and ethanol detection, WO3 for ammonia and CuO for ozone. PMID:28468310

  19. Piezo-Phototronic Matrix via a Nanowire Array.

    PubMed

    Zhang, Yang; Zhai, Junyi; Wang, Zhong Lin

    2017-12-01

    Piezoelectric semiconductors, such as ZnO and GaN, demonstrate multiproperty coupling effects toward various aspects of mechanical, electrical, and optical excitation. In particular, the three-way coupling among semiconducting, photoexcitation, and piezoelectric characteristics in wurtzite-structured semiconductors is established as a new field, which was first coined as piezo-phototronics by Wang in 2010. The piezo-phototronic effect can controllably modulate the charge-carrier generation, separation, transport, and/or recombination in optical-electronic processes by modifying the band structure at the metal-semiconductor or semiconductor-semiconductor heterojunction/interface. Here, the progress made in using the piezo-phototronic effect for enhancing photodetectors, pressure sensors, light-emitting diodes, and solar cells is reviewed. In comparison with previous works on a single piezoelectric semiconducting nanowire, piezo-phototronic nanodevices built using nanowire arrays provide a promising platform for fabricating integrated optoelectronics with the realization of high-spatial-resolution imaging and fast responsivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Co-percolation to tune conductive behaviour in dynamical metallic nanowire networks.

    PubMed

    Fairfield, J A; Rocha, C G; O'Callaghan, C; Ferreira, M S; Boland, J J

    2016-11-03

    Nanowire networks act as self-healing smart materials, whose sheet resistance can be tuned via an externally applied voltage stimulus. This memristive response occurs due to modification of junction resistances to form a connectivity path across the lowest barrier junctions in the network. While most network studies have been performed on expensive noble metal nanowires like silver, networks of inexpensive nickel nanowires with a nickel oxide coating can also demonstrate resistive switching, a common feature of metal oxides with filamentary conduction. However, networks made from solely nickel nanowires have high operation voltages which prohibit large-scale material applications. Here we show, using both experiment and simulation, that a heterogeneous network of nickel and silver nanowires allows optimization of the activation voltage, as well as tuning of the conduction behavior to be either resistive switching, memristive, or a combination of both. Small percentages of silver nanowires, below the percolation threshold, induce these changes in electrical behaviour, even for low area coverage and hence very transparent films. Silver nanowires act as current concentrators, amplifying conductivity locally as shown in our computational dynamical activation framework for networks of junctions. These results demonstrate that a heterogeneous nanowire network can act as a cost-effective adaptive material with minimal use of noble metal nanowires, without losing memristive behaviour that is essential for smart sensing and neuromorphic applications.

  1. Biomolecular detection using a metal semiconductor field effect transistor

    NASA Astrophysics Data System (ADS)

    Estephan, Elias; Saab, Marie-Belle; Buzatu, Petre; Aulombard, Roger; Cuisinier, Frédéric J. G.; Gergely, Csilla; Cloitre, Thierry

    2010-04-01

    In this work, our attention was drawn towards developing affinity-based electrical biosensors, using a MESFET (Metal Semiconductor Field Effect Transistor). Semiconductor (SC) surfaces must be prepared before the incubations with biomolecules. The peptides route was adapted to exceed and bypass the limits revealed by other types of surface modification due to the unwanted unspecific interactions. As these peptides reveal specific recognition of materials, then controlled functionalization can be achieved. Peptides were produced by phage display technology using a library of M13 bacteriophage. After several rounds of bio-panning, the phages presenting affinities for GaAs SC were isolated; the DNA of these specific phages were sequenced, and the peptide with the highest affinity was synthesized and biotinylated. To explore the possibility of electrical detection, the MESFET fabricated with the GaAs SC were used to detect the streptavidin via the biotinylated peptide in the presence of the bovine Serum Albumin. After each surface modification step, the IDS (current between the drain and the source) of the transistor was measured and a decrease in the intensity was detected. Furthermore, fluorescent microscopy was used in order to prove the specificity of this peptide and the specific localisation of biomolecules. In conclusion, the feasibility of producing an electrical biosensor using a MESFET has been demonstrated. Controlled placement, specific localization and detection of biomolecules on a MESFET transistor were achieved without covering the drain and the source. This method of functionalization and detection can be of great utility for biosensing application opening a new way for developing bioFETs (Biomolecular Field-Effect Transistor).

  2. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  3. Multi-frequency inversion-charge pumping for charge separation and mobility analysis in high-k/InGaAs metal-oxide-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Djara, V.; Cherkaoui, K.; Negara, M. A.

    2015-11-28

    An alternative multi-frequency inversion-charge pumping (MFICP) technique was developed to directly separate the inversion charge density (N{sub inv}) from the trapped charge density in high-k/InGaAs metal-oxide-semiconductor field-effect transistors (MOSFETs). This approach relies on the fitting of the frequency response of border traps, obtained from inversion-charge pumping measurements performed over a wide range of frequencies at room temperature on a single MOSFET, using a modified charge trapping model. The obtained model yielded the capture time constant and density of border traps located at energy levels aligned with the InGaAs conduction band. Moreover, the combination of MFICP and pulsed I{sub d}-V{sub g}more » measurements enabled an accurate effective mobility vs N{sub inv} extraction and analysis. The data obtained using the MFICP approach are consistent with the most recent reports on high-k/InGaAs.« less

  4. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  5. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  6. Highly effective field-effect mobility amorphous InGaZnO TFT mediated by directional silver nanowire arrays.

    PubMed

    Liu, Hung-Chuan; Lai, Yi-Chun; Lai, Chih-Chung; Wu, Bing-Shu; Zan, Hsiao-Wen; Yu, Peichen; Chueh, Yu-Lun; Tsai, Chuang-Chuang

    2015-01-14

    In this work, we demonstrate sputtered amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a record high effective field-effect mobility of 174 cm(2)/V s by incorporating silver nanowire (AgNW) arrays to channel electron transport. Compared to the reference counterpart without nanowires, the over 5-fold enhancement in the effective field-effect mobility exhibits clear dependence on the orientation as well as the surface coverage ratio of silver nanowires. Detailed material and device analyses reveal that during the room-temperature IGZO sputtering indium and oxygen diffuse into the nanowire matrix while the nanowire morphology and good contact between IGZO and nanowires are maintained. The unchanged morphology and good interfacial contact lead to high mobility and air-ambient-stable characteristics up to 3 months. Neither hysteresis nor degraded bias stress reliability is observed. The proposed AgNW-mediated a-IGZO TFTs are promising for development of large-scale, flexible, transparent electronics.

  7. Nature of magnetization and lateral spin-orbit interaction in gated semiconductor nanowires.

    PubMed

    Karlsson, H; Yakimenko, I I; Berggren, K-F

    2018-05-31

    Semiconductor nanowires are interesting candidates for realization of spintronics devices. In this paper we study electronic states and effects of lateral spin-orbit coupling (LSOC) in a one-dimensional asymmetrically biased nanowire using the Hartree-Fock method with Dirac interaction. We have shown that spin polarization can be triggered by LSOC at finite source-drain bias,as a result of numerical noise representing a random magnetic field due to wiring or a random background magnetic field by Earth magnetic field, for instance. The electrons spontaneously arrange into spin rows in the wire due to electron interactions leading to a finite spin polarization. The direction of polarization is, however, random at zero source-drain bias. We have found that LSOC has an effect on orientation of spin rows only in the case when source-drain bias is applied.

  8. Nature of magnetization and lateral spin–orbit interaction in gated semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Karlsson, H.; Yakimenko, I. I.; Berggren, K.-F.

    2018-05-01

    Semiconductor nanowires are interesting candidates for realization of spintronics devices. In this paper we study electronic states and effects of lateral spin–orbit coupling (LSOC) in a one-dimensional asymmetrically biased nanowire using the Hartree–Fock method with Dirac interaction. We have shown that spin polarization can be triggered by LSOC at finite source-drain bias,as a result of numerical noise representing a random magnetic field due to wiring or a random background magnetic field by Earth magnetic field, for instance. The electrons spontaneously arrange into spin rows in the wire due to electron interactions leading to a finite spin polarization. The direction of polarization is, however, random at zero source-drain bias. We have found that LSOC has an effect on orientation of spin rows only in the case when source-drain bias is applied.

  9. Statistical analysis of relationship between negative-bias temperature instability and random telegraph noise in small p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Tega, Naoki; Miki, Hiroshi; Mine, Toshiyuki; Ohmori, Kenji; Yamada, Keisaku

    2014-03-01

    It is demonstrated from a statistical perspective that the generation of random telegraph noise (RTN) changes before and after the application of negative-bias temperature instability (NBTI) stress. The NBTI stress generates a large number of permanent interface traps and, at the same time, a large number of RTN traps causing temporary RTN and one-time RTN. The interface trap and the RTN trap show different features in the recovery process. That is, a re-passivation of interface states is the minor cause of the recovery after the NBTI stress, and in contrast, rapid disappearance of the temporary RTN and the one-time RTN is the main cause of the recovery. The RTN traps are less likely to become permanent. This two-type trap, namely, the interface trap and RTN trap, model simply explains NBTI degradation and recovery in scaled p-channel metal-oxide-semiconductor field-effect transistors.

  10. Formation of tungsten oxide nanowires by ion irradiation and vacuum annealing

    NASA Astrophysics Data System (ADS)

    Zheng, Xu-Dong; Ren, Feng; Wu, Heng-Yi; Qin, Wen-Jing; Jiang, Chang-Zhong

    2018-04-01

    Here we reported the fabrication of tungsten oxide (WO3-x ) nanowires by Ar+ ion irradiation of WO3 thin films followed by annealing in vacuum. The nanowire length increases with increasing irradiation fluence and with decreasing ion energy. We propose that the stress-driven diffusion of the irradiation-induced W interstitial atoms is responsible for the formation of the nanowires. Comparing to the pristine film, the fabricated nanowire film shows a 106-fold enhancement in electrical conductivity, resulting from the high-density irradiation-induced vacancies on the oxygen sublattice. The nanostructure exhibits largely enhanced surface-enhanced Raman scattering effect due to the oxygen vacancy. Thus, ion irradiation provides a powerful approach for fabricating and tailoring the surface nanostructures of semiconductors.

  11. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  12. Native oxide formation on pentagonal copper nanowires: A TEM study

    NASA Astrophysics Data System (ADS)

    Hajimammadov, Rashad; Mohl, Melinda; Kordas, Krisztian

    2018-06-01

    Hydrothermally synthesized copper nanowires were allowed to oxidize in air at room temperature and 30% constant humidity for the period of 22 days. The growth of native oxide layer was followed up by high-resolution transmission electron microscopy and diffraction to reveal and understand the kinetics of the oxidation process. Copper oxides appear in the form of differently oriented crystalline phases around the metallic core as a shell-like layer (Cu2O) and as nanoscopic islands (CuO) on the top of that. Time dependent oxide thickness data suggests that oxidation follows the field-assisted growth model at the beginning of the process, as practically immediately an oxide layer of ∼2.8 nm thickness develops on the surface. However, after this initial rapid growth, the local field attenuates and the classical parabolic diffusion limited growth plays the main role in the oxidation. Because of the single crystal facets on the side surface of penta-twinned Cu nanowires, the oxidation rate in the diffusion limited regime is lower than in polycrystalline films.

  13. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    NASA Astrophysics Data System (ADS)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  14. Welding of Semiconductor Nanowires by Coupling Laser-Induced Peening and Localized Heating.

    PubMed

    Rickey, Kelly M; Nian, Qiong; Zhang, Genqiang; Chen, Liangliang; Suslov, Sergey; Bhat, S Venkataprasad; Wu, Yue; Cheng, Gary J; Ruan, Xiulin

    2015-11-03

    We demonstrate that laser peening coupled with sintering of CdTe nanowire films substantially enhances film quality and charge transfer while largely maintaining basic particle morphology. During the laser peening phase, a shockwave is used to compress the film. Laser sintering comprises the second step, where a nanosecond pulse laser beam welds the nanowires. Microstructure, morphology, material content, and electrical conductivities of the films are characterized before and after treatment. The morphology results show that laser peening can decrease porosity and bring nanowires into contact, and pulsed laser heating fuses those contacts. Multiphysics simulations coupling electromagnetic and heat transfer modules demonstrate that during pulsed laser heating, local EM field enhancement is generated specifically around the contact areas between two semiconductor nanowires, indicating localized heating. The characterization results indicate that solely laser peening or sintering can only moderately improve the thin film quality; however, when coupled together as laser peen sintering (LPS), the electrical conductivity enhancement is dramatic. LPS can decrease resistivity up to a factor of ~10,000, resulting in values on the order of ~10(5) Ω-cm in some cases, which is comparable to CdTe thin films. Our work demonstrates that LPS is an effective processing method to obtain high-quality semiconductor nanocrystal films.

  15. Welding of Semiconductor Nanowires by Coupling Laser-Induced Peening and Localized Heating

    PubMed Central

    Rickey, Kelly M.; Nian, Qiong; Zhang, Genqiang; Chen, Liangliang; Suslov, Sergey; Bhat, S. Venkataprasad; Wu, Yue; Cheng, Gary J.; Ruan, Xiulin

    2015-01-01

    We demonstrate that laser peening coupled with sintering of CdTe nanowire films substantially enhances film quality and charge transfer while largely maintaining basic particle morphology. During the laser peening phase, a shockwave is used to compress the film. Laser sintering comprises the second step, where a nanosecond pulse laser beam welds the nanowires. Microstructure, morphology, material content, and electrical conductivities of the films are characterized before and after treatment. The morphology results show that laser peening can decrease porosity and bring nanowires into contact, and pulsed laser heating fuses those contacts. Multiphysics simulations coupling electromagnetic and heat transfer modules demonstrate that during pulsed laser heating, local EM field enhancement is generated specifically around the contact areas between two semiconductor nanowires, indicating localized heating. The characterization results indicate that solely laser peening or sintering can only moderately improve the thin film quality; however, when coupled together as laser peen sintering (LPS), the electrical conductivity enhancement is dramatic. LPS can decrease resistivity up to a factor of ~10,000, resulting in values on the order of ~105 Ω-cm in some cases, which is comparable to CdTe thin films. Our work demonstrates that LPS is an effective processing method to obtain high-quality semiconductor nanocrystal films. PMID:26527570

  16. Comparative analysis of breakdown mechanism in thin SiO2 oxide films in metal-oxide-semiconductor structures under the action of heavy charged particles and a pulsed voltage

    NASA Astrophysics Data System (ADS)

    Zinchenko, V. F.; Lavrent'ev, K. V.; Emel'yanov, V. V.; Vatuev, A. S.

    2016-02-01

    Regularities in the breakdown of thin SiO2 oxide films in metal-oxide-semiconductors structures of power field-effect transistors under the action of single heavy charged particles and a pulsed voltage are studied experimentally. Using a phenomenological approach, we carry out comparative analysis of physical mechanisms and energy criteria of the SiO2 breakdown in extreme conditions of excitation of the electron subsystem in the subpicosecond time range.

  17. Vertical InAs nanowire wrap gate transistors with f(t) > 7 GHz and f(max) > 20 GHz.

    PubMed

    Egard, M; Johansson, S; Johansson, A-C; Persson, K-M; Dey, A W; Borg, B M; Thelander, C; Wernersson, L-E; Lind, E

    2010-03-10

    In this letter we report on high-frequency measurements on vertically standing III-V nanowire wrap-gate MOSFETs (metal-oxide-semiconductor field-effect transistors). The nanowire transistors are fabricated from InAs nanowires that are epitaxially grown on a semi-insulating InP substrate. All three terminals of the MOSFETs are defined by wrap around contacts. This makes it possible to perform high-frequency measurements on the vertical InAs MOSFETs. We present S-parameter measurements performed on a matrix consisting of 70 InAs nanowire MOSFETs, which have a gate length of about 100 nm. The highest unity current gain cutoff frequency, f(t), extracted from these measurements is 7.4 GHz and the maximum frequency of oscillation, f(max), is higher than 20 GHz. This demonstrates that this is a viable technique for fabricating high-frequency integrated circuits consisting of vertical nanowires.

  18. Negative bias-and-temperature stress-assisted activation of oxygen-vacancy hole traps in 4H-silicon carbide metal-oxide-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ettisserry, D. P., E-mail: deva@umd.edu, E-mail: neil@umd.edu; Goldsman, N., E-mail: deva@umd.edu, E-mail: neil@umd.edu; Akturk, A.

    We use hybrid-functional density functional theory-based Charge Transition Levels (CTLs) to study the electrical activity of near-interfacial oxygen vacancies located in the oxide side of 4H-Silicon Carbide (4H-SiC) power Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs). Based on the “amorphousness” of their local atomic environment, oxygen vacancies are shown to introduce their CTLs either within (permanently electrically active) or outside of (electrically inactive) the 4H-SiC bandgap. The “permanently electrically active” centers are likely to cause threshold voltage (V{sub th}) instability at room temperature. On the other hand, we show that the “electrically inactive” defects could be transformed into various “electrically active” configurations undermore » simultaneous application of negative bias and high temperature stresses. Based on this observation, we present a model for plausible oxygen vacancy defects that could be responsible for the recently observed excessive worsening of V{sub th} instability in 4H-SiC power MOSFETs under high temperature-and-gate bias stress. This model could also explain the recent electrically detected magnetic resonance observations in 4H-SiC MOSFETs.« less

  19. Electromagnetic field redistribution induced selective plasmon driven surface catalysis in metal nanowire-film systems

    PubMed Central

    Pan, Liang; Huang, Yingzhou; Yang, Yanna; Xiong, Wen; Chen, Guo; Su, Xun; Wei, Hua; Wang, Shuxia; Wen, Weijia

    2015-01-01

    For the novel interpretation of Raman spectrum from molecule at metal surface, the plasmon driven surface catalysis (PDSC) reactions have become an interesting topic in the research field of surface enhanced Raman scattering (SERS). In this work, the selective PDSC reactions of p,p’-dimercaptoazobenzene (DMAB) produced from para-aminothiophenol (PATP) or 4-nitrobenzenethiol (4NBT) were demonstrated in the Ag nanowires dimer-Au film systems. The different SERS spectra collected at individual part and adjacent part of the same nanowire-film system pointed out the importance of the electromagnetic field redistribution induced by image charge on film in this selective surface catalysis, which was confirmed by the simulated electromagnetic simulated electro- magnetic field distributions. Our result indicated this electromagnetic field redistribution induced selective surface catalysis was largely affected by the polarization and wavelength of incident light but slightly by the difference in diameters between two nanowires. Our work provides a further understanding of PDSC reaction in metal nanostructure and could be a deep support for the researches on surface catalysis and surface analysis. PMID:26601698

  20. Effect of Crossing Geometry on the Plasmonic Behavior of Dielectric Core/Metal Sheath Nanowires

    DTIC Science & Technology

    2009-03-01

    uniform SERS substrate. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation of pure Ga metal in a vacuum tube furnace.14 The...equations.15 We have previously shown that Ga2O3 /Ag nanowire composites can be very efficient SERS substrates,11,12 and we suggested that the nanowire...detail, we used these Au lithographically produced lines, combined with the placement of individual Ga2O3 /Ag nanowires in specific crossing angles

  1. Semiconductor quantum dot super-emitters: spontaneous emission enhancement combined with suppression of defect environment using metal-oxide plasmonic metafilms

    NASA Astrophysics Data System (ADS)

    Sadeghi, Seyed M.; Wing, Waylin J.; Gutha, Rithvik R.; Sharp, Christina

    2018-01-01

    We demonstrate that a metal-oxide plasmonic metafilm consisting of a Si/Al oxide junction in the vicinity of a thin gold layer can quarantine excitons in colloidal semiconductor quantum dots against their defect environments. This process happens while the plasmon fields of the gold layer enhance spontaneous emission decay rates of the quantum dots. We study the emission dynamics of such quantum dots when the distance between the Si/Al oxide junction and the gold thin layer is varied. The results show that for distances less than a critical value the lifetime of the quantum dots can be elongated while they experience intense plasmon fields. This suggests that the metal-oxide metafilm can keep photo-excited electrons in the cores of the quantum dots, suppressing their migration to the surface defect sites. This leads to suppression of Auger recombination, offering quantum dot super-emitters with emission that is enhanced not only by the plasmon fields (Purcell effect), but also by strong suppression of the non-radiative decay caused by the defect sites.

  2. Interface trap and oxide charge generation under negative bias temperature instability of p-channel metal-oxide-semiconductor field-effect transistors with ultrathin plasma-nitrided SiON gate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu Shiyang; Nakajima, Anri; Ohashi, Takuo

    2005-12-01

    The interface trap generation ({delta}N{sub it}) and fixed oxide charge buildup ({delta}N{sub ot}) under negative bias temperature instability (NBTI) of p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with ultrathin (2 nm) plasma-nitrided SiON gate dielectrics were studied using a modified direct-current-current-voltage method and a conventional subthreshold characteristic measurement. Different stress time dependences were shown for {delta}N{sub it} and {delta}N{sub ot}. At the earlier stress times, {delta}N{sub it} dominates the threshold voltage shift ({delta}V{sub th}) and {delta}N{sub ot} is negligible. With increasing stress time, the rate of increase of {delta}N{sub it} decreases continuously, showing a saturating trend for longer stress times, while {delta}N{submore » ot} still has a power-law dependence on stress time so that the relative contribution of {delta}N{sub ot} increases. The thermal activation energy of {delta}N{sub it} and the NBTI lifetime of pMOSFETs, compared at a given stress voltage, are independent of the peak nitrogen concentration of the SiON film. This indicates that plasma nitridation is a more reliable method for incorporating nitrogen in the gate oxide.« less

  3. Advances in nanowire bioelectronics

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Dai, Xiaochuan; Lieber, Charles M.

    2017-01-01

    Semiconductor nanowires represent powerful building blocks for next generation bioelectronics given their attractive properties, including nanometer-scale footprint comparable to subcellular structures and bio-molecules, configurable in nonstandard device geometries readily interfaced with biological systems, high surface-to-volume ratios, fast signal responses, and minimum consumption of energy. In this review article, we summarize recent progress in the field of nanowire bioelectronics with a focus primarily on silicon nanowire field-effect transistor biosensors. First, the synthesis and assembly of semiconductor nanowires will be described, including the basics of nanowire FETs crucial to their configuration as biosensors. Second, we will introduce and review recent results in nanowire bioelectronics for biomedical applications ranging from label-free sensing of biomolecules, to extracellular and intracellular electrophysiological recording.

  4. Hierarchical Assembly of Multifunctional Oxide-based Composite Nanostructures for Energy and Environmental Applications

    PubMed Central

    Gao, Pu-Xian; Shimpi, Paresh; Gao, Haiyong; Liu, Caihong; Guo, Yanbing; Cai, Wenjie; Liao, Kuo-Ting; Wrobel, Gregory; Zhang, Zhonghua; Ren, Zheng; Lin, Hui-Jan

    2012-01-01

    Composite nanoarchitectures represent a class of nanostructured entities that integrates various dissimilar nanoscale building blocks including nanoparticles, nanowires, and nanofilms toward realizing multifunctional characteristics. A broad array of composite nanoarchitectures can be designed and fabricated, involving generic materials such as metal, ceramics, and polymers in nanoscale form. In this review, we will highlight the latest progress on composite nanostructures in our research group, particularly on various metal oxides including binary semiconductors, ABO3-type perovskites, A2BO4 spinels and quaternary dielectric hydroxyl metal oxides (AB(OH)6) with diverse application potential. Through a generic template strategy in conjunction with various synthetic approaches— such as hydrothermal decomposition, colloidal deposition, physical sputtering, thermal decomposition and thermal oxidation, semiconductor oxide alloy nanowires, metal oxide/perovskite (spinel) composite nanowires, stannate based nanocompostes, as well as semiconductor heterojunction—arrays and networks have been self-assembled in large scale and are being developed as promising classes of composite nanoarchitectures, which may open a new array of advanced nanotechnologies in solid state lighting, solar absorption, photocatalysis and battery, auto-emission control, and chemical sensing. PMID:22837702

  5. Atomically engineered epitaxial anatase TiO 2 metal-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki

    Here, anatase TiO 2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO 2 and LaAlO 3 (001), which arises for LaO-terminated LaAlO 3, while the AlO 2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a highmore » field-effect mobility μ FE of 3.14 cm 2 (V s) –1 approaching 98% of the corresponding Hall mobility μ Hall. Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ~4 V.« less

  6. Atomically engineered epitaxial anatase TiO 2 metal-semiconductor field-effect transistors

    DOE PAGES

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki; ...

    2018-03-26

    Here, anatase TiO 2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO 2 and LaAlO 3 (001), which arises for LaO-terminated LaAlO 3, while the AlO 2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a highmore » field-effect mobility μ FE of 3.14 cm 2 (V s) –1 approaching 98% of the corresponding Hall mobility μ Hall. Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ~4 V.« less

  7. Atomically engineered epitaxial anatase TiO2 metal-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki; Bell, Christopher; Hwang, Harold Y.

    2018-03-01

    Anatase TiO2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO2 and LaAlO3 (001), which arises for LaO-terminated LaAlO3, while the AlO2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a high field-effect mobility μ FE of 3.14 cm2 (V s)-1 approaching 98% of the corresponding Hall mobility μ Hall . Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ˜4 V.

  8. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  9. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  10. Compositional disorder and its effect on the thermoelectric performance of Zn₃P₂ nanowire-copper nanoparticle composites.

    PubMed

    Brockway, Lance; Vasiraju, Venkata; Vaddiraju, Sreeram

    2014-03-28

    Recent studies indicated that nanowire format of materials is ideal for enhancing the thermoelectric performance of materials. Most of these studies were performed using individual nanowires as the test elements. It is not currently clear whether bulk assemblies of nanowires replicate this enhanced thermoelectric performance of individual nanowires. Therefore, it is imperative to understand whether enhanced thermoelectric performance exhibited by individual nanowires can be extended to bulk assemblies of nanowires. It is also imperative to know whether the addition of metal nanoparticle to semiconductor nanowires can be employed for enhancing their thermoelectric performance further. Specifically, it is important to understand the effect of microstructure and composition on the thermoelectric performance on bulk compound semiconductor nanowire-metal nanoparticle composites. In this study, bulk composites composed of mixtures of copper nanoparticles with either unfunctionalized or 1,4-benzenedithiol (BDT) functionalized Zn₃P₂ nanowires were fabricated and analyzed for their thermoelectric performance. The results indicated that use of BDT functionalized nanowires for the fabrication of composites leads to interface-engineered composites that have uniform composition all across their cross-section. The interface engineering allows for increasing their Seebeck coefficients and electrical conductivities, relative to the Zn₃P₂ nanowire pellets. In contrast, the use of unfunctionalized Zn₃P₂ nanowires for the fabrication of composite leads to the formation of composites that are non-uniform in composition across their cross-section. Ultimately, the composites were found to have Zn₃P₂ nanowires interspersed with metal alloy nanoparticles. Such non-uniform composites exhibited very high electrical conductivities, but slightly lower Seebeck coefficients, relative to Zn₃P₂ nanowire pellets. These composites were found to show a very high zT of 0.23 at 770

  11. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    PubMed

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  12. Highly stable field emission from ZnO nanowire field emitters controlled by an amorphous indium–gallium–zinc-oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Li, Xiaojie; Wang, Ying; Zhang, Zhipeng; Ou, Hai; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-04-01

    Lowering the driving voltage and improving the stability of nanowire field emitters are essential for them to be applied in devices. In this study the characteristics of zinc oxide (ZnO) nanowire field emitter arrays (FEAs) controlled by an amorphous indium–gallium–zinc-oxide thin film transistor (a-IGZO TFT) were studied. A low driving voltage along with stabilization of the field emission current were achieved. Modulation of field emission currents up to three orders of magnitude was achieved at a gate voltage of 0–32 V for a constant anode voltage. Additionally, a-IGZO TFT control can dramatically reduce the emission current fluctuation (i.e., from 46.11 to 1.79% at an emission current of ∼3.7 µA). Both the a-IGZO TFT and ZnO nanowire FEAs were prepared on glass substrates in our research, demonstrating the feasibility of realizing large area a-IGZO TFT-controlled ZnO nanowire FEAs.

  13. High-quality metal oxide core/shell nanowire arrays on conductive substrates for electrochemical energy storage.

    PubMed

    Xia, Xinhui; Tu, Jiangping; Zhang, Yongqi; Wang, Xiuli; Gu, Changdong; Zhao, Xin-Bing; Fan, Hong Jin

    2012-06-26

    The high performance of a pseudocapacitor electrode relies largely on a scrupulous design of nanoarchitectures and smart hybridization of bespoke active materials. We present a powerful two-step solution-based method for the fabrication of transition metal oxide core/shell nanostructure arrays on various conductive substrates. Demonstrated examples include Co(3)O(4) or ZnO nanowire core and NiO nanoflake shells with a hierarchical and porous morphology. The "oriented attachment" and "self-assembly" crystal growth mechanisms are proposed to explain the formation of the NiO nanoflake shell. Supercapacitor electrodes based on the Co(3)O(4)/NiO nanowire arrays on 3D macroporous nickel foam are thoroughly characterized. The electrodes exhibit a high specific capacitance of 853 F/g at 2 A/g after 6000 cycles and an excellent cycling stability, owing to the unique porous core/shell nanowire array architecture, and a rational combination of two electrochemically active materials. Our growth approach offers a new technique for the design and synthesis of transition metal oxide or hydroxide hierarchical nanoarrays that are promising for electrochemical energy storage, catalysis, and gas sensing applications.

  14. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  15. Electronic transport behavior of diameter-graded Ag nanowires

    NASA Astrophysics Data System (ADS)

    Wang, Xue Wei; Yuan, Zhi Hao

    2010-05-01

    Ag nanowires with a graded diameter in anodic aluminum oxide (AAO) membranes were fabricated by the direct-current electrodeposition. The Ag nanowires have a graded-change in diameter from 8 to 32 nm, which is matched with the graded-change of the AAO pore diameter. Electronic transport measurements show that there is a transport behavior similar to that of a metal-semiconductor junction along the axial direction in the diameter-graded Ag nanowires. Such a novel homogeneous nanojunction will be of great fundamental and practical significance.

  16. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  17. Nanophotonic Hot Electron Solar-Blind Ultraviolet Detectors with a Metal-Oxide-Semiconductor Structure

    NASA Astrophysics Data System (ADS)

    Wang, Zhiyuan

    Solar-blind ultraviolet detection refers to photon detection specifically in the wavelength range of 200 nm to 320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. In this thesis, we design and fabricate a nanophotonic metal-oxide-semiconductor device for solar-blind UV detection. Instead of using semiconductors as the active absorber, we use metal Sn nano- grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between metal and semiconductor region upon UV excitation. The large metal/oxide interfacial energy barrier enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, 85% UV absorption and hot electron excitation can be achieved within the mean free path of 20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. Various fabrication techniques have been developed for preparing nano gratings. For nominally 20 nm-thick deposited Sn, the self- formed pseudo-periodic nanostructure help achieve 75% UV absorption from lambda=200 nm to 300 nm. With another layer of nominally 20 nm-thick Sn, similar UV absorption is maintained while conductivity is improved, which is beneficial for overall device efficiency. The Sn/SiO2/Si MOS devices show good solar-blind character while achieving 13% internal quantum efficiency for 260 nm UV with only 20 nm-thick Sn and some devices demonstrate much higher (even >100%) internal quantum efficiency. While a more accurate estimation of device effective area is needed for proving our calculation, these results indeed show a great potential for this type of hot-electron-based photodetectors and for Sn nanostructure as an effective UV absorber. The simple geometry of the self- assembled Sn

  18. Extraordinary Magnetic Field Enhancement with Metallic Nanowire: Role of Surface Impedance in Babinet's Principle for Sub-Skin-Depth Regime

    NASA Astrophysics Data System (ADS)

    Koo, Sukmo; Kumar, M. Sathish; Shin, Jonghwa; Kim, Daisik; Park, Namkyoo

    2009-12-01

    We propose and analyze the “complementary” structure of a metallic nanogap, namely, the metallic nanowire for magnetic field enhancement. A huge enhancement of the field up to a factor of 300 was achieved. Introducing the surface impedance concept, we also develop and numerically confirm a new analytic theory which successfully predicts the field enhancement factors for metal nanostructures. Compared to the predictions of the classical Babinet principle applied to a nanogap, an order of magnitude difference in the field enhancement factor was observed for the sub-skin-depth regime nanowire.

  19. Review on the dynamics of semiconductor nanowire lasers

    NASA Astrophysics Data System (ADS)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  20. Low temperature processed complementary metal oxide semiconductor (CMOS) device by oxidation effect from capping layer.

    PubMed

    Wang, Zhenwei; Al-Jawhari, Hala A; Nayak, Pradipta K; Caraveo-Frescas, J A; Wei, Nini; Hedhili, M N; Alshareef, H N

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190 °C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  1. Single Event Effects (SEE) for Power Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs)

    NASA Technical Reports Server (NTRS)

    Lauenstein, Jean-Marie

    2011-01-01

    Single-event gate rupture (SEGR) continues to be a key failure mode in power MOSFETs. (1) SEGR is complex, making rate prediction difficult SEGR mechanism has two main components: (1) Oxide damage-- Reduces field required for rupture (2) Epilayer response -- Creates transient high field across the oxide.

  2. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.

    2007-05-01

    Atomic layer deposition (ALD) was used to deposit passivating interfacial nitride layers between Ge and high-κ oxides. High-κ oxides on Ge surfaces passivated by ultrathin (1-2nm) ALD Hf3N4 or AlN layers exhibited well-behaved C-V characteristics with an equivalent oxide thickness as low as 0.8nm, no significant flatband voltage shifts, and midgap density of interface states values of 2×1012cm-1eV-1. Functional n-channel and p-channel Ge field effect transistors with nitride interlayer/high-κ oxide/metal gate stacks are demonstrated.

  3. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  4. Nickel-copper oxide nanowires for highly sensitive sensing of glucose

    NASA Astrophysics Data System (ADS)

    Bai, Xiaofang; Chen, Wei; Song, Yanfang; Zhang, Jiazhou; Ge, Ruipeng; Wei, Wei; Jiao, Zheng; Sun, Yuhan

    2017-10-01

    Accurate determination of glucose is of considerable importance in diverse fields such as clinical diagnostics, biotechnology, and food industry. A low-cost and easy to scale-up approach has been developed for the preparation of nickel-copper oxide nanowires (Ni-CuO NWs) with hierarchical structures comprising porous NiO substrate and CuO nanowires. The successfully prepared Ni-CuO NWs were exploited as non-enzymatic electrochemical sensing probes for the reliable detection of glucose. Electrochemical measurements such as cyclic voltammetry (CV) and chronoamperometry (CA) illustrated that the Ni-CuO NWs exhibited excellent electrochemical performance toward glucose oxidation with a superior sensitivity of 5610.6 μA mM-1 cm-2, a low detection limit of 0.07 μM, a wide linear range from 0.2 to 3.0 mM, and a good selectivity. This was attributed to the synergetic effect of the hierarchical structures and active Ni(OH)2 surface species in Ni-CuO NWs. The rational design of the metal oxide composites provided an efficient strategy for the fabrication of electrochemical non-enzymatic sensors.

  5. Core-shell homojunction silicon vertical nanowire tunneling field-effect transistors.

    PubMed

    Yoon, Jun-Sik; Kim, Kihyun; Baek, Chang-Ki

    2017-01-23

    We propose three-terminal core-shell (CS) silicon vertical nanowire tunneling field-effect transistors (TFETs), which can be fabricated by conventional CMOS technology. CS TFETs show lower subthreshold swing (SS) and higher on-state current than conventional TFETs through their high surface-to-volume ratio, which increases carrier-tunneling region with no additional device area. The on-state current can be enhanced by increasing the nanowire height, decreasing equivalent oxide thickness (EOT) or creating a nanowire array. The off-state current is also manageable for power saving through selective epitaxial growth at the top-side nanowire region. CS TFETs with an EOT of 0.8 nm and an aspect ratio of 20 for the core nanowire region provide the largest drain current ranges with point SS values below 60 mV/dec and superior on/off current ratio under all operation voltages of 0.5, 0.7, and 1.0 V. These devices are promising for low-power applications at low fabrication cost and high device density.

  6. Thermoelectric properties of semiconductor nanowire networks

    DOE PAGES

    Roslyak, Oleksiy; Piryatinski, Andrei

    2016-03-28

    To examine the thermoelectric (TE) properties of a semiconductor nanowire (NW) network, we propose a theoretical approach mapping the TE network on a two-port network. In contrast to a conventional single-port (i.e., resistor)network model, our model allows for large scale calculations showing convergence of TE figure of merit, ZT, with an increasing number of junctions. Using this model, numerical simulations are performed for the Bi 2Te 3 branched nanowire (BNW) and Cayley tree NW (CTNW) network. We find that the phonon scattering at the network junctions plays a dominant role in enhancing the network ZT. Specifically, disordered BNW and CTNWmore » demonstrate an order of magnitude higher ZT enhancement compared to their ordered counterparts. Formation of preferential TE pathways in CTNW makes the network effectively behave as its BNW counterpart. In conclusion, we provide formalism for simulating large scale nanowire networks hinged upon experimentally measurable TE parameters of a single T-junction.« less

  7. Long-Term Stability of Oxide Nanowire Sensors via Heavily Doped Oxide Contact.

    PubMed

    Zeng, Hao; Takahashi, Tsunaki; Kanai, Masaki; Zhang, Guozhu; He, Yong; Nagashima, Kazuki; Yanagida, Takeshi

    2017-12-22

    Long-term stability of a chemical sensor is an essential quality for long-term collection of data related to exhaled breath, environmental air, and other sources in the Internet of things (IoT) era. Although an oxide nanowire sensor has shown great potential as a chemical sensor, the long-term stability of sensitivity has not been realized yet due to electrical degradation under harsh sensing conditions. Here, we report a rational concept to accomplish long-term electrical stability of metal oxide nanowire sensors via introduction of a heavily doped metal oxide contact layer. Antimony-doped SnO 2 (ATO) contacts on SnO 2 nanowires show much more stable and lower electrical contact resistance than conventional Ti contacts for high temperature (200 °C) conditions, which are required to operate chemical sensors. The stable and low contact resistance of ATO was confirmed for at least 1960 h under 200 °C in open air. This heavily doped oxide contact enables us to realize the long-term stability of SnO 2 nanowire sensors while maintaining the sensitivity for both NO 2 gas and light (photo) detections. The applicability of our method is confirmed for sensors on a flexible polyethylene naphthalate (PEN) substrate. Since the proposed fundamental concept can be applied to various oxide nanostructures, it will give a foundation for designing long-term stable oxide nanomaterial-based IoT sensors.

  8. Metal Contacts in Semiconductors.

    DTIC Science & Technology

    1983-11-01

    greater understanding of the role that imperfec- tions, defects etc. play in the formation of Schottk~y barriers and related devices. In section 1 of...these effects. In Section 2 of this report we consider the role of surface defects in the pinning of the Fermi level at free semiconductor surfaces and...in the adsorption and oxidation processes involved when these surfaces interact with gases and metals. The role of imperfections at metal

  9. Spin-orbit qubit in a semiconductor nanowire.

    PubMed

    Nadj-Perge, S; Frolov, S M; Bakkers, E P A M; Kouwenhoven, L P

    2010-12-23

    Motion of electrons can influence their spins through a fundamental effect called spin-orbit interaction. This interaction provides a way to control spins electrically and thus lies at the foundation of spintronics. Even at the level of single electrons, the spin-orbit interaction has proven promising for coherent spin rotations. Here we implement a spin-orbit quantum bit (qubit) in an indium arsenide nanowire, where the spin-orbit interaction is so strong that spin and motion can no longer be separated. In this regime, we realize fast qubit rotations and universal single-qubit control using only electric fields; the qubits are hosted in single-electron quantum dots that are individually addressable. We enhance coherence by dynamically decoupling the qubits from the environment. Nanowires offer various advantages for quantum computing: they can serve as one-dimensional templates for scalable qubit registers, and it is possible to vary the material even during wire growth. Such flexibility can be used to design wires with suppressed decoherence and to push semiconductor qubit fidelities towards error correction levels. Furthermore, electrical dots can be integrated with optical dots in p-n junction nanowires. The coherence times achieved here are sufficient for the conversion of an electronic qubit into a photon, which can serve as a flying qubit for long-distance quantum communication.

  10. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  11. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    PubMed Central

    Wang, Zhenwei; Al-Jawhari, Hala A.; Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wei, Nini; Hedhili, M. N.; Alshareef, H. N.

    2015-01-01

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field. PMID:25892711

  12. Nonvolatile memory with graphene oxide as a charge storage node in nanowire field-effect transistors

    NASA Astrophysics Data System (ADS)

    Baek, David J.; Seol, Myeong-Lok; Choi, Sung-Jin; Moon, Dong-Il; Choi, Yang-Kyu

    2012-02-01

    Through the structural modification of a three-dimensional silicon nanowire field-effect transistor, i.e., a double-gate FinFET, a structural platform was developed which allowed for us to utilize graphene oxide (GO) as a charge trapping layer in a nonvolatile memory device. By creating a nanogap between the gate and the channel, GO was embedded after the complete device fabrication. By applying a proper gate voltage, charge trapping, and de-trapping within the GO was enabled and resulted in large threshold voltage shifts. The employment of GO with FinFET in our work suggests that graphitic materials can potentially play a significant role for future nanoelectronic applications.

  13. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  14. Abnormal threshold voltage shift under hot carrier stress in Ti1-xNx/HfO2 p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Tsai, Jyun-Yu; Chang, Ting-Chang; Lo, Wen-Hung; Ho, Szu-Han; Chen, Ching-En; Chen, Hua-Mao; Tseng, Tseung-Yuen; Tai, Ya-Hsiang; Cheng, Osbert; Huang, Cheng-Tung

    2013-09-01

    This work investigates the channel hot carrier (CHC) effect in HfO2/Ti1-xNx p-channel metal oxide semiconductor field effect transistors (p-MOSFETs). Generally, the subthreshold swing (S.S.) should increase during CHC stress (CHCS), since interface states will be generated near the drain side under high electric field due to drain voltage (Vd). However, our experimental data indicate that S.S. has no evident change under CHCS, but threshold voltage (Vth) shifts positively. This result can be attributed to hot carrier injected into high-k dielectric near the drain side. Meanwhile, it is surprising that such Vth degradation is not observed in the saturation region during stress. Therefore, drain-induced-barrier-lowering (DIBL) as a result of CHC-induced electron trapping is proposed to explain the different Vth behaviors in the linear and saturation regions. Additionally, the influence of different nitrogen concentrations in HfO2/Ti1-xNx p-MOSFETs on CHCS is also investigated in this work. Since nitrogen diffuses to SiO2/Si interface induced pre-Nit occurring to degrades channel mobility during the annealing process, a device with more nitrogen shows slightly less impact ionization, leading to insignificant charge trapping-induced DIBL behavior.

  15. Optical properties of nanowire metamaterials with gain

    NASA Astrophysics Data System (ADS)

    Lima, Joaquim; Adam, Jost; Rego, Davi; Esquerre, Vitaly; Bordo, Vladimir

    2016-11-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide. The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications.

  16. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  17. Hard template synthesis of metal nanowires

    NASA Astrophysics Data System (ADS)

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-11-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  18. Hard template synthesis of metal nanowires.

    PubMed

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  19. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  20. Functionalised zinc oxide nanowire gas sensors: Enhanced NO(2) gas sensor response by chemical modification of nanowire surfaces.

    PubMed

    Waclawik, Eric R; Chang, Jin; Ponzoni, Andrea; Concina, Isabella; Zappa, Dario; Comini, Elisabetta; Motta, Nunzio; Faglia, Guido; Sberveglieri, Giorgio

    2012-01-01

    Surface coating with an organic self-assembled monolayer (SAM) can enhance surface reactions or the absorption of specific gases and hence improve the response of a metal oxide (MOx) sensor toward particular target gases in the environment. In this study the effect of an adsorbed organic layer on the dynamic response of zinc oxide nanowire gas sensors was investigated. The effect of ZnO surface functionalisation by two different organic molecules, tris(hydroxymethyl)aminomethane (THMA) and dodecanethiol (DT), was studied. The response towards ammonia, nitrous oxide and nitrogen dioxide was investigated for three sensor configurations, namely pure ZnO nanowires, organic-coated ZnO nanowires and ZnO nanowires covered with a sparse layer of organic-coated ZnO nanoparticles. Exposure of the nanowire sensors to the oxidising gas NO(2) produced a significant and reproducible response. ZnO and THMA-coated ZnO nanowire sensors both readily detected NO(2) down to a concentration in the very low ppm range. Notably, the THMA-coated nanowires consistently displayed a small, enhanced response to NO(2) compared to uncoated ZnO nanowire sensors. At the lower concentration levels tested, ZnO nanowire sensors that were coated with THMA-capped ZnO nanoparticles were found to exhibit the greatest enhanced response. ΔR/R was two times greater than that for the as-prepared ZnO nanowire sensors. It is proposed that the ΔR/R enhancement in this case originates from the changes induced in the depletion-layer width of the ZnO nanoparticles that bridge ZnO nanowires resulting from THMA ligand binding to the surface of the particle coating. The heightened response and selectivity to the NO(2) target are positive results arising from the coating of these ZnO nanowire sensors with organic-SAM-functionalised ZnO nanoparticles.

  1. In2O3 nanowire based field effect transistor for biological sensors.

    NASA Astrophysics Data System (ADS)

    Zeng, Zhongming; Wang, Kai; Zhou, Weilie

    2008-03-01

    Semiconductor nanowires (NWs) are attracting considerable attention due to their nanoscale dimensions and enormous surface-to-volume ratios. Many applications have been demonstrated in toxic gas, protein, small molecule and viruses sensing because of their superior sensing performances. Indium oxide (In2O3) NWs have been successfully applied for toxic gas and small organic molecule sensing. In our experiment, In2O3 NWs based field effect transistors (FET) are fabricated for virus (Ricin) detections. Single-crystalline In2O3 NWs with diameters around 100 nm were synthesized by the thermal evaporation. The nanodevice based on In2O3 NWs bridges the source/drain electrodes with a channel length of ˜5 μm. Basic transport properties of devices were measured before biological detection. The I-V curves with the gate voltage Vg=0 shows good ohmic contact and the resistance is about 10 Mφ. The back-gate effect on the conductivity showed that In2O3 NW is working as n-type channel with obvious back-gate effect, which is much stronger than the reported results. The nanodevices used as virus detection will be also discussed.

  2. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing

    NASA Astrophysics Data System (ADS)

    Chang, Yi-Kuei; Hong, Franklin Chau-Nan

    2009-05-01

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min-1), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 105, a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm2 V-1 s-1. The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  3. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing.

    PubMed

    Chang, Yi-Kuei; Hong, Franklin Chau-Nan

    2009-05-13

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min(-1)), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 10(5), a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm(2) V(-1) s(-1). The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  4. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G; Evans, Paul G; Ritz, Clark S

    2013-09-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  5. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G.; Evans, Paul G.; Ritz, Clark S.

    2015-11-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  6. Effective dose assessment in the maxillofacial region using thermoluminescent (TLD) and metal oxide semiconductor field-effect transistor (MOSFET) dosemeters: a comparative study

    PubMed Central

    Schulze, D; Wolff, J; Rottke, D

    2014-01-01

    Objectives: The objective of this study was to compare the performance of metal oxide semiconductor field-effect transistor (MOSFET) technology dosemeters with thermoluminescent dosemeters (TLDs) (TLD 100; Thermo Fisher Scientific, Waltham, MA) in the maxillofacial area. Methods: Organ and effective dose measurements were performed using 40 TLD and 20 MOSFET dosemeters that were alternately placed in 20 different locations in 1 anthropomorphic RANDO® head phantom (the Phantom Laboratory, Salem, NY). The phantom was exposed to four different CBCT default maxillofacial protocols using small (4 × 5 cm) to full face (20 × 17 cm) fields of view (FOVs). Results: The TLD effective doses ranged between 7.0 and 158.0 µSv and the MOSFET doses between 6.1 and 175.0 µSv. The MOSFET and TLD effective doses acquired using four different (FOV) protocols were as follows: face maxillofacial (FOV 20 × 17 cm) (MOSFET, 83.4 µSv; TLD, 87.6 µSv; −5%); teeth, upper jaw (FOV, 8.5 × 5.0 cm) (MOSFET, 6.1 µSv; TLD, 7.0 µSv; −14%); tooth, mandible and left molar (FOV, 4 × 5 cm) (MOSFET, 10.3 µSv; TLD, 12.3 µSv; −16%) and teeth, both jaws (FOV, 10 × 10 cm) (MOSFET, 175 µSv; TLD, 158 µSv; +11%). The largest variation in organ and effective dose was recorded in the small FOV protocols. Conclusions: Taking into account the uncertainties of both measurement methods and the results of the statistical analysis, the effective doses acquired using MOSFET dosemeters were found to be in good agreement with those obtained using TLD dosemeters. The MOSFET dosemeters constitute a feasible alternative for TLDs for the effective dose assessment of CBCT devices in the maxillofacial region. PMID:25143020

  7. Effective dose assessment in the maxillofacial region using thermoluminescent (TLD) and metal oxide semiconductor field-effect transistor (MOSFET) dosemeters: a comparative study.

    PubMed

    Koivisto, J; Schulze, D; Wolff, J; Rottke, D

    2014-01-01

    The objective of this study was to compare the performance of metal oxide semiconductor field-effect transistor (MOSFET) technology dosemeters with thermoluminescent dosemeters (TLDs) (TLD 100; Thermo Fisher Scientific, Waltham, MA) in the maxillofacial area. Organ and effective dose measurements were performed using 40 TLD and 20 MOSFET dosemeters that were alternately placed in 20 different locations in 1 anthropomorphic RANDO(®) head phantom (the Phantom Laboratory, Salem, NY). The phantom was exposed to four different CBCT default maxillofacial protocols using small (4 × 5 cm) to full face (20 × 17 cm) fields of view (FOVs). The TLD effective doses ranged between 7.0 and 158.0 µSv and the MOSFET doses between 6.1 and 175.0 µSv. The MOSFET and TLD effective doses acquired using four different (FOV) protocols were as follows: face maxillofacial (FOV 20 × 17 cm) (MOSFET, 83.4 µSv; TLD, 87.6 µSv; -5%); teeth, upper jaw (FOV, 8.5 × 5.0 cm) (MOSFET, 6.1 µSv; TLD, 7.0 µSv; -14%); tooth, mandible and left molar (FOV, 4 × 5 cm) (MOSFET, 10.3 µSv; TLD, 12.3 µSv; -16%) and teeth, both jaws (FOV, 10 × 10 cm) (MOSFET, 175 µSv; TLD, 158 µSv; +11%). The largest variation in organ and effective dose was recorded in the small FOV protocols. Taking into account the uncertainties of both measurement methods and the results of the statistical analysis, the effective doses acquired using MOSFET dosemeters were found to be in good agreement with those obtained using TLD dosemeters. The MOSFET dosemeters constitute a feasible alternative for TLDs for the effective dose assessment of CBCT devices in the maxillofacial region.

  8. Effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks

    NASA Astrophysics Data System (ADS)

    Hicks, Jeremy; Li, Junying; Ying, Chen; Ural, Ant

    2018-05-01

    We study the effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks by Monte Carlo simulations. We generate curvy nanowires as one-dimensional sticks using 3rd-order Bézier curves. The degree of curviness in the network is quantified by the concept of curviness angle and curl ratio. We systematically study the interaction between the effect of curviness and five other nanowire/device parameters on the network resistivity, namely nanowire density, nanowire length, device length, device width, and nanowire alignment. We find that the resistivity exhibits a power law dependence on the curl ratio, which is a signature of percolation transport. In each case, we extract the power-law scaling critical exponents and explain the results using geometrical and physical arguments. The value of the curl ratio critical exponent is not universal, but increases as the other nanowire/device parameters drive the network toward the percolation threshold. We find that, for randomly oriented networks, curviness is undesirable since it increases the resistivity. For well-aligned networks, on the other hand, some curviness is highly desirable, since the resistivity minimum occurs for partially curvy nanowires. We explain these results by considering the two competing effects of curviness on the percolation resistivity. The results presented in this work can be extended to any network, film, or nanocomposite consisting of one-dimensional nanoelements. Our results show that Monte Carlo simulations are an essential predictive tool for both studying the percolation transport and optimizing the electronic properties of transparent, conductive nanowire networks for a wide range of applications.

  9. Ge{sub 0.83}Sn{sub 0.17} p-channel metal-oxide-semiconductor field-effect transistors: Impact of sulfur passivation on gate stack quality

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org

    2016-01-14

    The effect of room temperature sulfur passivation of the surface of Ge{sub 0.83}Sn{sub 0.17} prior to high-k dielectric (HfO{sub 2}) deposition is investigated. X-ray photoelectron spectroscopy (XPS) was used to examine the chemical bonding at the interface of HfO{sub 2} and Ge{sub 0.83}Sn{sub 0.17}. Sulfur passivation is found to be effective in suppressing the formation of both Ge oxides and Sn oxides. A comparison of XPS results for sulfur-passivated and non-passivated Ge{sub 0.83}Sn{sub 0.17} samples shows that sulfur passivation of the GeSn surface could also suppress the surface segregation of Sn atoms. In addition, sulfur passivation reduces the interface trapmore » density D{sub it} at the high-k dielectric/Ge{sub 0.83}Sn{sub 0.17} interface from the valence band edge to the midgap of Ge{sub 0.83}Sn{sub 0.17}, as compared with a non-passivated control. The impact of the improved D{sub it} is demonstrated in Ge{sub 0.83}Sn{sub 0.17} p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs). Ge{sub 0.83}Sn{sub 0.17} p-MOSFETs with sulfur passivation show improved subthreshold swing S, intrinsic transconductance G{sub m,int}, and effective hole mobility μ{sub eff} as compared with the non-passivated control. At a high inversion carrier density N{sub inv} of 1 × 10{sup 13 }cm{sup −2}, sulfur passivation increases μ{sub eff} by 25% in Ge{sub 0.83}Sn{sub 0.17} p-MOSFETs.« less

  10. Fabrication of Hydrogenated Diamond Metal-Insulator-Semiconductor Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2017-01-01

    Diamond is regarded as a promising material for fabrication of high-power and high-frequency electronic devices due to its remarkable intrinsic properties, such as wide band gap energy, high carrier mobility, and high breakdown field. Meanwhile, since diamond has good biocompatibility, long-term durability, good chemical inertness, and a large electron-chemical potential window, it is a suitable candidate for the fabrication of biosensors. Here, we demonstrate the fabrication of hydrogenated diamond (H-diamond) based metal-insulator-semiconductor field-effect transistors (MISFETs). The fabrication is based on the combination of laser lithography, dry-etching, atomic layer deposition (ALD), sputtering deposition (SD), electrode evaporation, and lift-off techniques. The gate insulator is high-k HfO 2 with a SD/ALD bilayer structure. The thin ALD-HfO 2 film (4.0 nm) acts as a buffer layer to prevent the hydrogen surface of the H-diamond from plasma discharge damage during the SD-HfO 2 deposition. The growth of H-diamond epitaxial layer, fabrication of H-diamond MISFETs, and electrical property measurements for the MISFETs is demonstrated. This chapter explains the fabrication of H-diamond FET based biosensors.

  11. Magnetoreflection spectroscopy of monolayer transition-metal dichalcogenide semiconductors in pulsed magnetic fields

    DOE PAGES

    Stier, Andreas V.; McCreary, Kathleen M.; Jonker, Berend T.; ...

    2016-05-13

    The authors describe recent experimental efforts to perform polarization-resolved optical spectroscopy of monolayer transition-metal dichalcogenide semiconductors in very large pulsed magnetic fields to 65 T. The experimental setup and technical challenges are discussed in detail, and temperature-dependent magnetoreflection spectra from atomically thin tungsten disulphide are presented. The data clearly reveal not only the valley Zeeman effect in these two-dimensional semiconductors but also the small quadratic exciton diamagnetic shift from which the very small exciton size can be directly inferred. Lastly, the authors present model calculations that demonstrate how the measured diamagnetic shifts can be used to constrain estimates of themore » exciton binding energy in this new family of monolayer semiconductors.« less

  12. Single-mode plasmonic waveguiding properties of metal nanowires with dielectric substrates.

    PubMed

    Wang, Yipei; Ma, Yaoguang; Guo, Xin; Tong, Limin

    2012-08-13

    Single-mode plasmonic waveguiding properties of metal nanowires with dielectric substrates are investigated using a finite-element method. Au and Ag are selected as plasmonic materials for nanowire waveguides with diameters down to 5-nm-level. Typical dielectric materials with relatively low to high refractive indices, including magnesium fluoride (MgF2), silica (SiO2), indium tin oxide (ITO) and titanium dioxide (TiO2), are used as supporting substrates. Basic waveguiding properties, including propagation constants, power distributions, effective mode areas, propagation distances and losses are obtained at the typical plasmonic resonance wavelength of 660 nm. Compared to that of a freestanding nanowire, the mode area of a substrate-supported nanowire could be much smaller while maintaining an acceptable propagation length. For example, the mode area and propagation length of a 100-nm-diameter Ag nanowire with a MgF2 substrate are about 0.004 μm2 and 3.4 μm, respectively. The dependences of waveguiding properties on geometric and material parameters of the nanowire-substrate system are also provided. Our results may provide valuable references for waveguiding dielectric-supported metal nanowires for practical applications.

  13. Hard template synthesis of metal nanowires

    PubMed Central

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed. PMID:25453031

  14. Effect of NO annealing on charge traps in oxide insulator and transition layer for 4H-SiC metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Jia, Yifan; Lv, Hongliang; Niu, Yingxi; Li, Ling; Song, Qingwen; Tang, Xiaoyan; Li, Chengzhan; Zhao, Yanli; Xiao, Li; Wang, Liangyong; Tang, Guangming; Zhang, Yimen; Zhang, Yuming

    2016-09-01

    The effect of nitric oxide (NO) annealing on charge traps in the oxide insulator and transition layer in n-type 4H-SiC metal-oxide-semiconductor (MOS) devices has been investigated using the time-dependent bias stress (TDBS), capacitance-voltage (C-V), and secondary ion mass spectroscopy (SIMS). It is revealed that two main categories of charge traps, near interface oxide traps (Nniot) and oxide traps (Not), have different responses to the TDBS and C-V characteristics in NO-annealed and Ar-annealed samples. The Nniot are mainly responsible for the hysteresis occurring in the bidirectional C-V characteristics, which are very close to the semiconductor interface and can readily exchange charges with the inner semiconductor. However, Not is mainly responsible for the TDBS induced C-V shifts. Electrons tunneling into the Not are hardly released quickly when suffering TDBS, resulting in the problem of the threshold voltage stability. Compared with the Ar-annealed sample, Nniot can be significantly suppressed by the NO annealing, but there is little improvement of Not. SIMS results demonstrate that the Nniot are distributed within the transition layer, which correlated with the existence of the excess silicon. During the NO annealing process, the excess Si atoms incorporate into nitrogen in the transition layer, allowing better relaxation of the interface strain and effectively reducing the width of the transition layer and the density of Nniot. Project supported by the National Natural Science Foundation of China (Grant Nos. 61404098 and 61274079), the Doctoral Fund of Ministry of Education of China (Grant No. 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), the National Grid Science & Technology Project, China (Grant No. SGRI-WD-71-14-018), and the Key Specific Project in the National Science & Technology Program, China (Grant Nos. 2013ZX02305002-002 and 2015CB759600).

  15. UV-Assisted Alcohol Sensors using Gallium Nitride Nanowires Functionalized with Zinc Oxide and Tin Dioxide Nanoparticles

    NASA Astrophysics Data System (ADS)

    Bajpai, Ritu

    The motivation behind this work has been to address two of the most challenging issues posed to semiconductor gas sensors--- tuning the device selectivity and sensitivity to a wide variety of gases. In a chemiresistor type nanowire sensor, the sensitivity and selectivity depend on the interaction of different chemical analytes with the nanowire surface. Constrained by the surface properties of the nanowire material, most nanowire sensors can detect only specific type of analytes. In order to make a nano-sensor array for a wide range of analytes, there is a need to tune the device sensitivity and selectivity towards different chemicals. Employing the inherent advantages of nanostructure based sensing such as large surface area, miniature size, low power consumption, and nmol/mol (ppb) sensitivity, an attempt has been made to propose a device with tunable selectivity and sensitivity. The idea proposed in this work is to functionalize GaN nanowires which have relatively inactive surface properties (i.e., with no chemiresistive sensitivity to different classes of organic vapors), with analyte dependent active metal oxides. The selectivity of the sensor devices is controlled independent of the surface properties of the nanowire itself. It is the surface properties of the functionalizing metal oxides which determine the selectivity of these sensors. Further facilitated by the proposed fabrication technique, these sensors can be easily tuned to detect different gases. The prototype developed in this work is that of a UV assisted alcohol sensor using GaN nanowires functionalized with ZnO and SnO2 nanoparticles. As opposed to the widely demonstrated metal oxide based sensors assisted by elevated temperature, the operation of photoconductive semiconductor sensor devices such as those fabricated in this work, can also be assisted by UV illumination at room temperature. Temperature assisted sensing requires an integrated on-chip heater, which could impose constraints on the

  16. Ferroelectric switching of poly(vinylidene difluoride-trifluoroethylene) in metal-ferroelectric-semiconductor non-volatile memories with an amorphous oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Gelinck, G. H.; van Breemen, A. J. J. M.; Cobb, B.

    2015-03-01

    Ferroelectric polarization switching of poly(vinylidene difluoride-trifluoroethylene) is investigated in different thin-film device structures, ranging from simple capacitors to dual-gate thin-film transistors (TFT). Indium gallium zinc oxide, a high mobility amorphous oxide material, is used as semiconductor. We find that the ferroelectric can be polarized in both directions in the metal-ferroelectric-semiconductor (MFS) structure and in the dual-gate TFT under certain biasing conditions, but not in the single-gate thin-film transistors. These results disprove the common belief that MFS structures serve as a good model system for ferroelectric polarization switching in thin-film transistors.

  17. Broadband telecom transparency of semiconductor-coated metal nanowires: more transparent than glass.

    PubMed

    Paniagua-Domínguez, R; Abujetas, D R; Froufe-Pérez, L S; Sáenz, J J; Sánchez-Gil, J A

    2013-09-23

    Metallic nanowires (NW) coated with a high permittivity dielectric are proposed as means to strongly reduce the light scattering of the conducting NW, rendering them transparent at infrared wavelengths of interest in telecommunications. Based on a simple, universal law derived from electrostatics arguments, we find appropriate parameters to reduce the scattering efficiency of hybrid metal-dielectric NW by up to three orders of magnitude as compared with the scattering efficiency of the homogeneous metallic NW. We show that metal@dielectric structures are much more robust against fabrication imperfections than analogous dielectric@metal ones. The bandwidth of the transparent region entirely covers the near IR telecommunications range. Although this effect is optimum at normal incidence and for a given polarization, rigorous theoretical and numerical calculations reveal that transparency is robust against changes in polarization and angle of incidence, and also holds for relatively dense periodic or random arrangements. A wealth of applications based on metal-NWs may benefit from such invisibility.

  18. Using Ultrathin Parylene Films as an Organic Gate Insulator in Nanowire Field-Effect Transistors.

    PubMed

    Gluschke, J G; Seidl, J; Lyttleton, R W; Carrad, D J; Cochrane, J W; Lehmann, S; Samuelson, L; Micolich, A P

    2018-06-27

    We report the development of nanowire field-effect transistors featuring an ultrathin parylene film as a polymer gate insulator. The room temperature, gas-phase deposition of parylene is an attractive alternative to oxide insulators prepared at high temperatures using atomic layer deposition. We discuss our custom-built parylene deposition system, which is designed for reliable and controlled deposition of <100 nm thick parylene films on III-V nanowires standing vertically on a growth substrate or horizontally on a device substrate. The former case gives conformally coated nanowires, which we used to produce functional Ω-gate and gate-all-around structures. These give subthreshold swings as low as 140 mV/dec and on/off ratios exceeding 10 3 at room temperature. For the gate-all-around structure, we developed a novel fabrication strategy that overcomes some of the limitations with previous lateral wrap-gate nanowire transistors. Finally, we show that parylene can be deposited over chemically treated nanowire surfaces, a feature generally not possible with oxides produced by atomic layer deposition due to the surface "self-cleaning" effect. Our results highlight the potential for parylene as an alternative ultrathin insulator in nanoscale electronic devices more broadly, with potential applications extending into nanobioelectronics due to parylene's well-established biocompatible properties.

  19. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    PubMed

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  20. Byproduct-free mass production of compound semiconductor nanowires: zinc phosphide

    NASA Astrophysics Data System (ADS)

    Chen, Yixi; Polinnaya, Rakesh; Vaddiraju, Sreeram

    2018-05-01

    A method for the mass production of compound semiconductor nanowires that involves the direct reaction of component elements in a chemical vapor deposition chamber (CVD) is presented. This method results in nanowires, without the associated production of any other byproducts such as nanoparticles or three-dimensional (3D) bulk crystals. Furthermore, no unreacted reactants remain mixed with the nanowire product in this method. This byproduct-free nanowire production thus circumvents the need to tediously purify and collect nanowires from a mixture of products/reactants after their synthesis. Demonstration made using zinc phosphide (Zn3P2) material system as an example indicated that the direct reaction of zinc microparticles with phosphorus supplied via the vapor phase results in the production of gram quantities of nanowires. To enhance thermal transport and achieve the complete reaction of zinc microparticles, while simultaneously ensuring that the microparticles do not agglomerate into macroscale zinc particles and partly remain unreacted (owing to diffusion limitations), pellets composed of mixtures of zinc and a sacrificial salt, NH4Cl, were employed as the starting material. The sublimation by decomposition of NH4Cl in the early stages of the reaction leaves a highly porous pellet of zinc composed of only zinc microparticles, which allows for inward diffusion of phosphorus/outward diffusion of zinc and the complete conversion of zinc into Zn3P2 nanowires. NH4Cl also aids in removal of any native oxide layer present on the zinc microparticles that may prevent their reaction with phosphorus. This method may be used to mass produce many other nanowires in a byproduct-free manner, besides Zn3P2.

  1. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes duringmore » consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.« less

  2. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    DOEpatents

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  3. Metallic nanowire networks

    DOEpatents

    Song, Yujiang; Shelnutt, John A.

    2012-11-06

    A metallic nanowire network synthesized using chemical reduction of a metal ion source by a reducing agent in the presence of a soft template comprising a tubular inverse micellar network. The network of interconnected polycrystalline nanowires has a very high surface-area/volume ratio, which makes it highly suitable for use in catalytic applications.

  4. Methanol, ethanol and hydrogen sensing using metal oxide and metal (TiO(2)-Pt) composite nanoclusters on GaN nanowires: a new route towards tailoring the selectivity of nanowire/nanocluster chemical sensors.

    PubMed

    Aluri, Geetha S; Motayed, Abhishek; Davydov, Albert V; Oleshko, Vladimir P; Bertness, Kris A; Sanford, Norman A; Mulpuri, Rao V

    2012-05-04

    We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for

  5. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G [Madison, WI; Evans, Paul G [Madison, WI; Ritz, Clark S [Middleton, WI

    2011-02-15

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic longitudinal modulation, which may be a compositional modulation or a strain-induced modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  6. Template-Assisted Hydrothermal Growth of One-Dimensional Zinc Oxide Nanowires for Photocatalytic Application.

    PubMed

    Ma, Shuai-Shuai; Xu, Peng; Cai, Zhi-Lan; Li, Qing; Ye, Zhao-Lian; Zhou, Yu-Ming

    2018-07-01

    One-dimensional (1D) semiconductor ZnO nanowires have been successfully synthesized by a novel soft-chemical hydrothermal method with allylpolyethoxy amino carboxylate (AA-APEA) at low temperature. Their structure and properties have been characterized by a series of techniques, including X-ray diffraction (XRD), energy dispersive X-ray analysis (EDX) and transmission electron microscopy (TEM). It was found that ZnO nanowires with diameters around 50 nm and lengths up to about several micrometers are well-distributed. The photocatalytic activity toward degradation of methylene blue (MB) aqueous solution under ultraviolet (UV) was investigated and the results showed that the ZnO nanowires exhibit a markedly higher photoactivity compared to the ZnO nanoparticles which were obtained without AA-APEA polymer assistant, and it can be ascribed to the special 1D morphology of the ZnO nanowires. In particular, the rate of degradation of the ZnO nanowires was 11 times faster than that of ZnO nanoparticles. In addition, the ZnO nanowires could be easily recycled in UV photocatalytic activity. These observations could promote new applications of photocatalyst for wastewater treatment utilizing oxide semiconductor nanostructures.

  7. Preparation and characterization of flexible asymmetric supercapacitors based on transition-metal-oxide nanowire/single-walled carbon nanotube hybrid thin-film electrodes.

    PubMed

    Chen, Po-Chiang; Shen, Guozhen; Shi, Yi; Chen, Haitian; Zhou, Chongwu

    2010-08-24

    In the work described in this paper, we have successfully fabricated flexible asymmetric supercapacitors (ASCs) based on transition-metal-oxide nanowire/single-walled carbon nanotube (SWNT) hybrid thin-film electrodes. These hybrid nanostructured films, with advantages of mechanical flexibility, uniform layered structures, and mesoporous surface morphology, were produced by using a filtration method. Here, manganese dioxide nanowire/SWNT hybrid films worked as the positive electrode, and indium oxide nanowire/SWNT hybrid films served as the negative electrode in a designed ASC. In our design, charges can be stored not only via electrochemical double-layer capacitance from SWNT films but also through a reversible faradic process from transition-metal-oxide nanowires. In addition, to obtain stable electrochemical behavior during charging/discharging cycles in a 2 V potential window, the mass balance between two electrodes has been optimized. Our optimized hybrid nanostructured ASCs exhibited a superior device performance with specific capacitance of 184 F/g, energy density of 25.5 Wh/kg, and columbic efficiency of approximately 90%. In addition, our ASCs exhibited a power density of 50.3 kW/kg, which is 10-fold higher than obtained in early reported ASC work. The high-performance hybrid nanostructured ASCs can find applications in conformal electrics, portable electronics, and electrical vehicles.

  8. Enhancement of electrical transport modulation in epitaxial VO2 nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Tanaka, Hidekazu; Chikanari, Masashi; Kanki, Teruo

    Strongly correlated system vanadium dioxide VO2 has attracted widespread concerns from researchers as an exciting electronic material, due to the many intriguing features, especially metal-insulator transition (MIT) in vicinity of room temperature. In this work, we report a diverse geometry for high sensitivity in the transport modulation. By taking advantage of nanometer scale channel, instead of thin film channels, we demonstrated the enhancement of resistance modulation by applying gate voltage. Also we designed the insulating gate, consisting of high-k material Ta2O5/organic polymer parylene-C hybrid insulator. Such as this hybrid gate dielectric would effectively reduce interface deterioration of active channel oxide and provide sufficient carrier density. Moreover, benefited from the nanometer scale channel, the VO2 nanowire-based transistor could deliver a resistance modulation ratio over 8.5%, which are about 10 folds higher than that of the film case. Furthermore, this result is explained that in spite of the stronger field distribution in the edge parts of VO2 nanowire channel yielded little carrier density, the generated mobility modulation would biquadratic increase according to Brinkman-Rice picture as new finding.

  9. Robust mode space approach for atomistic modeling of realistically large nanowire transistors

    NASA Astrophysics Data System (ADS)

    Huang, Jun Z.; Ilatikhameneh, Hesameddin; Povolotskyi, Michael; Klimeck, Gerhard

    2018-01-01

    Nanoelectronic transistors have reached 3D length scales in which the number of atoms is countable. Truly atomistic device representations are needed to capture the essential functionalities of the devices. Atomistic quantum transport simulations of realistically extended devices are, however, computationally very demanding. The widely used mode space (MS) approach can significantly reduce the numerical cost, but a good MS basis is usually very hard to obtain for atomistic full-band models. In this work, a robust and parallel algorithm is developed to optimize the MS basis for atomistic nanowires. This enables engineering-level, reliable tight binding non-equilibrium Green's function simulation of nanowire metal-oxide-semiconductor field-effect transistor (MOSFET) with a realistic cross section of 10 nm × 10 nm using a small computer cluster. This approach is applied to compare the performance of InGaAs and Si nanowire n-type MOSFETs (nMOSFETs) with various channel lengths and cross sections. Simulation results with full-band accuracy indicate that InGaAs nanowire nMOSFETs have no drive current advantage over their Si counterparts for cross sections up to about 10 nm × 10 nm.

  10. One-dimensional quantum matter: gold-induced nanowires on semiconductor surfaces

    NASA Astrophysics Data System (ADS)

    Dudy, L.; Aulbach, J.; Wagner, T.; Schäfer, J.; Claessen, R.

    2017-11-01

    Interacting electrons confined to only one spatial dimension display a wide range of unusual many-body quantum phenomena, ranging from Peierls instabilities to the breakdown of the canonical Fermi liquid paradigm to even unusual spin phenomena. The underlying physics is not only of tremendous fundamental interest, but may also have bearing on device functionality in future micro- and nanoelectronics with lateral extensions reaching the atomic limit. Metallic adatoms deposited on semiconductor surfaces may form self-assembled atomic nanowires, thus representing highly interesting and well-controlled solid-state realizations of such 1D quantum systems. Here we review experimental and theoretical investigations on a few selected prototypical nanowire surface systems, specifically Ge(0 0 1)-Au and Si(hhk)-Au, and the search for 1D quantum states in them. We summarize the current state of research and identify open questions and issues.

  11. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  12. Interfacial engineering of metal-insulator-semiconductor junctions for efficient and stable photoelectrochemical water oxidation

    PubMed Central

    Digdaya, Ibadillah A.; Adhyaksa, Gede W. P.; Trześniewski, Bartek J.; Garnett, Erik C.; Smith, Wilson A.

    2017-01-01

    Solar-assisted water splitting can potentially provide an efficient route for large-scale renewable energy conversion and storage. It is essential for such a system to provide a sufficiently high photocurrent and photovoltage to drive the water oxidation reaction. Here we demonstrate a photoanode that is capable of achieving a high photovoltage by engineering the interfacial energetics of metal–insulator–semiconductor junctions. We evaluate the importance of using two metals to decouple the functionalities for a Schottky contact and a highly efficient catalyst. We also illustrate the improvement of the photovoltage upon incidental oxidation of the metallic surface layer in KOH solution. Additionally, we analyse the role of the thin insulating layer to the pinning and depinning of Fermi level that is responsible to the resulting photovoltage. Finally, we report the advantage of using dual metal overlayers as a simple protection route for highly efficient metal–insulator–semiconductor photoanodes by showing over 200 h of operational stability. PMID:28660883

  13. Novel photoinduced phase transitions in transition metal oxides and diluted magnetic semiconductors.

    PubMed

    Mizokawa, Takashi

    2012-10-23

    Some transition metal oxides have frustrated electronic states under multiphase competition due to strongly correlated d electrons with spin, charge, and orbital degrees of freedom and exhibit drastic responses to external stimuli such as optical excitation. Here, we present photoemission studies on Pr0.55(Ca1 - ySry)0.45MnO3 (y = 0.25), SrTiO3, and Ti1 - xCoxO2 (x = 0.05, 0.10) under laser illumination and discuss electronic structural changes induced by optical excitation in these strongly correlated oxides. We discuss the novel photoinduced phase transitions in these transition metal oxides and diluted magnetic semiconductors on the basis of polaronic pictures such as orbital, ferromagnetic, and ferroelectric polarons.

  14. Evaluating nanoscale ultra-thin metal films by means of lateral photovoltaic effect in metal-semiconductor structure.

    PubMed

    Zheng, Diyuan; Yu, Chongqi; Zhang, Qian; Wang, Hui

    2017-12-15

    Nanoscale metal-semiconductor (MS) structure materials occupy an important position in semiconductor and microelectronic field due to their abundant physical phenomena and effects. The thickness of metal films is a critical factor in determining characteristics of MS devices. How to detect or evaluate the metal thickness is always a key issue for realizing high performance MS devices. In this work, we propose a direct surface detection by use of the lateral photovoltaic effect (LPE) in MS structure, which can not only measure nanoscale thickness, but also detect the fluctuation of metal films. This method is based on the fact that the output of lateral photovoltaic voltage (LPV) is closely linked with the metal thickness at the laser spot. We believe this laser-based contact-free detection is a useful supplement to the traditional methods, such as AFM, SEM, TEM or step profiler. This is because these traditional methods are always incapable of directly detecting ultra-thin metal films in MS structure materials.

  15. Evaluating nanoscale ultra-thin metal films by means of lateral photovoltaic effect in metal-semiconductor structure

    NASA Astrophysics Data System (ADS)

    Zheng, Diyuan; Yu, Chongqi; Zhang, Qian; Wang, Hui

    2017-12-01

    Nanoscale metal-semiconductor (MS) structure materials occupy an important position in semiconductor and microelectronic field due to their abundant physical phenomena and effects. The thickness of metal films is a critical factor in determining characteristics of MS devices. How to detect or evaluate the metal thickness is always a key issue for realizing high performance MS devices. In this work, we propose a direct surface detection by use of the lateral photovoltaic effect (LPE) in MS structure, which can not only measure nanoscale thickness, but also detect the fluctuation of metal films. This method is based on the fact that the output of lateral photovoltaic voltage (LPV) is closely linked with the metal thickness at the laser spot. We believe this laser-based contact-free detection is a useful supplement to the traditional methods, such as AFM, SEM, TEM or step profiler. This is because these traditional methods are always incapable of directly detecting ultra-thin metal films in MS structure materials.

  16. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    PubMed

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  17. NIOSH field studies team assessment: Worker exposure to aerosolized metal oxide nanoparticles in a semiconductor fabrication facility.

    PubMed

    Brenner, Sara A; Neu-Baker, Nicole M; Eastlake, Adrienne C; Beaucham, Catherine C; Geraci, Charles L

    2016-11-01

    The ubiquitous use of engineered nanomaterials-particulate materials measuring approximately 1-100 nanometers (nm) on their smallest axis, intentionally engineered to express novel properties-in semiconductor fabrication poses unique issues for protecting worker health and safety. Use of new substances or substances in a new form may present hazards that have yet to be characterized for their acute or chronic health effects. Uncharacterized or emerging occupational health hazards may exist when there is insufficient validated hazard data available to make a decision on potential hazard and risk to exposed workers under condition of use. To advance the knowledge of potential worker exposure to engineered nanomaterials, the National Institute for Occupational Safety and Health Nanotechnology Field Studies Team conducted an on-site field evaluation in collaboration with on-site researchers at a semiconductor research and development facility on April 18-21, 2011. The Nanomaterial Exposure Assessment Technique (2.0) was used to perform a complete exposure assessment. A combination of filter-based sampling and direct-reading instruments was used to identify, characterize, and quantify the potential for worker inhalation exposure to airborne alumina and amorphous silica nanoparticles associated with th e chemical mechanical planarization wafer polishing process. Engineering controls and work practices were evaluated to characterize tasks that might contribute to potential exposures and to assess existing engineering controls. Metal oxide structures were identified in all sampling areas, as individual nanoparticles and agglomerates ranging in size from 60 nm to >1,000 nm, with varying structure morphology, from long and narrow to compact. Filter-based samples indicated very little aerosolized material in task areas or worker breathing zone. Direct-reading instrument data indicated increased particle counts relative to background in the wastewater treatment area; however

  18. High-frequency characterization and modeling of single metallic nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Chuan-Lun; Ardila, Gustavo; Benech, Philippe

    2013-07-01

    The transmission line characteristics of an individual aluminum metallic nanowire up to 100 GHz are presented in this paper. We have built a reliable framework for characterizing such nanowires using a specially designed coplanar waveguide platform. We systematically estimate the pad parasitics, contact impedance and transmission line parameters based on an equivalent circuit model and cascade-based de-embedding theory. This is the first time that such external parasitic elements have been successfully removed from a nanoscale transmission line. The extracted frequency-dependent electrical responses show good signal levels and a high degree of reproducibility. Contribution to the Topical Issue “International Semiconductor Conference Dresden-Grenoble - ISCDG 2012”, Edited by Gérard Ghibaudo, Francis Balestra and Simon Deleonibus.

  19. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    PubMed

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  20. A Novel Metal-Ferroelectric-Semiconductor Field-Effect Transistor Memory Cell Design

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; Bailey, Mark; Ho, Fat Duen

    2004-01-01

    The use of a Metal-Ferroelectric-Semiconductor Field-Effect Transistor (MFSFET) in a resistive-load SRAM memory cell has been investigated A typical two-transistor resistive-load SRAM memory cell architecture is modified by replacing one of the NMOS transistors with an n-channel MFSFET. The gate of the MFSFET is connected to a polling voltage pulse instead of the other NMOS transistor drain. The polling voltage pulses are of sufficient magnitude to saturate the ferroelectric gate material and force the MFSFET into a particular logic state. The memory cell circuit is further modified by the addition of a PMOS transistor and a load resistor in order to improve the retention characteristics of the memory cell. The retention characteristics of both the "1" and "0" logic states are simulated. The simulations show that the MFSFET memory cell design can maintain both the "1" and "0" logic states for a long period of time.

  1. Radiation induced failures of complementary metal oxide semiconductor containing pacemakers: a potentially lethal complication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lewin, A.A.; Serago, C.F.; Schwade, J.G.

    1984-10-01

    New multi-programmable pacemakers frequently employ complementary metal oxide semiconductors (CMOS). This circuitry appears more sensitive to the effects of ionizing radiation when compared to the semiconductor circuits used in older pacemakers. A case of radiation induced runaway pacemaker in a CMOS device is described. Because of this and other recent reports of radiation therapy-induced CMOS type pacemaker failure, these pacemakers should not be irradiated. If necessary, the pacemaker can be shielded or moved to a site which can be shielded before institution of radiation therapy. This is done to prevent damage to the CMOS circuit and the life threatening arrythmiasmore » which may result from such damage.« less

  2. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    NASA Astrophysics Data System (ADS)

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V-1 s-1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  3. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass.

    PubMed

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-08

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co 28.6 Fe 12.4 Ta 4.3 B 8.7 O 46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm 2  V -1  s -1 . Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  4. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    PubMed Central

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-an; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-01-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III–V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p–n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V−1 s−1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities. PMID:27929059

  5. Fabricating photoswitches and field-effect transistors from self-assembled tetra(2-isopropyl-5-methyphenoxy) copper phthalocyanines nanowires.

    PubMed

    Cheng, Chuanwei; Gao, Junshan; Xu, Guoyue; Zhang, Haiqian; Li, Yingying; Luo, Yan

    2009-05-01

    Tetra(2-isopropyl-5-methyphenoxy) copper phthalocyanine (CuPc) nanowires synthesized by a facile, low temperature self-assembled route, were incorporated into nano-devices: photoswitch and organic field-effect transistor. The devices were capable of switching on/off reversibly and fast by turning the 808 nm infrared light on/off. And the carrier mobility micro of CuPc nanowires incorporated in the devices was -0.02 cm2/V x s. The prelimenary results in this study show the potential application of metal phthalocyanine nanowires in low-cost fabrication of nano photo-electric devices.

  6. Tuning Rashba spin-orbit coupling in homogeneous semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Wójcik, Paweł; Bertoni, Andrea; Goldoni, Guido

    2018-04-01

    We use k .p theory to estimate the Rashba spin-orbit coupling (SOC) in large semiconductor nanowires. We specifically investigate GaAs- and InSb-based devices with different gate configurations to control symmetry and localization of the electron charge density. We explore gate-controlled SOC for wires of different size and doping, and we show that in high carrier density SOC has a nonlinear electric field susceptibility, due to large reshaping of the quantum states. We analyze recent experiments with InSb nanowires in light of our calculations. Good agreement is found with the SOC coefficients reported in Phys. Rev. B 91, 201413(R) (2015), 10.1103/PhysRevB.91.201413, but not with the much larger values reported in Nat. Commun. 8, 478 (2017), 10.1038/s41467-017-00315-y. We discuss possible origins of this discrepancy.

  7. Giant piezoelectric size effects in zinc oxide and gallium nitride nanowires. A first principles investigation.

    PubMed

    Agrawal, Ravi; Espinosa, Horacio D

    2011-02-09

    Nanowires made of materials with noncentrosymmetric crystal structure are under investigation for their piezoelectric properties and suitability as building blocks for next-generation self-powered nanodevices. In this work, we investigate the size dependence of piezoelectric coefficients in nanowires of two such materials - zinc oxide and gallium nitride. Nanowires, oriented along their polar axis, ranging from 0.6 to 2.4 nm in diameter were modeled quantum mechanically. A giant piezoelectric size effect is identified for both GaN and ZnO nanowires. However, GaN exhibits a larger and more extended size dependence than ZnO. The observed size effect is discussed in the context of charge redistribution near the free surfaces leading to changes in local polarization. The study reveals that local changes in polarization and reduction of unit cell volume with respect to bulk values lead to the observed size effect. These results have strong implication in the field of energy harvesting, as piezoelectric voltage output scales with the piezoelectric coefficient.

  8. Ultrathin Tungsten Oxide Nanowires/Reduced Graphene Oxide Composites for Toluene Sensing

    PubMed Central

    Hassan, Muhammad; Wang, Zhi-Hua; Huang, Wei-Ran; Li, Min-Qiang; Chen, Jia-Fu

    2017-01-01

    Graphene-based composites have gained great attention in the field of gas sensor fabrication due to their higher surface area with additional functional groups. Decorating one-dimensional (1D) semiconductor nanomaterials on graphene also show potential benefits in gas sensing applications. Here we demonstrate the one-pot and low cost synthesis of W18O49 NWs/rGO composites with different amount of reduced graphene oxide (rGO) which show excellent gas-sensing properties towards toluene and strong dependence on their chemical composition. As compared to pure W18O49 NWs, an improved gas sensing response (2.8 times higher) was achieved in case of W18O49 NWs composite with 0.5 wt. % rGO. Promisingly, this strategy can be extended to prepare other nanowire based composites with excellent gas-sensing performance. PMID:28961178

  9. Investigation of the temperature dependent field emission from individual ZnO nanowires for evidence of field-induced hot electrons emission.

    PubMed

    Chen, Yicong; Zhang, Zhipeng; Li, Zhi-Bing; She, Juncong; Deng, Shaozhi; Xu, Ning-Sheng; Chen, Jun

    2018-06-27

    ZnO nanowires as field emitters have important applications in flat panel display and X-ray source. Understanding the intrinsic field emission mechanism is crucial for further improving the performance of ZnO nanowire field emitters. In this article, the temperature dependent field emission from individual ZnO nanowires was investigated by an in-situ measurement in ultra-high vacuum. The divergent temperature-dependent Fowler-Nordheim plots is found in the low field region. A field-induced hot electrons emission model that takes into account penetration length is proposed to explain the results. The carrier density and temperature dependence of the field-induced hot electrons emission current are derived theoretically. The obtained results are consistent with the experimental results, which could be attributed to the variation of effective electron temperature. All of these are important for a better understanding on the field emission process of semiconductor nanostructures. © 2018 IOP Publishing Ltd.

  10. Solution-Based Electro-Orientation Spectroscopy (EOS) for Contactless Measurement of Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Yuan, Wuhan; Mohabir, Amar; Tutuncuoglu, Gozde; Filler, Michael; Feldman, Leonard; Shan, Jerry

    2017-11-01

    Solution-based, contactless methods for determining the electrical conductivity of nanowires and nanotubes have unique advantages over conventional techniques in terms of high throughput and compatibility with further solution-based processing and assembly methods. Here, we describe the solution-based electro-orientation spectroscopy (EOS) method, in which nanowire conductivity is measured from the AC-electric-field-induced alignment rate of the nanowire in a suspending fluid. The particle conductivity is determined from the measured crossover frequency between conductivity-dominated, low-frequency alignment to the permittivity-dominated, high-frequency regime. We discuss the extension of the EOS measurement range by an order-of-magnitude, taking advantage of the high dielectric constant of deionized water. With water and other fluids, we demonstrate that EOS can quantitatively characterize the electrical conductivities of nanowires over a 7-order-of-magnitude range, 10-5 to 102 S/m. We highlight the efficiency and utility of EOS for nanomaterial characterization by statistically characterizing the variability of semiconductor nanowires of the same nominal composition, and studying the connection between synthesis parameters and properties. NSF CBET-1604931.

  11. Integrating Partial Polarization into a Metal-Ferroelectric-Semiconductor Field Effect Transistor Model

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Ho, Fat Duen

    1999-01-01

    The ferroelectric channel in a Metal-Ferroelectric-Semiconductor Field Effect Transistor (MFSFET) can partially change its polarization when the gate voltage near the polarization threshold voltage. This causes the MFSFET Drain current to change with repeated pulses of the same gate voltage near the polarization threshold voltage. A previously developed model [11, based on the Fermi-Dirac function, assumed that for a given gate voltage and channel polarization, a sin-le Drain current value would be generated. A study has been done to characterize the effects of partial polarization on the Drain current of a MFSFET. These effects have been described mathematically and these equations have been incorporated into a more comprehensive mathematical model of the MFSFET. The model takes into account the hysteresis nature of the MFSFET and the time dependent decay as well as the effects of partial polarization. This model defines the Drain current based on calculating the degree of polarization from previous gate pulses, the present Gate voltage, and the amount of time since the last Gate volta-e pulse.

  12. The ITO-capped WO3 nanowires biosensor based on field-effect transistor in label-free protein sensing

    NASA Astrophysics Data System (ADS)

    Shariati, Mohsen

    2017-05-01

    The fabrication of ITO-capped WO3 nanowires associated with their bio-sensing properties in field-effect transistor diagnostics basis as a biosensor has been reported. The bio-sensing property for manipulated nanowires elucidated that the grown nanostructures were very sensitive to protein. The ITO-capped WO3 nanowires biosensor showed an intensive bio-sensing activity against reliable protein. Polylysine strongly charged bio-molecule was applied as model system to demonstrate the implementation of materialized biosensor. The employed sensing mechanism was `label-free' and depended on bio-molecule's intrinsic charge. For nanowires synthesis, the vapor-liquid-solid mechanism was used. Nanowires were beyond a few hundred nanometers in lengths and around 15-20 nm in diameter, while the globe cap's size on the nanowires was around 15-25 nm. The indium tin oxide (ITO) played as catalyst in nanofabrication for WO3 nanowires growth and had outstanding role in bio-sensing especially for bio-molecule adherence. In applied electric field presence, the fabricated device showed the great potential to enhance medical diagnostics.

  13. Sample size requirements for estimating effective dose from computed tomography using solid-state metal-oxide-semiconductor field-effect transistor dosimetry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trattner, Sigal; Cheng, Bin; Pieniazek, Radoslaw L.

    2014-04-15

    Purpose: Effective dose (ED) is a widely used metric for comparing ionizing radiation burden between different imaging modalities, scanners, and scan protocols. In computed tomography (CT), ED can be estimated by performing scans on an anthropomorphic phantom in which metal-oxide-semiconductor field-effect transistor (MOSFET) solid-state dosimeters have been placed to enable organ dose measurements. Here a statistical framework is established to determine the sample size (number of scans) needed for estimating ED to a desired precision and confidence, for a particular scanner and scan protocol, subject to practical limitations. Methods: The statistical scheme involves solving equations which minimize the sample sizemore » required for estimating ED to desired precision and confidence. It is subject to a constrained variation of the estimated ED and solved using the Lagrange multiplier method. The scheme incorporates measurement variation introduced both by MOSFET calibration, and by variation in MOSFET readings between repeated CT scans. Sample size requirements are illustrated on cardiac, chest, and abdomen–pelvis CT scans performed on a 320-row scanner and chest CT performed on a 16-row scanner. Results: Sample sizes for estimating ED vary considerably between scanners and protocols. Sample size increases as the required precision or confidence is higher and also as the anticipated ED is lower. For example, for a helical chest protocol, for 95% confidence and 5% precision for the ED, 30 measurements are required on the 320-row scanner and 11 on the 16-row scanner when the anticipated ED is 4 mSv; these sample sizes are 5 and 2, respectively, when the anticipated ED is 10 mSv. Conclusions: Applying the suggested scheme, it was found that even at modest sample sizes, it is feasible to estimate ED with high precision and a high degree of confidence. As CT technology develops enabling ED to be lowered, more MOSFET measurements are needed to estimate ED with the

  14. Sample size requirements for estimating effective dose from computed tomography using solid-state metal-oxide-semiconductor field-effect transistor dosimetry

    PubMed Central

    Trattner, Sigal; Cheng, Bin; Pieniazek, Radoslaw L.; Hoffmann, Udo; Douglas, Pamela S.; Einstein, Andrew J.

    2014-01-01

    Purpose: Effective dose (ED) is a widely used metric for comparing ionizing radiation burden between different imaging modalities, scanners, and scan protocols. In computed tomography (CT), ED can be estimated by performing scans on an anthropomorphic phantom in which metal-oxide-semiconductor field-effect transistor (MOSFET) solid-state dosimeters have been placed to enable organ dose measurements. Here a statistical framework is established to determine the sample size (number of scans) needed for estimating ED to a desired precision and confidence, for a particular scanner and scan protocol, subject to practical limitations. Methods: The statistical scheme involves solving equations which minimize the sample size required for estimating ED to desired precision and confidence. It is subject to a constrained variation of the estimated ED and solved using the Lagrange multiplier method. The scheme incorporates measurement variation introduced both by MOSFET calibration, and by variation in MOSFET readings between repeated CT scans. Sample size requirements are illustrated on cardiac, chest, and abdomen–pelvis CT scans performed on a 320-row scanner and chest CT performed on a 16-row scanner. Results: Sample sizes for estimating ED vary considerably between scanners and protocols. Sample size increases as the required precision or confidence is higher and also as the anticipated ED is lower. For example, for a helical chest protocol, for 95% confidence and 5% precision for the ED, 30 measurements are required on the 320-row scanner and 11 on the 16-row scanner when the anticipated ED is 4 mSv; these sample sizes are 5 and 2, respectively, when the anticipated ED is 10 mSv. Conclusions: Applying the suggested scheme, it was found that even at modest sample sizes, it is feasible to estimate ED with high precision and a high degree of confidence. As CT technology develops enabling ED to be lowered, more MOSFET measurements are needed to estimate ED with the same

  15. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    NASA Astrophysics Data System (ADS)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  16. Passivation of GaSb using molecular beam epitaxy Y{sub 2}O{sub 3} to achieve low interfacial trap density and high-performance self-aligned inversion-channel p-metal-oxide-semiconductor field-effect-transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chu, R. L.; Chiang, T. H.; Hsueh, W. J.

    2014-11-03

    Molecular beam epitaxy deposited rare-earth oxide of Y{sub 2}O{sub 3} has effectively passivated GaSb, leading to low interfacial trap densities of (1–4) × 10{sup 12 }cm{sup −2} eV{sup −1} across the energy bandgap of GaSb. A high saturation drain current density of 130 μA/μm, a peak transconductance of 90 μS/μm, a low subthreshold slope of 147 mV/decade, and a peak field-effect hole mobility of 200 cm{sup 2}/V-s were obtained in 1 μm-gate-length self-aligned inversion-channel GaSb p-Metal-Oxide-Semiconductor Field-Effect-Transistors (MOSFETs). In this work, high-κ/GaSb interfacial properties were better in samples with a high substrate temperature of 200 °C than in those with high κ's deposited at room temperature, in terms of themore » interfacial electrical properties, particularly, the reduction of interfacial trap densities near the conduction band and the MOSFET device performance.« less

  17. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  18. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation.

    PubMed

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-04

    The metal-oxide semiconductor TiO 2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO 2 , but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO 2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO 2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W -1 ) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO 2 .

  19. Infrared rectification in a nanoantenna-coupled metal-oxide-semiconductor tunnel diode.

    PubMed

    Davids, Paul S; Jarecki, Robert L; Starbuck, Andrew; Burckel, D Bruce; Kadlec, Emil A; Ribaudo, Troy; Shaner, Eric A; Peters, David W

    2015-12-01

    Direct rectification of electromagnetic radiation is a well-established method for wireless power conversion in the microwave region of the spectrum, for which conversion efficiencies in excess of 84% have been demonstrated. Scaling to the infrared or optical part of the spectrum requires ultrafast rectification that can only be obtained by direct tunnelling. Many research groups have looked to plasmonics to overcome antenna-scaling limits and to increase the confinement. Recently, surface plasmons on heavily doped Si surfaces were investigated as a way of extending surface-mode confinement to the thermal infrared region. Here we combine a nanostructured metallic surface with a heavily doped Si infrared-reflective ground plane designed to confine infrared radiation in an active electronic direct-conversion device. The interplay of strong infrared photon-phonon coupling and electromagnetic confinement in nanoscale devices is demonstrated to have a large impact on ultrafast electronic tunnelling in metal-oxide-semiconductor (MOS) structures. Infrared dispersion of SiO2 near a longitudinal optical (LO) phonon mode gives large transverse-field confinement in a nanometre-scale oxide-tunnel gap as the wavelength-dependent permittivity changes from 1 to 0, which leads to enhanced electromagnetic fields at material interfaces and a rectified displacement current that provides a direct conversion of infrared radiation into electric current. The spectral and electrical signatures of the nanoantenna-coupled tunnel diodes are examined under broadband blackbody and quantum-cascade laser (QCL) illumination. In the region near the LO phonon resonance, we obtained a measured photoresponsivity of 2.7 mA W(-1) cm(-2) at -0.1 V.

  20. Experimental phase diagram of zero-bias conductance peaks in superconductor/semiconductor nanowire devices

    PubMed Central

    Chen, Jun; Yu, Peng; Stenger, John; Hocevar, Moïra; Car, Diana; Plissard, Sébastien R.; Bakkers, Erik P. A. M.; Stanescu, Tudor D.; Frolov, Sergey M.

    2017-01-01

    Topological superconductivity is an exotic state of matter characterized by spinless p-wave Cooper pairing of electrons and by Majorana zero modes at the edges. The first signature of topological superconductivity is a robust zero-bias peak in tunneling conductance. We perform tunneling experiments on semiconductor nanowires (InSb) coupled to superconductors (NbTiN) and establish the zero-bias peak phase in the space of gate voltage and external magnetic field. Our findings are consistent with calculations for a finite-length topological nanowire and provide means for Majorana manipulation as required for braiding and topological quantum bits. PMID:28913432

  1. Assessment of radiation exposure in dental cone-beam computerized tomography with the use of metal-oxide semiconductor field-effect transistor (MOSFET) dosimeters and Monte Carlo simulations.

    PubMed

    Koivisto, J; Kiljunen, T; Tapiovaara, M; Wolff, J; Kortesniemi, M

    2012-09-01

    The aims of this study were to assess the organ and effective dose (International Commission on Radiological Protection (ICRP) 103) resulting from dental cone-beam computerized tomography (CBCT) imaging using a novel metal-oxide semiconductor field-effect transistor (MOSFET) dosimeter device, and to assess the reliability of the MOSFET measurements by comparing the results with Monte Carlo PCXMC simulations. Organ dose measurements were performed using 20 MOSFET dosimeters that were embedded in the 8 most radiosensitive organs in the maxillofacial and neck area. The dose-area product (DAP) values attained from CBCT scans were used for PCXMC simulations. The acquired MOSFET doses were then compared with the Monte Carlo simulations. The effective dose measurements using MOSFET dosimeters yielded, using 0.5-cm steps, a value of 153 μSv and the PCXMC simulations resulted in a value of 136 μSv. The MOSFET dosimeters placed in a head phantom gave results similar to Monte Carlo simulations. Minor vertical changes in the positioning of the phantom had a substantial affect on the overall effective dose. Therefore, the MOSFET dosimeters constitute a feasible method for dose assessment of CBCT units in the maxillofacial region. Copyright © 2012 Elsevier Inc. All rights reserved.

  2. Observations of the Kondo effect and its coexistence with ferromagnetism in a magnetically undoped metal oxide nanostructure

    NASA Astrophysics Data System (ADS)

    Sapkota, Keshab R.; Maloney, F. Scott; Wang, Wenyong

    2018-04-01

    In this work, we report unusual observations of Kondo effect and coexistence of Kondo effect and ferromagnetism in indium tin oxide (ITO) nanowires that were synthesized without incorporating any magnetic impurities. The temperature-dependent resistivity (ρ -T ) data exhibited an upturn below 80 K and then tended to saturate below 10 K. The ρ -T and magnetoresistance data were analyzed using the n -channel Kondo model, and from the obtained values of S =1 and n ˜1 , the nanowires were expected to be an underscreened Kondo system. A model was also proposed to explain the formation of localized S =1 spin centers in the ITO nanowires. This work could provide insights into the understanding of spin-related novel phenomena in metal oxide nanostructures.

  3. Structure of assemblies of metal nanowires in mesoporous alumina membranes studied by EXAFS, XANES, X-ray diffraction and SAXS.

    PubMed

    Benfield, Robert E; Grandjean, Didier; Dore, John C; Esfahanian, Hamid; Wu, Zhonghua; Kröll, Michael; Geerkens, Marcus; Schmid, Günter

    2004-01-01

    Mesoporous alumina membranes ("anodic aluminium oxide", or "AAO") are made by anodic oxidation of aluminium metal. These membranes contain hexagonal arrays of parallel non-intersecting cylindrical pores perpendicular to the membrane surface. By varying the anodisation voltage, the pore diameters are controllable within the range 5-250 nm. We have used AAO membranes as templates for the electrochemical deposition of metals within the pores to produce nanowires. These represent assemblies of one-dimensional quantum wires with prospective applications in electronic, optoelectronic and magnetic devices. Detailed characterisation of the structures of these nanowire assemblies on a variety of length scales is essential to understand their physical properties and evaluate their possible applications. We have used EXAFS, XANES, WAXS, high energy X-ray diffraction and SAXS to study their structure and bonding. In this paper we report the results of our studies of four different nanowire systems supported in AAO membranes. These are the ferromagnetic metals iron and cobalt, the superconducting metal tin, and the semiconductor gallium nitride. Iron nanowires in pores of diameter over the range 12 nm-72 nm are structurally very similar to bcc bulk iron. They have a strong preferred orientation within the alumina pores. Their XANES shows significant differences from that of bulk iron, showing that the electronic structure of the iron nanowires depends systematically on their diameter. Cobalt nanowires are composed of a mixture of hcp and fcc phases, but the ratio of the two phases does not depend in a simple way on the pore diameter or preparation conditions. In bulk cobalt, the fcc beta-phase is normally stable only at high temperatures. Strong preferred orientation of the c-axis in the pores was found. Tin nanowires in alumina membranes with pores diameters between 12 nm and 72 nm have a tetragonal beta-structure at ambient temperature and also at 80 K. Magnetic

  4. Penetration length-dependent hot electrons in the field emission from ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Chen, Yicong; Song, Xiaomeng; Li, Zhibing; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-01-01

    In the framework of field emission, whether or not hot electrons can form in the semiconductor emitters under a surface penetration field is of great concern, which will provide not only a comprehensive physical picture of field emission from semiconductor but also guidance on how to improve device performance. However, apart from some theoretical work, its experimental evidence has not been reported yet. In this article, the field penetration length-dependent hot electrons were observed in the field emission of ZnO nanowires through the in-situ study of its electrical and field emission characteristic before and after NH3 plasma treatment in an ultrahigh vacuum system. After the treatment, most of the nanowires have an increased carrier density but reduced field emission current. The raised carrier density was caused by the increased content of oxygen vacancies, while the degraded field emission current was attributed to the lower kinetic energy of hot electrons caused by the shorter penetration length. All of these results suggest that the field emission properties of ZnO nanowires can be optimized by modifying their carrier density to balance both the kinetic energy of field induced hot electrons and the limitation of saturated current under a given field.

  5. Nanophase diagram of binary eutectic Au-Ge nanoalloys for vapor-liquid-solid semiconductor nanowires growth

    NASA Astrophysics Data System (ADS)

    Lu, Haiming; Meng, Xiangkang

    2015-06-01

    Although the vapor-liquid-solid growth of semiconductor nanowire is a non-equilibrium process, the equilibrium phase diagram of binary alloy provides important guidance on the growth conditions, such as the temperature and the equilibrium composition of the alloy. Given the small dimensions of the alloy seeds and the nanowires, the known phase diagram of bulk binary alloy cannot be expected to accurately predict the behavior of the nanowire growth. Here, we developed a unified model to describe the size- and dimensionality-dependent equilibrium phase diagram of Au-Ge binary eutectic nanoalloys based on the size-dependent cohesive energy model. It is found that the liquidus curves reduce and shift leftward with decreasing size and dimensionality. Moreover, the effects of size and dimensionality on the eutectic composition are small and negligible when both components in binary eutectic alloys have the same dimensionality. However, when two components have different dimensionality (e.g. Au nanoparticle-Ge nanowire usually used in the semiconductor nanowires growth), the eutectic composition reduces with decreasing size.

  6. Integration of ZnO and CuO nanowires into a thermoelectric module

    PubMed Central

    Dalola, Simone; Faglia, Guido; Comini, Elisabetta; Ferroni, Matteo; Soldano, Caterina; Ferrari, Vittorio; Sberveglieri, Giorgio

    2014-01-01

    Summary Zinc oxide (ZnO, n-type) and copper oxide (CuO, p-type) nanowires have been synthesized and preliminarily investigated as innovative materials for the fabrication of a proof-of-concept thermoelectric device. The Seebeck coefficients, electrical conductivity and thermoelectric power factors (TPF) of both semiconductor materials have been determined independently using a custom experimental set-up, leading to results in agreement with available literature with potential improvement. Combining bundles of ZnO and CuO nanowires in a series of five thermocouples on alumina leads to a macroscopic prototype of a planar thermoelectric generator (TEG) unit. This demonstrates the possibility of further integration of metal oxide nanostructures into efficient thermoelectric devices. PMID:24991531

  7. Integration of ZnO and CuO nanowires into a thermoelectric module.

    PubMed

    Zappa, Dario; Dalola, Simone; Faglia, Guido; Comini, Elisabetta; Ferroni, Matteo; Soldano, Caterina; Ferrari, Vittorio; Sberveglieri, Giorgio

    2014-01-01

    Zinc oxide (ZnO, n-type) and copper oxide (CuO, p-type) nanowires have been synthesized and preliminarily investigated as innovative materials for the fabrication of a proof-of-concept thermoelectric device. The Seebeck coefficients, electrical conductivity and thermoelectric power factors (TPF) of both semiconductor materials have been determined independently using a custom experimental set-up, leading to results in agreement with available literature with potential improvement. Combining bundles of ZnO and CuO nanowires in a series of five thermocouples on alumina leads to a macroscopic prototype of a planar thermoelectric generator (TEG) unit. This demonstrates the possibility of further integration of metal oxide nanostructures into efficient thermoelectric devices.

  8. Single ZnO nanowire-PZT optothermal field effect transistors.

    PubMed

    Hsieh, Chun-Yi; Lu, Meng-Lin; Chen, Ju-Ying; Chen, Yung-Ting; Chen, Yang-Fang; Shih, Wan Y; Shih, Wei-Heng

    2012-09-07

    A new type of pyroelectric field effect transistor based on a composite consisting of single zinc oxide nanowire and lead zirconate titanate (ZnO NW-PZT) has been developed. Under infrared (IR) laser illumination, the transconductance of the ZnO NW can be modulated by optothermal gating. The drain current can be increased or decreased by IR illumination depending on the polarization orientation of the Pb(Zr(0.3)Ti(0.7))O(3) (PZT) substrate. Furthermore, by combining the photocurrent behavior in the UV range and the optothermal gating effect in the IR range, the wide spectrum of response of current by light offers a variety of opportunities for nanoscale optoelectronic devices.

  9. Extended Characterization of the Common-Source and Common-Gate Amplifiers using a Metal-Ferroelectric-Semiconductor Field Effect Transistor

    NASA Technical Reports Server (NTRS)

    Hunt, Mitchell; Sayyah, Rana; Mitchell, Cody; Laws, Crystal; MacLeod, Todd C.; Ho, Fat D.

    2013-01-01

    Collected data for both common-source and common-gate amplifiers is presented in this paper. Characterizations of the two amplifier circuits using metal-ferroelectric-semiconductor field effect transistors (MFSFETs) are developed with wider input frequency ranges and varying device sizes compared to earlier characterizations. The effects of the ferroelectric layer's capacitance and variation load, quiescent point, or input signal on each circuit are discussed. Comparisons between the MFSFET and MOSFET circuit operation and performance are discussed at length as well as applications and advantages for the MFSFETs.

  10. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  11. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  12. Origin of noise in liquid-gated Si nanowire troponin biosensors.

    PubMed

    Kutovyi, Y; Zadorozhnyi, I; Hlukhova, H; Handziuk, V; Petrychuk, M; Ivanchuk, Andriy; Vitusevich, S

    2018-04-27

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  13. Origin of noise in liquid-gated Si nanowire troponin biosensors

    NASA Astrophysics Data System (ADS)

    Kutovyi, Y.; Zadorozhnyi, I.; Hlukhova, H.; Handziuk, V.; Petrychuk, M.; Ivanchuk, Andriy; Vitusevich, S.

    2018-04-01

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  14. Nonlinear electronic transport and enhanced catalytic behavior caused by native oxides on Cu nanowires

    NASA Astrophysics Data System (ADS)

    Hajimammadov, Rashad; Csendes, Zita; Ojakoski, Juha-Matti; Lorite, Gabriela Simone; Mohl, Melinda; Kordas, Krisztian

    2017-09-01

    Electrical transport properties of individual nanowires (both in axial and transversal directions) and their random networks suggest rapid oxidation when Cu is exposed to ambient conditions. The oxidation process is elucidated by thorough XRD, XPS and Raman analyzes conducted for a period of 30 days. Based on the obtained experimental data, we may conclude that first, cuprous oxide and copper hydroxide form that finally transform to cupric oxide. In electrical applications, oxidation of copper is not a true problem as long as thin films or bulk metal is concerned. However, as highlighted in our work, this is not the case for nanowires, since the oxidized surface plays quite important role in the contact formation and also in the conduction of percolated nanowire networks. On the other hand, by taking advantage of the mixed surface oxide states present on the nanowires along with their large specific surface area, we tested and found excellent catalytic activity of the oxidized nanowires in phenol oxidation, which suggests further applications of these materials in catalysis.

  15. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  16. Chemical segregation in metallic glass nanowires.

    PubMed

    Zhang, Qi; Li, Qi-Kai; Li, Mo

    2014-11-21

    Nanowires made of metallic glass have been actively pursued recently due to the superb and unique properties over those of the crystalline materials. The amorphous nanowires are synthesized either at high temperature or via mechanical disruption using focused ion beam. These processes have potential to cause significant changes in structure and chemical concentration, as well as formation of defect or imperfection, but little is known to date about the possibilities and mechanisms. Here, we report chemical segregation to surfaces and its mechanisms in metallic glass nanowires made of binary Cu and Zr elements from molecular dynamics simulation. Strong concentration deviation are found in the nanowires under the conditions similar to these in experiment via focused ion beam processing, hot imprinting, and casting by rapid cooling from liquid state. Our analysis indicates that non-uniform internal stress distribution is a major cause for the chemical segregation, especially at low temperatures. Extension is discussed for this observation to multicomponent metallic glass nanowires as well as the potential applications and side effects of the composition modulation. The finding also points to the possibility of the mechanical-chemical process that may occur in different settings such as fracture, cavitation, and foams where strong internal stress is present in small length scales.

  17. Comparison of junctionless and inversion-mode p-type metal-oxide-semiconductor field-effect transistors in presence of hole-phonon interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dib, E., E-mail: elias.dib@for.unipi.it; Carrillo-Nuñez, H.; Cavassilas, N.

    Junctionless transistors are being considered as one of the alternatives to conventional metal-oxide field-effect transistors. In this work, it is then presented a simulation study of silicon double-gated p-type junctionless transistors compared with its inversion-mode counterpart. The quantum transport problem is solved within the non-equilibrium Green's function formalism, whereas hole-phonon interactions are tackled by means of the self-consistent Born approximation. Our findings show that junctionless transistors should perform as good as a conventional transistor only for ultra-thin channels, with the disadvantage of requiring higher supply voltages in thicker channel configurations.

  18. Asymmetric photon transport in organic semiconductor nanowires through electrically controlled exciton diffusion

    PubMed Central

    Cui, Qiu Hong; Peng, Qian; Luo, Yi; Jiang, Yuqian; Yan, Yongli; Wei, Cong; Shuai, Zhigang; Sun, Cheng; Yao, Jiannian; Zhao, Yong Sheng

    2018-01-01

    The ability to steer the flow of light toward desired propagation directions is critically important for the realization of key functionalities in optical communication and information processing. Although various schemes have been proposed for this purpose, the lack of capability to incorporate an external electric field to effectively tune the light propagation has severely limited the on-chip integration of photonics and electronics. Because of the noninteractive nature of photons, it is only possible to electrically control the flow of light by modifying the refractive index of materials through the electro-optic effect. However, the weak optical effects need to be strongly amplified for practical applications in high-density photonic integrations. We show a new strategy that takes advantage of the strong exciton-photon coupling in active waveguides to effectively manipulate photon transport by controlling the interaction between excitons and the external electric field. Single-crystal organic semiconductor nanowires were used to generate highly stable Frenkel exciton polaritons with strong binding and diffusion abilities. By making use of directional exciton diffusion in an external electric field, we have realized an electrically driven asymmetric photon transport and thus directional light propagation in a single nanowire. With this new concept, we constructed a dual-output single wire–based device to build an electrically controlled single-pole double-throw optical switch with fast temporal response and high switching frequency. Our findings may lead to the innovation of concepts and device architectures for optical information processing. PMID:29556529

  19. Effective dose estimation for pediatric upper gastrointestinal examinations using an anthropomorphic phantom set and metal oxide semiconductor field-effect transistor (MOSFET) technology.

    PubMed

    Emigh, Brent; Gordon, Christopher L; Connolly, Bairbre L; Falkiner, Michelle; Thomas, Karen E

    2013-09-01

    There is a need for updated radiation dose estimates in pediatric fluoroscopy given the routine use of new dose-saving technologies and increased radiation safety awareness in pediatric imaging. To estimate effective doses for standardized pediatric upper gastrointestinal (UGI) examinations at our institute using direct dose measurement, as well as provide dose-area product (DAP) to effective dose conversion factors to be used for the estimation of UGI effective doses for boys and girls up to 10 years of age at other centers. Metal oxide semiconductor field-effect transistor (MOSFET) dosimeters were placed within four anthropomorphic phantoms representing children ≤10 years of age and exposed to mock UGI examinations using exposures much greater than used clinically to minimize measurement error. Measured effective dose was calculated using ICRP 103 weights and scaled to our institution's standardized clinical UGI (3.6-min fluoroscopy, four spot exposures and four examination beam projections) as determined from patient logs. Results were compared to Monte Carlo simulations and related to fluoroscope-displayed DAP. Measured effective doses for standardized pediatric UGI examinations in our institute ranged from 0.35 to 0.79 mSv in girls and were 3-8% lower for boys. Simulation-derived and measured effective doses were in agreement (percentage differences <19%, T > 0.18). DAP-to-effective dose conversion factors ranged from 6.5 ×10(-4) mSv per Gy-cm(2) to 4.3 × 10(-3) mSv per Gy-cm(2) for girls and were similarly lower for boys. Using modern fluoroscopy equipment, the effective dose associated with the UGI examination in children ≤10 years at our institute is < 1 mSv. Estimations of effective dose associated with pediatric UGI examinations can be made for children up to the age of 10 using the DAP-normalized conversion factors provided in this study. These estimates can be further refined to reflect individual hospital examination

  20. Fringing field effects in negative capacitance field-effect transistors with a ferroelectric gate insulator

    NASA Astrophysics Data System (ADS)

    Hattori, Junichi; Fukuda, Koichi; Ikegami, Tsutomu; Ota, Hiroyuki; Migita, Shinji; Asai, Hidehiro; Toriumi, Akira

    2018-04-01

    We study the effects of fringing electric fields on the behavior of negative-capacitance (NC) field-effect transistors (FETs) with a silicon-on-insulator body and a gate stack consisting of an oxide film, an internal metal film, a ferroelectric film, and a gate electrode using our own device simulator that can properly handle the complicated relationship between the polarization and the electric field in ferroelectric materials. The behaviors of such NC FETs and the corresponding metal-oxide-semiconductor (MOS) FETs are simulated and compared with each other to evaluate the effects of the NC of the ferroelectric film. Then, the fringing field effects are evaluated by comparing the NC effects in NC FETs with and without gate spacers. The fringing field between the gate stack, especially the internal metal film, and the source/drain region induces more charges at the interface of the film with the ferroelectric film. Accordingly, the function of the NC to modulate the gate voltage and the resulting function to improve the subthreshold swing are enhanced. We also investigate the relationships of these fringing field effects to the drain voltage and four design parameters of NC FETs, i.e., gate length, gate spacer permittivity, internal metal film thickness, and oxide film thickness.

  1. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  2. Nanowire-Intensified Metal-Enhanced Fluorescence in Hybrid Polymer-Plasmonic Electrospun Filaments.

    PubMed

    Camposeo, Andrea; Jurga, Radoslaw; Moffa, Maria; Portone, Alberto; Cardarelli, Francesco; Della Sala, Fabio; Ciracì, Cristian; Pisignano, Dario

    2018-05-01

    Hybrid polymer-plasmonic nanostructures might combine high enhancement of localized fields from metal nanoparticles with light confinement and long-range transport in subwavelength dielectric structures. Here, the complex behavior of fluorophores coupling to Au nanoparticles within polymer nanowires, which features localized metal-enhanced fluorescence (MEF) with unique characteristics compared to conventional structures, is reported. The intensification effect when the particle is placed in the organic filaments is remarkably higher with respect to thin films of comparable thickness, thus highlighting a specific, nanowire-related enhancement of MEF effects. A dependence on the confinement volume in the dielectric nanowire is also indicated, with MEF significantly increasing upon reduction of the wire diameter. These findings are rationalized by finite element simulations, predicting a position-dependent enhancement of the quantum yield of fluorophores embedded in the fibers. Calculation of the ensemble-averaged fluorescence enhancement unveils the possibility of strongly enhancing the overall emission intensity for structures with size twice the diameter of the embedded metal particles. These new, hybrid fluorescent systems with localized enhanced emission, and the general nanowire-enhanced MEF effects associated to them, are highly relevant for developing nanoscale light-emitting devices with high efficiency and intercoupled through nanofiber networks, highly sensitive optical sensors, and novel laser architectures. © 2018 The Authors. Published by WILEY-VCH Verlag GmbH & Co. KGaA Weinheim.

  3. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  4. Synthesis of hexagonal ultrathin tungsten oxide nanowires with diameters below 5 nm for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    Lu, Huidan; Zhu, Qin; Zhang, Mengying; Yan, Yi; Liu, Yongping; Li, Ming; Yang, Zhishu; Geng, Peng

    2018-04-01

    Semiconductor with one dimension (1D) ultrathin nanostructure has been proved to be a promising nanomaterial in photocatalytic field. Great efforts were made on preparation of monoclinic ultrathin tungsten oxide nanowires. However, non-monoclinic phase tungsten oxides with 1D ultrathin structure, especially less than 5 nm width, have not been reported. Herein, we report the synthesis of hexagonal ultrathin tungsten oxide nanowires (U-WOx NW) by modified hydrothermal method. Microstructure characterization showed that U-WOx NW have the diameters of 1-3 nm below 5 nm and are hexagonal phase sub-stoichiometric WOx. U-WOx NW show absorption tail in the visible and near infrared region due to oxygen vacancies. For improving further photocatalytic performance, Ag co-catalyst was grown directly onto U-WOx NW surface by in situ redox reaction. Photocatalytic measurements revealed hexagonal U-WOx NW have better photodegradation activity, compared with commercial WO3(C-WO3) and oxidized U-WOx NW, ascribe to larger surface area, short diffusion length of photo-generated charge carriers and visible absorption of oxygen-vacancy-rich hexagonal ultrathin nanostructures. Moreover, the photocatalytic activity and stability of U-WOx NW using Ag co-catalyst were further improved.

  5. Combining axial and radial nanowire heterostructures: radial Esaki diodes and tunnel field-effect transistors.

    PubMed

    Dey, Anil W; Svensson, Johannes; Ek, Martin; Lind, Erik; Thelander, Claes; Wernersson, Lars-Erik

    2013-01-01

    The ever-growing demand on high-performance electronics has generated transistors with very impressive figures of merit (Radosavljevic et al., IEEE Int. Devices Meeting 2009, 1-4 and Cho et al., IEEE Int. Devices Meeting 2011, 15.1.1-15.1.4). The continued scaling of the supply voltage of field-effect transistors, such as tunnel field-effect transistors (TFETs), requires the implementation of advanced transistor architectures including FinFETs and nanowire devices. Moreover, integration of novel materials with high electron mobilities, such as III-V semiconductors and graphene, are also being considered to further enhance the device properties (del Alamo, Nature 2011, 479, 317-323, and Liao et al., Nature 2010, 467, 305-308). In nanowire devices, boosting the drive current at a fixed supply voltage or maintaining a constant drive current at a reduced supply voltage may be achieved by increasing the cross-sectional area of a device, however at the cost of deteriorated electrostatics. A gate-all-around nanowire device architecture is the most favorable electrostatic configuration to suppress short channel effects; however, the arrangement of arrays of parallel vertical nanowires to address the drive current predicament will require additional chip area. The use of a core-shell nanowire with a radial heterojunction in a transistor architecture provides an attractive means to address the drive current issue without compromising neither chip area nor device electrostatics. In addition to design advantages of a radial transistor architecture, we in this work illustrate the benefit in terms of drive current per unit chip area and compare the experimental data for axial GaSb/InAs Esaki diodes and TFETs to their radial counterparts and normalize the electrical data to the largest cross-sectional area of the nanowire, i.e. the occupied chip area, assuming a vertical device geometry. Our data on lateral devices show that radial Esaki diodes deliver almost 7 times higher peak

  6. Catching the electron in action in real space inside a Ge-Si core-shell nanowire transistor.

    PubMed

    Jaishi, Meghnath; Pati, Ranjit

    2017-09-21

    Catching the electron in action in real space inside a semiconductor Ge-Si core-shell nanowire field effect transistor (FET), which has been demonstrated (J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, Nature, 2006, 441, 489) to outperform the state-of-the-art metal oxide semiconductor FET, is central to gaining unfathomable access into the origin of its functionality. Here, using a quantum transport approach that does not make any assumptions on electronic structure, charge, and potential profile of the device, we unravel the most probable tunneling pathway for electrons in a Ge-Si core-shell nanowire FET with orbital level spatial resolution, which demonstrates gate bias induced decoupling of electron transport between the core and the shell region. Our calculation yields excellent transistor characteristics as noticed in the experiment. Upon increasing the gate bias beyond a threshold value, we observe a rapid drop in drain current resulting in a gate bias driven negative differential resistance behavior and switching in the sign of trans-conductance. We attribute this anomalous behavior in drain current to the gate bias induced modification of the carrier transport pathway from the Ge core to the Si shell region of the nanowire channel. A new experiment involving a four probe junction is proposed to confirm our prediction on gate bias induced decoupling.

  7. NiMn layered double hydroxide nanosheets/NiCo2O4 nanowires with surface rich high valence state metal oxide as an efficient electrocatalyst for oxygen evolution reaction

    NASA Astrophysics Data System (ADS)

    Yang, Liting; Chen, Lin; Yang, Dawen; Yu, Xu; Xue, Huaiguo; Feng, Ligang

    2018-07-01

    High valence transition metal oxide is significant for anode catalyst of proton membrane water electrolysis technique. Herein, we demonstrate NiMn layered double hydroxide nanosheets/NiCo2O4 nanowires hierarchical nanocomposite catalyst with surface rich high valence metal oxide as an efficient catalyst for oxygen evolution reaction. A low overpotential of 310 mV is needed to drive a 10 mA cm-2 with a Tafel slope of 99 mV dec-1, and a remarkable stability during 8 h is demonstrated in a chronoamperometry test. Theoretical calculation displays the change in the rate-determining step on the nanocomposite electrode in comparison to NiCo2O4 nanowires alone. It is found high valence Ni and Mn oxide in the catalyst system can efficiently facilitate the charge transport across the electrode/electrolyte interface. The enhanced electrical conductivity, more accessible active sites and synergistic effects between NiMn layered double hydroxide nanosheets and NiCo2O4 nanowires can account for the excellent oxygen evolution reaction. The catalytic performance is comparable to most of the best non-noble catalysts and IrO2 noble catalyst, indicating the promising applications in water-splitting technology. It is an important step in the development of hierarchical nanocomposites by surface valence state tuning as an alternative to noble metals for oxygen evolution reaction.

  8. Spectrally selective solar absorber with sharp and temperature dependent cut-off based on semiconductor nanowire arrays

    NASA Astrophysics Data System (ADS)

    Wang, Yang; Zhou, Lin; Zheng, Qinghui; Lu, Hong; Gan, Qiaoqiang; Yu, Zongfu; Zhu, Jia

    2017-05-01

    Spectrally selective absorbers (SSA) with high selectivity of absorption and sharp cut-off between high absorptivity and low emissivity are critical for efficient solar energy conversion. Here, we report the semiconductor nanowire enabled SSA with not only high absorption selectivity but also temperature dependent sharp absorption cut-off. By taking advantage of the temperature dependent bandgap of semiconductors, we systematically demonstrate that the absorption cut-off profile of the semiconductor-nanowire-based SSA can be flexibly tuned, which is quite different from most of the other SSA reported so far. As an example, silicon nanowire based selective absorbers are fabricated, with the measured absorption efficiency above (below) bandgap ˜97% (15%) combined with an extremely sharp absorption cut-off (transition region ˜200 nm), the sharpest SSA demonstrated so far. The demonstrated semiconductor-nanowire-based SSA can enable a high solar thermal efficiency of ≳86% under a wide range of operating conditions, which would be competitive candidates for the concentrated solar energy utilizations.

  9. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  10. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal-oxide-semiconductor field effect transistor channels

    NASA Astrophysics Data System (ADS)

    Grasby, T. J.; Parry, C. P.; Phillips, P. J.; McGregor, B. M.; Morris, , R. J. H.; Braithwaite, G.; Whall, T. E.; Parker, E. H. C.; Hammond, R.; Knights, A. P.; Coleman, P. G.

    1999-03-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V-1 s-1 for a sheet density of 6.2×1011 cm-2. The low temperature growth yields highly planar interfaces, which are maintained after anneal as evidenced from transmission electron microscopy. This and secondary ion mass spectroscopy measurements demonstrate that the metastably strained alloy layer can endure the in situ anneal procedure necessary for enhanced electrical properties. Further studies have shown that the layers can also withstand a 120 min thermal oxidation at 800 °C, commensurate with metal-oxide-semiconductor device fabrication.

  11. Optically controllable nanobreaking of metallic nanowires

    NASA Astrophysics Data System (ADS)

    Zhou, Lina; Lu, Jinsheng; Yang, Hangbo; Luo, Si; Wang, Wei; Lv, Jun; Qiu, Min; Li, Qiang

    2017-02-01

    Nanobreaking of nanowires has shown its necessity for manufacturing integrated nanodevices as nanojoining does. In this letter, we develop a method for breaking gold pentagonal nanowires by taking advantage of the photothermal effect with a 532 nm continuous-wave (CW) laser. The critical power required for nanobreaking is much lower for perpendicular polarization than that for parallel polarization. By controlling the polarization and the power of the irradiation light for nanobreaking, the nanowires can be cut into segments with gap widths ranging from dozens of nanometers to several micrometers. This CW light-induced single point nanobreaking of metallic nanowires provides a highly useful and promising method in constructing nanosystems.

  12. The effect of Cu doping on the mechanical and optical properties of zinc oxide nanowires synthesized by hydrothermal route.

    PubMed

    Robak, Elżbieta; Coy, Emerson; Kotkowiak, Michał; Jurga, Stefan; Załęski, Karol; Drozdowski, Henryk

    2016-04-29

    Zinc oxide (ZnO) is a wide-bandgap semiconductor material with applications in a variety of fields such as electronics, optoelectronic and solar cells. However, much of these applications demand a reproducible, reliable and controllable synthesis method that takes special care of their functional properties. In this work ZnO and Cu-doped ZnO nanowires are obtained by an optimized hydrothermal method, following the promising results which ZnO nanostructures have shown in the past few years. The morphology of as-prepared and copper-doped ZnO nanostructures is investigated by means of scanning electron microscopy and high resolution transmission electron microscopy. X-ray diffraction is used to study the impact of doping on the crystalline structure of the wires. Furthermore, the mechanical properties (nanoindentation) and the functional properties (absorption and photoluminescence measurements) of ZnO nanostructures are examined in order to assess their applicability in photovoltaics, piezoelectric and hybrids nanodevices. This work shows a strong correlation between growing conditions, morphology, doping and mechanical as well as optical properties of ZnO nanowires.

  13. Organic-on-silicon complementary metal-oxide-semiconductor colour image sensors.

    PubMed

    Lim, Seon-Jeong; Leem, Dong-Seok; Park, Kyung-Bae; Kim, Kyu-Sik; Sul, Sangchul; Na, Kyoungwon; Lee, Gae Hwang; Heo, Chul-Joon; Lee, Kwang-Hee; Bulliard, Xavier; Satoh, Ryu-Ichi; Yagi, Tadao; Ro, Takkyun; Im, Dongmo; Jung, Jungkyu; Lee, Myungwon; Lee, Tae-Yon; Han, Moon Gyu; Jin, Yong Wan; Lee, Sangyoon

    2015-01-12

    Complementary metal-oxide-semiconductor (CMOS) colour image sensors are representative examples of light-detection devices. To achieve extremely high resolutions, the pixel sizes of the CMOS image sensors must be reduced to less than a micron, which in turn significantly limits the number of photons that can be captured by each pixel using silicon (Si)-based technology (i.e., this reduction in pixel size results in a loss of sensitivity). Here, we demonstrate a novel and efficient method of increasing the sensitivity and resolution of the CMOS image sensors by superposing an organic photodiode (OPD) onto a CMOS circuit with Si photodiodes, which consequently doubles the light-input surface area of each pixel. To realise this concept, we developed organic semiconductor materials with absorption properties selective to green light and successfully fabricated highly efficient green-light-sensitive OPDs without colour filters. We found that such a top light-receiving OPD, which is selective to specific green wavelengths, demonstrates great potential when combined with a newly designed Si-based CMOS circuit containing only blue and red colour filters. To demonstrate the effectiveness of this state-of-the-art hybrid colour image sensor, we acquired a real full-colour image using a camera that contained the organic-on-Si hybrid CMOS colour image sensor.

  14. Exploring the Electronic Landscape at Interfaces and Junctions in Semiconductor Nanowire Devices with Subsurface Local Probing of Carrier Dynamics

    NASA Astrophysics Data System (ADS)

    McGuckin, Terrence

    The solid state devices that are pervasive in our society, are based on building blocks composed of interfaces between materials and junctions that manipulate how charge carriers behave in a device. As the dimensions of these devices are reduced to the nanoscale, surfaces and interfaces play a larger role in the behavior of carriers in devices and must be thoroughly investigated to understand not only the material properties but how these materials interact. Separating the effects of these different building blocks is a challenge, as most testing methods measure the performance of the whole device. Semiconductor nanowires represent an excellent test system to explore the limits of size and novel device structures. The behavior of charge carriers in semiconductor nanowire devices under operational conditions is investigated using local probing technique electron beam induced current (EBIC). The behavior of locally excited carriers are driven by the forces of drift, from electric fields within a device at junctions, surfaces, contacts and, applied voltage bias, and diffusion. This thesis presents the results of directly measuring these effects spatially with nanometer resolution, using EBIC in Ge, Si, and complex heterostructure GaAs/AlGaAs nanowire devices. Advancements to the EBIC technique, have pushed the resolution from tens of nanometers down to 1 to 2 nanometers. Depth profiling and tuning of the interaction volume allows for the separating the signal originating from the surface and the interior of the nanowire. Radial junctions and variations in bands can now be analyzed including core/shell hetero-structures. This local carrier probing reveals a number of surprising behaviors; Most notably, directly imaging the evolution of surface traps filling with electrons causing bandbending at the surface of Ge nanowires that leads to an enhancement in the charge separation of electrons and holes, and extracting different characteristic lengths from GaAs and AlGaAs in

  15. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  16. Silicon nanowire biologically sensitive field effect transistors: electrical characteristics and applications.

    PubMed

    Rim, Taiuk; Baek, Chang-Ki; Kim, Kihyun; Jeong, Yoon-Ha; Lee, Jeong-Soo; Meyyappan, M

    2014-01-01

    The interest in biologically sensitive field effect transistors (BioFETs) is growing explosively due to their potential as biosensors in biomedical, environmental monitoring and security applications. Recently, adoption of silicon nanowires in BioFETs has enabled enhancement of sensitivity, device miniaturization, decreasing power consumption and emerging applications such as the 3D cell probe. In this review, we describe the device physics and operation of the silicon nanowire BioFETs along with recent advances in the field. The silicon nanowire BioFETs are basically the same as the conventional field-effect transistors (FETs) with the exceptions of nanowire channel instead of thin film and a liquid gate instead of the conventional gate. Therefore, the silicon device physics is important to understand the operation of the BioFETs. Herein, physical characteristics of the silicon nanowire FETs are described and the operational principles of the BioFETs are classified according to the number of gates and the analysis domain of the measured signal. Even the bottom-up process has merits on low-cost fabrication; the top-down process technique is highlighted here due to its reliability and reproducibility. Finally, recent advances in the silicon nanowire BioFETs in the literature are described and key features for commercialization are discussed.

  17. Efficient n-type doping of zinc-blende III-V semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Besteiro, Lucas V.; Tortajada, Luis; Souto, J.; Gallego, L. J.; Chelikowsky, James R.; Alemany, M. M. G.

    2014-03-01

    We demonstrate that it is preferable to dope III-V semiconductor nanowires by n-type anion substitution as opposed to cation substitution. Specifically, we show the dopability of zinc-blende nanowires is more efficient when the dopants are placed at the anion site as quantified by formation energies and the stabilization of DX-like defect centers. The comparison with previous work on n - type III-V semiconductor nanocrystals also allows to determine the role of dimensionality and quantum confinement on doping characteristics of materials. Our results are based on first-principles calculations of InP nanowires by using the PARSEC code. Work supported by the Spanish MICINN (FIS2012-33126) and Xunta de Galicia (GPC2013-043) in conjunction with FEDER. JRC acknowledges support from DoE (DE-FG02-06ER46286 and DESC0008877). Computational support was provided in part by CESGA.

  18. Modeling of a Metal-Ferroelectric-Semiconductor Field-Effect Transistor NAND Gate

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; MacLeod, Todd C.; Ho, Fat Duen

    2005-01-01

    Considerable research has been performed by several organizations in the use of the Metal- Ferroelectric-Semiconductor Field-Effect Transistors (MFSFET) in memory circuits. However, research has been limited in expanding the use of the MFSFET to other electronic circuits. This research project investigates the modeling of a NAND gate constructed from MFSFETs. The NAND gate is one of the fundamental building blocks of digital electronic circuits. The first step in forming a NAND gate is to develop an inverter circuit. The inverter circuit was modeled similar to a standard CMOS inverter. A n-channel MFSFET with positive polarization was used for the n-channel transistor, and a n-channel MFSFET with negative polarization was used for the p-channel transistor. The MFSFETs were simulated by using a previously developed current model which utilized a partitioned ferroelectric layer. The inverter voltage transfer curve was obtained over a standard input of zero to five volts. Then a 2-input NAND gate was modeled similar to the inverter circuit. Voltage transfer curves were obtained for the NAND gate for various configurations of input voltages. The resultant data shows that it is feasible to construct a NAND gate with MFSFET transistors.

  19. Metal-Ferroelectric-Semiconductor Field-Effect Transistor NAND Gate Switching Time Analysis

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; Macleod, Todd C.; Ho, Fat D.

    2006-01-01

    Previous research investigated the modeling of a N Wga te constructed of Metal-Ferroelectric- Semiconductor Field-Effect Transistors (MFSFETs) to obtain voltage transfer curves. The NAND gate was modeled using n-channel MFSFETs with positive polarization for the standard CMOS n-channel transistors and n-channel MFSFETs with negative polarization for the standard CMOS p-channel transistors. This paper investigates the MFSFET NAND gate switching time propagation delay, which is one of the other important parameters required to characterize the performance of a logic gate. Initially, the switching time of an inverter circuit was analyzed. The low-to-high and high-to-low propagation time delays were calculated. During the low-to-high transition, the negatively polarized transistor pulls up the output voltage, and during the high-to-low transition, the positively polarized transistor pulls down the output voltage. The MFSFETs were simulated by using a previously developed model which utilized a partitioned ferroelectric layer. Then the switching time of a 2-input NAND gate was analyzed similarly to the inverter gate. Extension of this technique to more complicated logic gates using MFSFETs will be studied.

  20. Synergistic effects of semiconductor substrate and noble metal nano-particles on SERS effect both theoretical and experimental aspects

    NASA Astrophysics Data System (ADS)

    Yang, Chen; Liang, Pei; Tang, Lisha; Zhou, Yongfeng; Cao, Yanting; Wu, Yanxiong; Zhang, De; Dong, Qianmin; Huang, Jie; He, Peng

    2018-04-01

    As a means of chemical identification and analysis, Surface enhanced Raman spectroscopy (SERS), with the advantages of high sensitivity and selectivity, non-destructive, high repeatability and in situ detection etc., has important significance in the field of composition detection, environmental science, biological medicine etc. Physical model of coupling effect between different semiconductor substrates and noble metal particles were investigated by using 3D-FDTD method. Mechanism and the effects of excitation wavelength, particle spacing and semiconductor substrate types on the SERS effect were discussed. The results showed that the optimal excitation wavelengths of three noble metals of Ag, Au, Cu, were located at 510, 600 and 630 nm, respectively; SERS effect of Ag, Au, Cu increases with the decreasing of the inter distance of particles, while the distance of the NPs reaches the critical value of 3 nm, the strength of SERS effect will be greatly enhanced. For the four different types of substrate of Ge, Si, SiO2 (glass) and Al2O3, the SERS effect of Ag on SiO2 > Ge > Al2O3 > Si. For Au and Cu nanoparticles, the SERS effect of them on oxide substrate is stronger than that on non-oxide substrate. In order to verify FDTD simulations, taking silver nanoparticles as an example, and silver nanoparticles prepared by chemical method were spinning coating on the four different substrates with R6G as probe molecules. The results show that the experimental results are consistent with FDTD theoretical simulations, and the SERS enhancement effect of Ag-SiO2 substrate is best. The results of this study have important theoretical significance to explain the variations of SERS enhancement on different noble metals, which is also an important guide for the preparation of SERS substrates, especially for the microfluidics. The better Raman effect can be realized by choosing proper substrate type, particle spacing and excitation wavelength, result in expanding the depth and width

  1. Improvement of hot-carrier and radiation hardnesses in metal-oxide-nitride-oxide semiconductor devices by irradiation-then-anneal treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang-Liao, K.S.; Hwu, J.G.

    The hardnesses of hot-carrier and radiation of metal-oxide nitride-oxide semiconductor (MONOS) devices can be improved by the irradiation-then-anneal (ITA) treatments. Each treatment includes an irradiation of Co-60 with a total dose of 1M rads(SiO[sub 2]) and an anneal in N[sub 2] at 400 C for 10 min successively. This improvement can be explained by the release of SiO[sub 2]/Si interfacial strain.

  2. The fabrication of carbon nanotube field-effect transistors with semiconductors as the source and drain contact materials.

    PubMed

    Xiao, Z; Camino, F E

    2009-04-01

    Sb(2)Te(3) and Bi(2)Te(2)Se semiconductor materials were used as the source and drain contact materials in the fabrication of carbon nanotube field-effect transistors (CNTFETs). Ultra-purified single-walled carbon nanotubes (SWCNTs) were ultrasonically dispersed in N-methyl pyrrolidone solvent. Dielectrophoresis was used to deposit and align SWCNTs for fabrication of CNTFETs. The Sb(2)Te(3)- and Bi(2)Te(2)Se-based CNTFETs demonstrate p-type metal-oxide-silicon-like I-V curves with high on/off drain-source current ratio at large drain-source voltages and good saturation of drain-source current with increasing drain-source voltage. The fabrication process developed is novel and has general meaning, and could be used for the fabrication of SWCNT-based integrated devices and systems with semiconductor contact materials.

  3. Metal-dielectric-CNT nanowires for surface-enhanced Raman spectroscopy

    DOEpatents

    Bond, Tiziana C.; Altun, Ali; Park, Hyung Gyu

    2017-10-03

    A sensor with a substrate includes nanowires extending vertically from the substrate, a hafnia coating on the nanowires that provides hafnia coated nanowires, and a noble metal coating on the hafnia coated nanowires. The top of the hafnia and noble metal coated nanowires bent onto one another to create a canopy forest structure. There are numerous randomly arranged holes that let through scattered light. The many points of contact, hot spots, amplify signals. The methods include the steps of providing a Raman spectroscopy substrate, introducing nano crystals to the Raman spectroscopy substrate, growing a forest of nanowires from the nano crystals on the Raman spectroscopy substrate, coating the nanowires with hafnia providing hafnia coated nanowires, and coating the hafnia coated nanowires with a noble metal or other metal.

  4. Shell Filling and Magnetic Anisotropy In A Few Hole Silicon Metal-Oxide-Semiconductor Quantum Dot

    NASA Astrophysics Data System (ADS)

    Hamilton, Alex; Li., R.; Liles, S. D.; Yang, C. H.; Hudson, F. E.; Veldhorst, M. E.; Dzurak, A. S.

    There is growing interest in hole spin states in group IV materials for quantum information applications. The near-absence of nuclear spins in group IV crystals promises long spin coherence times, while the strong spin-orbit interaction of the hole states provides fast electrical spin manipulation methods. However, the level-mixing and magnetic field dependence of the p-orbital hole states is non-trivial in nanostructures, and is not as well understood as for electron systems. In this work, we study the hole states in a gate-defined silicon metal-oxide-semiconductor quantum dot. Using an adjacent charge sensor, we monitor quantum dot orbital level spacing down to the very last hole, and find the standard two-dimensional (2D) circular dot shell filling structure. We can change the shell filling sequence by applying an out-of-plane magnetic field. However, when the field is applied in-plane, the shell filling is not changed. This magnetic field anisotropy suggests that the confined hole states are Ising-like.

  5. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  6. Screening-Engineered Field-Effect Solar Cells

    DTIC Science & Technology

    2012-01-01

    virtually any semiconductor, including the promising but hard-to- dope metal oxides, sulfides, and phosphides.3 Prototype SFPV devices have been...MIS interface. Unfortu- nately, MIS cells, though sporting impressive efficiencies,4−6 typically have short operating lifetimes due to surface state...instability at the MIS interface.7 Methods aimed at direct field- effect “ doping ” of semiconductors, in which the voltage is externally applied to a gate

  7. Catalyst patterning for nanowire devices

    NASA Technical Reports Server (NTRS)

    Li, Jun (Inventor); Cassell, Alan M. (Inventor); Han, Jie (Inventor)

    2004-01-01

    Nanowire devices may be provided that are based on carbon nanotubes or single-crystal semiconductor nanowires. The nanowire devices may be formed on a substrate. Catalyst sites may be formed on the substrate. The catalyst sites may be formed using lithography, thin metal layers that form individual catalyst sites when heated, collapsible porous catalyst-filled microscopic spheres, microscopic spheres that serve as masks for catalyst deposition, electrochemical deposition techniques, and catalyst inks. Nanowires may be grown from the catalyst sites.

  8. Switching behavior of resistive change memory using oxide nanowires

    NASA Astrophysics Data System (ADS)

    Aono, Takashige; Sugawa, Kosuke; Shimizu, Tomohiro; Shingubara, Shoso; Takase, Kouichi

    2018-06-01

    Resistive change random access memory (ReRAM), which is expected to be the next-generation nonvolatile memory, often has wide switching voltage distributions due to many kinds of conductive filaments. In this study, we have tried to suppress the distribution through the structural restriction of the filament-forming area using NiO nanowires. The capacitor with Ni metal nanowires whose surface is oxidized showed good switching behaviors with narrow distributions. The knowledge gained from our study will be very helpful in producing practical ReRAM devices.

  9. Evaporation-induced self-alignment and transfer of semiconductor nanowires by wrinkled elastomeric templates.

    PubMed

    Lee, Seung Goo; Kim, Haena; Choi, Hyun Ho; Bong, Hyojin; Park, Yeong Don; Lee, Wi Hyoung; Cho, Kilwon

    2013-04-18

    The evaporation-induced self-alignment of semiconductor nanowires is achieved using wrinkled elastomeric templates. The wrinkled templates, which have a surface topography that can be tuned via changes in the mechanical strain, are used as both a template to align the nanowires and as a stamp to transfer the aligned nanowires to target substrates. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Nanowire NMOS Logic Inverter Characterization.

    PubMed

    Hashim, Yasir

    2016-06-01

    This study is the first to demonstrate characteristics optimization of nanowire N-Channel Metal Oxide Semiconductor (NW-MOS) logic inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. A computer-based model used to produce static characteristics of NW-NMOS logic inverter. In this research two circuit configuration of NW-NMOS inverter was studied, in first NW-NMOS circuit, the noise margin for (low input-high output) condition was very low. For second NMOS circuit gives excellent noise margins, and results indicate that optimization depends on applied voltage to the inverter. Increasing gate to source voltage with (2/1) nanowires ratio results better noise margins. Increasing of applied DC load transistor voltage tends to increasing in decreasing noise margins; decreasing this voltage will improve noise margins significantly.

  11. Solution combustion synthesis of oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Thomas, Abegayl Lorenda Shara-Lynn

    The quest for stable and efficient photocatalytic materials beyond TiO2 and WO3 has over the years led to the development of new materials that possess varied interfacial energetics. This dissertation study focused on using for the first time a novel method, solution combustion synthesis (SCS), to prepare two distinct families of binary metal-based oxide semiconductor materials. Detailed studies on material characteristics and applications were carried out on tungsten- and niobium-based oxide semiconductors with varying principal metals. Initial emphasis was placed on the SCS of tungsten-based oxide semiconductors (ZnWO4, CuWO4, and Ag2WO4). The influence of different tungsten precursor's on the resultant product was of particular relevance to this study, with the most significant effects highlighted. Upon characterization, each sample's photocatalytic activity towards methyl orange dye degradation was studied, and benchmarked against their respective commercial oxide sample, obtained by solid-state ceramic synthesis. Detailed analysis highlighted the importance of the SCS process as a time- and energy-efficient method to produce crystalline nano-sized materials even without additional or excessive heat treatment. It was observed that using different tungstate precursors does influence the structural and morphological make-up of the resulting materials. The as-synthesized tungstate materials showed good photocatalytic performance for the degradation of methyl orange dye, while taking into account specific surface area and adsorbed dye amount on the surface of the material. Like the tungstate's, niobium-based oxide semiconductors CuNb 2O6 and ZnNb2O6 were the first to be synthesized via solution combustion synthesis. Particular attention was placed on the crystal structures formed while using an oxalate niobium precursor during the reaction process. X-ray patterns yielded a multiphase structure for the ZnNb2O6 and a single phase structure for CuNb 2O6

  12. Semiconductor nanowires: A platform for nanoscience and nanotechnology

    PubMed Central

    Lieber, Charles M.

    2012-01-01

    Advances in nanoscience and nanotechnology critically depend on the development of nanostructures whose properties are controlled during synthesis. We focus on this critical concept using semiconductor nanowires, which provide the capability through design and rational synthesis to realize unprecedented structural and functional complexity in building blocks as a platform material. First, a brief review of the synthesis of complex modulated nanowires in which rational design and synthesis can be used to precisely control composition, structure, and, most recently, structural topology is discussed. Second, the unique functional characteristics emerging from our exquisite control of nanowire materials are illustrated using several selected examples from nanoelectronics and nano-enabled energy. Finally, the remarkable power of nanowire building blocks is further highlighted through their capability to create unprecedented, active electronic interfaces with biological systems. Recent work pushing the limits of both multiplexed extracellular recording at the single-cell level and the first examples of intracellular recording is described, as well as the prospects for truly blurring the distinction between nonliving nanoelectronic and living biological systems. PMID:22707850

  13. Transport properties of silicon complementary-metal-oxide semiconductor quantum well field-effect transistors

    NASA Astrophysics Data System (ADS)

    Naquin, Clint Alan

    Introducing explicit quantum transport into silicon (Si) transistors in a manner compatible with industrial fabrication has proven challenging, yet has the potential to transform the performance horizons of large scale integrated Si devices and circuits. Explicit quantum transport as evidenced by negative differential transconductances (NDTCs) has been observed in a set of quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors fabricated using industrial silicon complementary MOS processing. The QW potential was formed via lateral ion implantation doping on a commercial 45 nm technology node process line, and measurements of the transfer characteristics show NDTCs up to room temperature. Detailed gate length and temperature dependence characteristics of the NDTCs in these devices have been measured. Gate length dependence of NDTCs shows a correlation of the interface channel length with the number of NDTCs formed as well as with the gate voltage (VG) spacing between NDTCs. The VG spacing between multiple NDTCs suggests a quasi-parabolic QW potential profile. The temperature dependence is consistent with partial freeze-out of carrier concentration against a degenerately doped background. A folding amplifier frequency multiplier circuit using a single QW NMOS transistor to generate a folded current-voltage transfer function via a NDTC was demonstrated. Time domain data shows frequency doubling in the kHz range at room temperature, and Fourier analysis confirms that the output is dominated by the second harmonic of the input. De-embedding the circuit response characteristics from parasitic cable and contact impedances suggests that in the absence of parasitics the doubling bandwidth could be as high as 10 GHz in a monolithic integrated circuit, limited by the transresistance magnitude of the QW NMOS. This is the first example of a QW device fabricated by mainstream Si CMOS technology being used in a circuit application and establishes the feasibility

  14. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    NASA Astrophysics Data System (ADS)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  15. Quantum Conductance in Metal Nanowires

    NASA Astrophysics Data System (ADS)

    Ugarte, Daniel

    2004-03-01

    Quantum Conductance in Metal Nanowires D. Ugarte Brazilian National Synchrotron Light Laboratory C.P. 6192, 13084-971 Campinas SP, Brazil. Electrical transport properties of metallic nanowires (NWs) have received great attention due to their quantum conductance behavior. Atomic scale wires can be generated by stretching metal contacts; during the elongation and just before rupture, the NW conductance shows flat plateaus and abrupt jumps of approximately a conductance quantum. In this experiments, both the NW atomic arrangement and conductance change simultaneously, making difficult to discriminate electronic and structural effects. In this work, the atomic structure of NWs was studied by time-resolved in situ experiments in a high resolution transmission electron microscope, while their electrical properties using an UHV mechanically controllable break junction (MCBJ). From the analysis of numerous HRTEM images and videos, we have deduced that metal (Au, Ag, Pt, etc.) junctions generated by tensile deformation are crystalline and free of defects. The neck structure is strongly dependent on the surface properties of the analyzed metal, this was verified by comparing different metal NWs (Au, Ag, Cu), which have similar atomic structure (FCC), but show very different faceting patterns. The correlation between the observed structural and transport properties of NW points out that the quantum conductance behavior is defined by preferred atomic arrangement at the narrowest constriction. In the case of magnetic (ex. Fe,Co,Ni) or quasi-magnetic (ex. Pd) wires, we have observed that one-atom-thick structures show a conductance of half the quantum as expected for a fully spin polarized current. This phenomenon seems to occur spontaneously for magnetic suspended atom-chains in zero magnetic field and at room temperature. These results open new opportunities for spin control in nanostructures. Funded by FAPESP, LNLS and CNPq.

  16. Room-Temperature Quantum Ballistic Transport in Monolithic Ultrascaled Al-Ge-Al Nanowire Heterostructures.

    PubMed

    Sistani, Masiar; Staudinger, Philipp; Greil, Johannes; Holzbauer, Martin; Detz, Hermann; Bertagnolli, Emmerich; Lugstein, Alois

    2017-08-09

    Conductance quantization at room temperature is a key requirement for the utilizing of ballistic transport for, e.g., high-performance, low-power dissipating transistors operating at the upper limit of "on"-state conductance or multivalued logic gates. So far, studying conductance quantization has been restricted to high-mobility materials at ultralow temperatures and requires sophisticated nanostructure formation techniques and precise lithography for contact formation. Utilizing a thermally induced exchange reaction between single-crystalline Ge nanowires and Al pads, we achieved monolithic Al-Ge-Al NW heterostructures with ultrasmall Ge segments contacted by self-aligned quasi one-dimensional crystalline Al leads. By integration in electrostatically modulated back-gated field-effect transistors, we demonstrate the first experimental observation of room temperature quantum ballistic transport in Ge, favorable for integration in complementary metal-oxide-semiconductor platform technology.

  17. Solitons in two attractive semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Vroumsia, David; Mibaile, Justin; Gambo, Betchewe; Doka, Yamigno Serge; Kofane, Timoleon Crepin

    2018-02-01

    In this paper, by using two semiconductor nanowires attracted to each other by means of Lorentz force, we construct through similarity transformations, explicit solutions to the coupled nonlinear Schrodinger equations (CNSE) with potentials as a function of time and spatial coordinates. We find explicit solutions of electrons and holes such as periodic, bright and dark solitons. We also study the instability of the modulation (MI) of (CNSE) and note that the velocity of the electrons influences the gain MI spectrum.

  18. Electronic transport with dielectric confinement in degenerate InN nanowires.

    PubMed

    Blömers, Ch; Lu, J G; Huang, L; Witte, C; Grützmacher, D; Lüth, H; Schäpers, Th

    2012-06-13

    In this Letter, we present the size effects on charge conduction in InN nanowires by comprehensive transport studies supported by theoretical analysis. A consistent model for highly degenerate narrow gap semiconductor nanowires is developed. In contrast to common knowledge of InN, there is no evidence of an enhanced surface conduction, however, high intrinsic doping exists. Furthermore, the room-temperature resistivity exhibits a strong increase when the lateral size becomes smaller than 80 nm and the temperature dependence changes from metallic to semiconductor-like. This effect is modeled by donor deactivation due to dielectric confinement, yielding a shift of the donor band to higher ionization energies as the size shrinks.

  19. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Li, L. H.; Deng, Z. X.; Xiao, J. X.; Yang, G. W.

    2015-06-01

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  20. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity.

    PubMed

    Li, L H; Deng, Z X; Xiao, J X; Yang, G W

    2015-01-26

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  1. Biologically sensitive field-effect transistors: from ISFETs to NanoFETs

    PubMed Central

    Pachauri, Vivek

    2016-01-01

    Biologically sensitive field-effect transistors (BioFETs) are one of the most abundant classes of electronic sensors for biomolecular detection. Most of the time these sensors are realized as classical ion-sensitive field-effect transistors (ISFETs) having non-metallized gate dielectrics facing an electrolyte solution. In ISFETs, a semiconductor material is used as the active transducer element covered by a gate dielectric layer which is electronically sensitive to the (bio-)chemical changes that occur on its surface. This review will provide a brief overview of the history of ISFET biosensors with general operation concepts and sensing mechanisms. We also discuss silicon nanowire-based ISFETs (SiNW FETs) as the modern nanoscale version of classical ISFETs, as well as strategies to functionalize them with biologically sensitive layers. We include in our discussion other ISFET types based on nanomaterials such as carbon nanotubes, metal oxides and so on. The latest examples of highly sensitive label-free detection of deoxyribonucleic acid (DNA) molecules using SiNW FETs and single-cell recordings for drug screening and other applications of ISFETs will be highlighted. Finally, we suggest new device platforms and newly developed, miniaturized read-out tools with multichannel potentiometric and impedimetric measurement capabilities for future biomedical applications. PMID:27365038

  2. Spin-orbit coupling effects in zinc-blende InSb and wurtzite InAs nanowires: Realistic calculations with multiband k .p method

    NASA Astrophysics Data System (ADS)

    Campos, Tiago; Faria Junior, Paulo E.; Gmitra, Martin; Sipahi, Guilherme M.; Fabian, Jaroslav

    2018-06-01

    A systematic numerical investigation of spin-orbit fields in the conduction bands of III-V semiconductor nanowires is performed. Zinc-blende (ZB) InSb nanowires are considered along [001], [011], and [111] directions, while wurtzite (WZ) InAs nanowires are studied along [0001] and [10 1 ¯0 ] or [11 2 ¯0 ] directions. Robust multiband k .p Hamiltonians are solved by using plane-wave expansions of real-space parameters. In all cases, the linear and cubic spin-orbit coupling parameters are extracted for nanowire widths from 30 to 100 nm. Typical spin-orbit energies are on the μ eV scale, except for WZ InAs nanowires grown along [10 1 ¯0 ] or [11 2 ¯0 ] , in which the spin-orbit energy is about meV, largely independent of the wire diameter. Significant spin-orbit coupling is obtained by applying a transverse electric field, causing the Rashba effect. For an electric field of about 4 mV/nm, the obtained spin-orbit energies are about 1 meV for both materials in all investigated growth directions. The most favorable system, in which the spin-orbit effects are maximal, are WZ InAs nanowires grown along [1010] or [11 2 ¯0 ] since here spin-orbit energies are giant (meV) already in the absence of electric field. The least favorable are InAs WZ nanowires grown along [0001] since here even the electric field does not increase the spin-orbit energies beyond 0.1 meV. The presented results should be useful for investigations of optical orientation, spin transport, weak localization, and superconducting proximity effects in semiconductor nanowires.

  3. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  4. Electric-Field-Driven Dual Vacancies Evolution in Ultrathin Nanosheets Realizing Reversible Semiconductor to Half-Metal Transition.

    PubMed

    Lyu, Mengjie; Liu, Youwen; Zhi, Yuduo; Xiao, Chong; Gu, Bingchuan; Hua, Xuemin; Fan, Shaojuan; Lin, Yue; Bai, Wei; Tong, Wei; Zou, Youming; Pan, Bicai; Ye, Bangjiao; Xie, Yi

    2015-12-02

    Fabricating a flexible room-temperature ferromagnetic resistive-switching random access memory (RRAM) device is of fundamental importance to integrate nonvolatile memory and spintronics both in theory and practice for modern information technology and has the potential to bring about revolutionary new foldable information-storage devices. Here, we show that a relatively low operating voltage (+1.4 V/-1.5 V, the corresponding electric field is around 20,000 V/cm) drives the dual vacancies evolution in ultrathin SnO2 nanosheets at room temperature, which causes the reversible transition between semiconductor and half-metal, accompanyied by an abrupt conductivity change up to 10(3) times, exhibiting room-temperature ferromagnetism in two resistance states. Positron annihilation spectroscopy and electron spin resonance results show that the Sn/O dual vacancies in the ultrathin SnO2 nanosheets evolve to isolated Sn vacancy under electric field, accounting for the switching behavior of SnO2 ultrathin nanosheets; on the other hand, the different defect types correspond to different conduction natures, realizing the transition between semiconductor and half-metal. Our result represents a crucial step to create new a information-storage device realizing the reversible transition between semiconductor and half-metal with flexibility and room-temperature ferromagnetism at low energy consumption. The as-obtained half-metal in the low-resistance state broadens the application of the device in spintronics and the semiconductor to half-metal transition on the basis of defects evolution and also opens up a new avenue for exploring random access memory mechanisms and finding new half-metals for spintronics.

  5. Trap densities and transport properties of pentacene metal-oxide-semiconductor transistors. I. Analytical modeling of time-dependent characteristics

    NASA Astrophysics Data System (ADS)

    Basile, A. F.; Cramer, T.; Kyndiah, A.; Biscarini, F.; Fraboni, B.

    2014-06-01

    Metal-oxide-semiconductor (MOS) transistors fabricated with pentacene thin films were characterized by temperature-dependent current-voltage (I-V) characteristics, time-dependent current measurements, and admittance spectroscopy. The channel mobility shows almost linear variation with temperature, suggesting that only shallow traps are present in the semiconductor and at the oxide/semiconductor interface. The admittance spectra feature a broad peak, which can be modeled as the sum of a continuous distribution of relaxation times. The activation energy of this peak is comparable to the polaron binding energy in pentacene. The absence of trap signals in the admittance spectra confirmed that both the semiconductor and the oxide/semiconductor interface have negligible density of deep traps, likely owing to the passivation of SiO2 before pentacene growth. Nevertheless, current instabilities were observed in time-dependent current measurements following the application of gate-voltage pulses. The corresponding activation energy matches the energy of a hole trap in SiO2. We show that hole trapping in the oxide can explain both the temperature and the time dependences of the current instabilities observed in pentacene MOS transistors. The combination of these experimental techniques allows us to derive a comprehensive model for charge transport in hybrid architectures where trapping processes occur at various time and length scales.

  6. DNA-Templated Pd Conductive Metallic Nanowires

    NASA Astrophysics Data System (ADS)

    Nguyen, K.; Monteverde, M.; Lyonnais, S.; Campidelli, S.; Bourgoin, J.-Ph.; Filoramo, A.

    2008-10-01

    Because of its unique recognition properties, its size and the sub-nanometric resolution, DNA is of particular interest for positioning and organizing nanomaterials. However, in DNA-directed nanoelectronic it can be envisioned to use DNA not only as a positioning scaffold, but also as a support for the conducting element. To ensure this function a metallization process is necessary and among the various DNA metallization methods the Pd based ones are of particular interest for carbon nanotube transistor connections. In this field, the major drawback of the existing methods is the fast kinetics of the process which lead to a stochastic growth. Here, we present a novel approach to DNA Pd metalization where the DNA molecule is previously deposited on a dry substrate in a typical nanodevice configuration. In our approach the progressive growth of nanowires is achieved by the slow and selective precipitation of PdO, followed by a subsequent reduction step. Thanks to this strategy we fabricated homogeneous, continuous and conductive Pd nanowires on the DNA scaffolds of very thin diameter (20-25 nm).

  7. Emerging Hierarchical Aerogels: Self-Assembly of Metal and Semiconductor Nanocrystals.

    PubMed

    Cai, Bin; Sayevich, Vladimir; Gaponik, Nikolai; Eychmüller, Alexander

    2018-06-19

    Aerogels assembled from colloidal metal or semiconductor nanocrystals (NCs) feature large surface area, ultralow density, and high porosity, thus rendering them attractive in various applications, such as catalysis, sensors, energy storage, and electronic devices. Morphological and structural modification of the aerogel backbones while maintaining the aerogel properties enables a second stage of the aerogel research, which is defined as hierarchical aerogels. Different from the conventional aerogels with nanowire-like backbones, those hierarchical aerogels are generally comprised of at least two levels of architectures, i.e., an interconnected porous structure on the macroscale and a specially designed configuration at local backbones at the nanoscale. This combination "locks in" the inherent properties of the NCs, so that the beneficial genes obtained by nanoengineering are retained in the resulting monolithic hierarchical aerogels. Herein, groundbreaking advances in the design, synthesis, and physicochemical properties of the hierarchical aerogels are reviewed and organized in three sections: i) pure metallic hierarchical aerogels, ii) semiconductor hierarchical aerogels, and iii) metal/semiconductor hybrid hierarchical aerogels. This report aims to define and demonstrate the concept, potential, and challenges of the hierarchical aerogels, thereby providing a perspective on the further development of these materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Semiconductor metal oxide compounds based gas sensors: A literature review

    NASA Astrophysics Data System (ADS)

    Patil, Sunil Jagannath; Patil, Arun Vithal; Dighavkar, Chandrakant Govindrao; Thakare, Kashinath Shravan; Borase, Ratan Yadav; Nandre, Sachin Jayaram; Deshpande, Nishad Gopal; Ahire, Rajendra Ramdas

    2015-03-01

    This paper gives a statistical view about important contributions and advances on semiconductor metal oxide (SMO) compounds based gas sensors developed to detect the air pollutants such as liquefied petroleum gas (LPG), H2S, NH3, CO2, acetone, ethanol, other volatile compounds and hazardous gases. Moreover, it is revealed that the alloy/composite made up of SMO gas sensors show better gas response than their counterpart single component gas sensors, i.e., they are found to enhance the 4S characteristics namely speed, sensitivity, selectivity and stability. Improvement of such types of sensors used for detection of various air pollutants, which are reported in last two decades, is highlighted herein.

  9. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Southward, Robin E. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Delozier, Donavon Mark (Inventor); Smith, Joseph G. (Inventor); Watson, Kent A. (Inventor)

    2014-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  10. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Smith, Jr., Joseph G. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Southward, Robin E. (Inventor); Delozier, Donavon Mark (Inventor); Watson, Kent A. (Inventor)

    2016-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  11. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  12. On Practical Charge Injection at the Metal/Organic Semiconductor Interface

    PubMed Central

    Kumatani, Akichika; Li, Yun; Darmawan, Peter; Minari, Takeo; Tsukagoshi, Kazuhito

    2013-01-01

    We have revealed practical charge injection at metal and organic semiconductor interface in organic field effect transistor configurations. We have developed a facile interface structure that consisted of double-layer electrodes in order to investigate the efficiency through contact metal dependence. The metal interlayer with few nanometers thickness between electrode and organic semiconductor drastically reduces the contact resistance at the interface. The improvement has clearly obtained when the interlayer is a metal with lower standard electrode potential of contact metals than large work function of the contact metals. The electrode potential also implies that the most dominant effect on the mechanism at the contact interface is induced by charge transfer. This mechanism represents a step forward towards understanding the fundamental physics of intrinsic charge injection in all organic devices. PMID:23293741

  13. Mathematical Models of the Common-Source and Common-Gate Amplifiers using a Metal-Ferroelectric-Semiconductor Field effect Transistor

    NASA Technical Reports Server (NTRS)

    Hunt, Mitchell; Sayyah, Rana; Mitchell, Cody; Laws, Crystal; MacLeod, Todd C.; Ho, Fat D.

    2013-01-01

    Mathematical models of the common-source and common-gate amplifiers using metal-ferroelectric- semiconductor field effect transistors (MOSFETs) are developed in this paper. The models are compared against data collected with MOSFETs of varying channel lengths and widths, and circuit parameters such as biasing conditions are varied as well. Considerations are made for the capacitance formed by the ferroelectric layer present between the gate and substrate of the transistors. Comparisons between the modeled and measured data are presented in depth as well as differences and advantages as compared to the performance of each circuit using a MOSFET.

  14. Comparative Study on Graded-Barrier AlxGa1‑xN/AlN/GaN/Si Metal-Oxide-Semiconductor Heterostructure Field-Effect Transistor by Using Ultrasonic Spray Pyrolysis Deposition Technique

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Sung; Hsu, Wei-Chou; Huang, Yi-Ping; Liu, Han-Yin; Yang, Wen-Luh; Yang, Shen-Tin

    2018-06-01

    Comparative study on a novel Al2O3-dielectric graded-barrier (GB) AlxGa1‑xN/AlN/GaN/Si (x = 0.22 ∼ 0.3) metal-oxide-semiconductor heterostructure field-effect transistor (MOS-HFET) formed by using the ultrasonic spray pyrolysis deposition (USPD) technique has been made with respect to a conventional-barrier (CB) Al0.26Ga0.74N/AlN/GaN/Si MOS-HFET and the reference Schottky-gate HFET devices. The GB AlxGa1‑xN was devised to improve the interfacial quality and enhance the Schottky barrier height at the same time. A cost-effective ultrasonic spray pyrolysis deposition (USPD) method was used to form the high-k Al2O3 gate dielectric and surface passivation on the AlGaN barrier of the present MOS-HFETs. Comprehensive device performances, including maximum extrinsic transconductance (g m,max), maximum drain-source current density (I DS,max), gate-voltage swing (GVS) linearity, breakdown voltages, subthreshold swing (SS), on/off current ratio (I on /I off ), high frequencies, and power performance are investigated.

  15. Multimode Silicon Nanowire Transistors

    PubMed Central

    2014-01-01

    The combined capabilities of both a nonplanar design and nonconventional carrier injection mechanisms are subject to recent scientific investigations to overcome the limitations of silicon metal oxide semiconductor field effect transistors. In this Letter, we present a multimode field effect transistors device using silicon nanowires that feature an axial n-type/intrinsic doping junction. A heterostructural device design is achieved by employing a self-aligned nickel-silicide source contact. The polymorph operation of the dual-gate device enabling the configuration of one p- and two n-type transistor modes is demonstrated. Not only the type but also the carrier injection mode can be altered by appropriate biasing of the two gate terminals or by inverting the drain bias. With a combined band-to-band and Schottky tunneling mechanism, in p-type mode a subthreshold swing as low as 143 mV/dec and an ON/OFF ratio of up to 104 is found. As the device operates in forward bias, a nonconventional tunneling transistor is realized, enabling an effective suppression of ambipolarity. Depending on the drain bias, two different n-type modes are distinguishable. The carrier injection is dominated by thermionic emission in forward bias with a maximum ON/OFF ratio of up to 107 whereas in reverse bias a Schottky tunneling mechanism dominates the carrier transport. PMID:25303290

  16. Brittle-to-Ductile Transition in Metallic Glass Nanowires.

    PubMed

    Şopu, D; Foroughi, A; Stoica, M; Eckert, J

    2016-07-13

    When reducing the size of metallic glass samples down to the nanoscale regime, experimental studies on the plasticity under uniaxial tension show a wide range of failure modes ranging from brittle to ductile ones. Simulations on the deformation behavior of nanoscaled metallic glasses report an unusual extended strain softening and are not able to reproduce the brittle-like fracture deformation as found in experiments. Using large-scale molecular dynamics simulations we provide an atomistic understanding of the deformation mechanisms of metallic glass nanowires and differentiate the extrinsic size effects and aspect ratio contribution to plasticity. A model for predicting the critical nanowire aspect ratio for the ductile-to-brittle transition is developed. Furthermore, the structure of brittle nanowires can be tuned to a softer phase characterized by a defective short-range order and an excess free volume upon systematic structural rejuvenation, leading to enhanced tensile ductility. The presented results shed light on the fundamental deformation mechanisms of nanoscaled metallic glasses and demarcate ductile and catastrophic failure.

  17. Thermally Stable Silver Nanowires-Embedding Metal Oxide for Schottky Junction Solar Cells.

    PubMed

    Kim, Hong-Sik; Patel, Malkeshkumar; Park, Hyeong-Ho; Ray, Abhijit; Jeong, Chaehwan; Kim, Joondong

    2016-04-06

    Thermally stable silver nanowires (AgNWs)-embedding metal oxide was applied for Schottky junction solar cells without an intentional doping process in Si. A large scale (100 mm(2)) Schottky solar cell showed a power conversion efficiency of 6.1% under standard illumination, and 8.3% under diffused illumination conditions which is the highest efficiency for AgNWs-involved Schottky junction Si solar cells. Indium-tin-oxide (ITO)-capped AgNWs showed excellent thermal stability with no deformation at 500 °C. The top ITO layer grew in a cylindrical shape along the AgNWs, forming a teardrop shape. The design of ITO/AgNWs/ITO layers is optically beneficial because the AgNWs generate plasmonic photons, due to the AgNWs. Electrical investigations were performed by Mott-Schottky and impedance spectroscopy to reveal the formation of a single space charge region at the interface between Si and AgNWs-embedding ITO layer. We propose a route to design the thermally stable AgNWs for photoelectric device applications with investigation of the optical and electrical aspects.

  18. Monolithic integration of a vertical cavity surface emitting laser and a metal semiconductor field effect transistor

    NASA Astrophysics Data System (ADS)

    Yang, Y. J.; Dziura, T. G.; Bardin, T.; Wang, S. C.; Fernandez, R.; Liao, Andrew S. H.

    1993-02-01

    Monolithic integration of a vertical cavity surface emitting laser (VCSEL) and a metal semiconductor field effect transistor (MESFET) is reported for the first time. The epitaxial layers for both GaAs VCSELs and MESFETs are grown on an n-type GaAs substrate by molecular-beam epitaxy at the same time. The VCSELs with a 10-micron diam active region exhibit an average threshold current (Ith) of 6 mA and a continuous wave (CW) maximum power of 1.1 mW. The MESFETs with a 3-micron gate length have a transconductance of 50 mS/mm. The laser output is modulated by the gate voltage of the MESFETs and exhibits an optical/electrical conversion factor of 0.5 mW/V.

  19. Van der Waals Interactions of Organic Molecules on Semiconductor and Metal Surfaces: a Comparative Study

    NASA Astrophysics Data System (ADS)

    Li, Guo; Cooper, Valentino; Cho, Jun-Hyung; Tamblyn, Isaac; Du, Shixuan; Neaton, Jeffrey; Gao, Hong-Jun; Zhang, Zhenyu

    2012-02-01

    We present a comparative investigation of vdW interactions of the organic molecules on semiconductor and metal surfaces using the DFT method implemented with vdW-DF. For styrene/H-Si(100), the vdW interactions reverse the effective intermolecular interaction from repulsive to attractive, ensuring preferred growth of long wires as observed experimentally. We further propose that an external E field and the selective creation of Si dangling bonds can drastically improve the ordered arrangement of the molecular nanowires [1]. For BDA/Au(111), the vdW interactions not only dramatically enhances the adsorption energies, but also significantly changes the molecular configurations. In the azobenzene/Ag(111) system, vdW-DF produces superior predictions for the adsorption energy than those obtained with other vdW corrected DFT approaches, providing evidence for the applicability of the vdW-DF method [2].

  20. Exploration of oxide-based diluted magnetic semiconductors toward transparent spintronics

    NASA Astrophysics Data System (ADS)

    Fukumura, T.; Yamada, Y.; Toyosaki, H.; Hasegawa, T.; Koinuma, H.; Kawasaki, M.

    2004-02-01

    A review is given for the recent progress of research in the field of oxide-based diluted magnetic semiconductor (DMS), which was triggered by combinatorial discovery of transparent ferromagnet. The possible advantages of oxide semiconductor as a host of DMS are described in comparison with conventional compound semiconductors. Limits and problems for identifying novel ferromagnetic DMS are described in view of recent reports in this field. Several characterization techniques are proposed in order to eliminate unidentified ferromagnetism of oxide-based DMS unidentified ferromagnetic oxide (UFO). Perspectives and possible devices are also given.

  1. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}),more » which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.« less

  2. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  3. Estimation of carrier mobility and charge behaviors of organic semiconductor films in metal-insulator-semiconductor diodes consisting of high-k oxide/organic semiconductor double layers

    NASA Astrophysics Data System (ADS)

    Chosei, Naoya; Itoh, Eiji

    2018-02-01

    We have comparatively studied the charge behaviors of organic semiconductor films based on charge extraction by linearly increasing voltage in a metal-insulator-semiconductor (MIS) diode structure (MIS-CELIV) and by classical capacitance-voltage measurement. The MIS-CELIV technique allows the selective measurement of electron and hole mobilities of n- and p-type organic films with thicknesses representative of those of actual devices. We used an anodic oxidized sputtered Ta or Hf electrode as a high-k layer, and it effectively blocked holes at the insulator/semiconductor interface. We estimated the hole mobilities of the polythiophene derivatives regioregular poly(3-hexylthiophene) (P3HT) and poly(3,3‧‧‧-didodecylquarterthiophene) (PQT-12) before and after heat treatment in the ITO/high-k/(thin polymer insulator)/semiconductor/MoO3/Ag device structure. The hole mobility of PQT-12 was improved from 1.1 × 10-5 to 2.1 × 10-5 cm2 V-1 s-1 by the heat treatment of the device at 100 °C for 30 min. An almost two orders of magnitude higher mobility was obtained in MIS diodes with P3HT as the p-type layer. We also determined the capacitance from the displacement current in MIS diodes at a relatively low-voltage sweep, and it corresponded well to the classical capacitance-voltage and frequency measurement results.

  4. Coupling of semiconductor nanowires with neurons and their interfacial structure.

    PubMed

    Lee, Ki-Young; Shim, Sojung; Kim, Il-Soo; Oh, Hwangyou; Kim, Sunoh; Ahn, Jae-Pyeong; Park, Seung-Han; Rhim, Hyewhon; Choi, Heon-Jin

    2009-12-04

    We report on the compatibility of various nanowires with hippocampal neurons and the structural study of the neuron-nanowire interface. Si, Ge, SiGe, and GaN nanowires are compatible with hippocampal neurons due to their native oxide, but ZnO nanowires are toxic to neuron due to a release of Zn ion. The interfaces of fixed Si nanowire and hippocampal neuron, cross-sectional samples, were prepared by focused ion beam and observed by transmission electron microscopy. The results showed that the processes of neuron were adhered well on the nanowire without cleft.

  5. EDITORIAL: Oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Kawasaki, M.; Makino, T.

    2005-04-01

    growth of p-type layers, ferromagnetic behaviour in transition-metal doped oxide is also fuelling renewed interest from the spintronic point of view. Since some of the related reports remain controversial, a critical discussion of the magnetic properties of these doped oxides is made by Fukumura et al. Before the observation of electro-luminescence from the ZnO p-n homojunction reported by Tsukazaki et al (2005 Nature Mater. 4 42), the afore-mentioned advantages have been explored and exploited by alternative methods, such as heteroepitaxy in which p-n heterostructures can be obtained by depositing n-type ZnO films on other p-type oxides while still utilizing ZnO as their active layer. Researchers in Hosono's group observed the high-intensity band-edge emission from such heterostructures for the first time (Ohta H et al 2000 Appl. Phys. Lett. 77 475). They have also successfully extended their research fields to the development of a transparent oxide transistor based on homologous compounds, which is reviewed by Kamiya and Hosono in this special issue. As can be seen from these demonstrations, the advantage of oxides is, of course, based on the fact that many elements in the periodic table can form compounds with oxygen. Since the discovery of high-temperature superconductors, these multi-component oxides have exploited the new field known as the science of strongly correlated-electron materials, whose recent progress is reviewed by Inoue. Although the collection of papers included in this special issue covers a good cross-section of the development of oxide semiconductors and correlated-electron oxides to date, this is not meant to be exhaustive. There are a number of unavoidable omissions, such as theoretical studies except for some theoretical predictions on the room-temperature Bose-Einstein condensation of exciton-polaritons found in the article by Chichibu et al. We hope this issue promotes further development of this exciting field. The guest editors would like to

  6. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    PubMed

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  7. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  8. Metal-insulator and charge ordering transitions in oxide nanostructures

    NASA Astrophysics Data System (ADS)

    Singh, Sujay Kumar

    Strongly correlated oxides are a class of materials wherein interplay of various degrees of freedom results in novel electronic and magnetic phenomena. Vanadium oxides are widely studied correlated materials that exhibit metal-insulator transitions (MIT) in a wide temperature range from 70 K to 380 K. In this Thesis, results from electrical transport measurements on vanadium dioxide (VO2) and vanadium oxide bronze (MxV 2O5) (where M: alkali, alkaline earth, and transition metal cations) are presented and discussed. Although the MIT in VO2 has been studied for more than 50 years, the microscopic origin of the transition is still debated since a slew of external parameters such as light, voltage, and strain are found to significantly alter the transition. Furthermore, recent works on electrically driven switching in VO2 have shown that the role of Joule heating to be a major cause as opposed to electric field. We explore the mechanisms behind the electrically driven switching in single crystalline nanobeams of VO2 through DC and AC transport measurements. The harmonic analysis of the AC measurement data shows that non-uniform Joule heating causes electronic inhomogeneities to develop within the nanobeam and is responsible for driving the transition in VO2. Surprisingly, field assisted emission mechanisms such as Poole-Frenkel effect is found to be absent and the role of percolation is also identified in the electrically driven transition. This Thesis also provides a new insight into the mechanisms behind the electrolyte gating induced resistance modulation and the suppression of MIT in VO2. We show that the metallic phase of VO2 induced by electrolyte gating is due to an electrochemical process and can be both reversible and irreversible under different conditions. The kinetics of the redox processes increase with temperature; a complete suppression of the transition and the stabilization of the metallic phase are achievable by gating in the rutile metallic phase

  9. Field electron extraction from surface modified Cd(OH)2 nanowires

    NASA Astrophysics Data System (ADS)

    Bagal, Vivekanand S.; Patil, Girish P.; Jadhav, Chandradip; Sharma, Malvika; Shivhare, Sugam; Chavan, Padmakar G.

    2018-04-01

    The Cd(OH)2 nanowires were grown on Silicon(Si) substrate by simple chemical bath deposition technique and gold(Au) nanoparticles were decorated on surface of the Cd(OH)2 nanowiresby sputtering method. Detail characterization such as morphological and structural analysis of Au/Cd(OH)2 nanowires has been carried out using Field Emission Scanning Electron Microscope and X-ray Diffraction. Low turn-on field of 0.75 V/μm was found forthe emission current density of 10 µA/cm2 and high currentdensity of 1.478mA/cm2 was drawn at an applied field of 1.6 V/μm from Au/Cd(OH)2 nanowires, observed low turn-on field was found superior to other metal nanoparticles decorated semiconducting nanostructures reported in the literature. Also the field emission current stability for the preset value of 10 µA over the period of 3 hr is found to be good. To the best of our knowledge, this is the first report on the synthesis and field emission studies Au/Cd(OH)2 nanowires.

  10. Selective CO2 reduction conjugated with H2O oxidation utilizing semiconductor/metal-complex hybrid photocatalysts

    NASA Astrophysics Data System (ADS)

    Morikawa, T.; Sato, S.; Arai, T.; Uemura, K.; Yamanaka, K. I.; Suzuki, T. M.; Kajino, T.; Motohiro, T.

    2013-12-01

    We developed a new hybrid photocatalyst for CO2 reduction, which is composed of a semiconductor and a metal complex. In the hybrid photocatalyst, ΔG between the position of conduction band minimum (ECBM) of the semiconductor and the CO2 reduction potential of the complex is an essential factor for realizing fast electron transfer from the conduction band of semiconductor to metal complex leading to high photocatalytic activity. On the basis of this concept, the hybrid photocatalyst InP/Ru-complex, which functions in aqueous media, was developed. The photoreduction of CO2 to formate using water as an electron donor and a proton source was successfully achieved as a Z-scheme system by functionally conjugating the InP/Ru-complex photocatalyst for CO2 reduction with a TiO2 photocatalyst for water oxidation. The conversion efficiency from solar energy to chemical energy was ca. 0.04%, which approaches that for photosynthesis in a plant. Because this system can be applied to many other inorganic semiconductors and metal-complex catalysts, the efficiency and reaction selectivity can be enhanced by optimization of the electron transfer process including the energy-band configurations, conjugation conformations, and catalyst structures. This electrical-bias-free reaction is a huge leap forward for future practical applications of artificial photosynthesis under solar irradiation to produce organic species.

  11. Lateral amorphous selenium metal-insulator-semiconductor-insulator-metal photodetectors using ultrathin dielectric blocking layers for dark current suppression

    NASA Astrophysics Data System (ADS)

    Chang, Cheng-Yi; Pan, Fu-Ming; Lin, Jian-Siang; Yu, Tung-Yuan; Li, Yi-Ming; Chen, Chieh-Yang

    2016-12-01

    We fabricated amorphous selenium (a-Se) photodetectors with a lateral metal-insulator-semiconductor-insulator-metal (MISIM) device structure. Thermal aluminum oxide, plasma-enhanced chemical vapor deposited silicon nitride, and thermal atomic layer deposited (ALD) aluminum oxide and hafnium oxide (ALD-HfO2) were used as the electron and hole blocking layers of the MISIM photodetectors for dark current suppression. A reduction in the dark current by three orders of magnitude can be achieved at electric fields between 10 and 30 V/μm. The effective dark current suppression is primarily ascribed to electric field lowering in the dielectric layers as a result of charge trapping in deep levels. Photogenerated carriers in the a-Se layer can be transported across the blocking layers to the Al electrodes via Fowler-Nordheim tunneling because a high electric field develops in the ultrathin dielectric layers under illumination. Since the a-Se MISIM photodetectors have a very low dark current without significant degradation in the photoresponse, the signal contrast is greatly improved. The MISIM photodetector with the ALD-HfO2 blocking layer has an optimal signal contrast more than 500 times the contrast of the photodetector without a blocking layer at 15 V/μm.

  12. A dual-scale metal nanowire network transparent conductor for highly efficient and flexible organic light emitting diodes.

    PubMed

    Lee, Jinhwan; An, Kunsik; Won, Phillip; Ka, Yoonseok; Hwang, Hyejin; Moon, Hyunjin; Kwon, Yongwon; Hong, Sukjoon; Kim, Changsoon; Lee, Changhee; Ko, Seung Hwan

    2017-02-02

    Although solution processed metal nanowire (NW) percolation networks are a strong candidate to replace commercial indium tin oxide, their performance is limited in thin film device applications due to reduced effective electrical areas arising from the dimple structure and percolative voids that single size metal NW percolation networks inevitably possess. Here, we present a transparent electrode based on a dual-scale silver nanowire (AgNW) percolation network embedded in a flexible substrate to demonstrate a significant enhancement in the effective electrical area by filling the large percolative voids present in a long/thick AgNW network with short/thin AgNWs. As a proof of concept, the performance enhancement of a flexible phosphorescent OLED is demonstrated with the dual-scale AgNW percolation network compared to the previous mono-scale AgNWs. Moreover, we report that mechanical and oxidative robustness, which are critical for flexible OLEDs, are greatly increased by embedding the dual-scale AgNW network in a resin layer.

  13. Ion-beam-induced bending of semiconductor nanowires.

    PubMed

    Hanif, Imran; Camara, Osmane; Tunes, Matheus A; Harrison, Robert W; Greaves, Graeme; Donnelly, Stephen E; Hinks, Jonathan A

    2018-08-17

    The miniaturisation of technology increasingly requires the development of both new structures as well as novel techniques for their manufacture and modification. Semiconductor nanowires (NWs) are a prime example of this and as such have been the subject of intense scientific research for applications ranging from microelectronics to nano-electromechanical devices. Ion irradiation has long been a key processing step for semiconductors and the natural extension of this technique to the modification of semiconductor NWs has led to the discovery of ion beam-induced deformation effects. In this work, transmission electron microscopy with in situ ion bombardment has been used to directly observe the evolution of individual silicon and germanium NWs under irradiation. Silicon NWs were irradiated with either 6 keV neon ions or xenon ions at 5, 7 or 9.5 keV with a flux of 3 × 10 13 ions cm -2 s -1 . Germanium NWs were irradiated with 30 or 70 keV xenon ions with a flux of 10 13 ions cm -2 s -1 . These new results are combined with those reported in the literature in a systematic analysis using a custom implementation of the transport of ions in matter Monte Carlo computer code to facilitate a direct comparison with experimental results taking into account the wide range of experimental conditions. Across the various studies this has revealed underlying trends and forms the basis of a critical review of the various mechanisms which have been proposed to explain the deformation of semiconductor NWs under ion irradiation.

  14. Flexible ultraviolet photodetectors based on ZnO-SnO2 heterojunction nanowire arrays

    NASA Astrophysics Data System (ADS)

    Lou, Zheng; Yang, Xiaoli; Chen, Haoran; Liang, Zhongzhu

    2018-02-01

    A ZnO-SnO2 nanowires (NWs) array, as a metal oxide semiconductor, was successfully synthesized by a near-field electrospinning method for the applications as high performance ultraviolet photodetectors. Ultraviolet photodetectors based on a single nanowire exhibited excellent photoresponse properties to 300 nm ultraviolet light illumination including ultrahigh I on/I off ratios (up to 103), good stability and reproducibility because of the separation between photo-generated electron-hole pairs. Moreover, the NWs array shows an enhanced photosensing performance. Flexible photodetectors on the PI substrates with similar tendency properties were also fabricated. In addition, under various bending curvatures and cycles, the as-fabricated flexible photodetectors revealed mechanical flexibility and good stable electrical properties, showing that they have the potential for applications in future flexible photoelectron devices. Project supported by the National Science Foundation of China (No. 61504136) and the State Key Laboratory of Applied Optics, Changchun Institute of Optics, Fine and Physics, Chinese Academy of Sciences.

  15. Fabrication of a P3HT-ZnO Nanowires Gas Sensor Detecting Ammonia Gas

    PubMed Central

    Kuo, Chin-Guo; Chen, Jung-Hsuan; Chao, Yi-Chieh; Chen, Po-Lin

    2017-01-01

    In this study, an organic-inorganic semiconductor gas sensor was fabricated to detect ammonia gas. An inorganic semiconductor was a zinc oxide (ZnO) nanowire array produced by atomic layer deposition (ALD) while an organic material was a p-type semiconductor, poly(3-hexylthiophene) (P3HT). P3HT was suitable for the gas sensing application due to its high hole mobility, good stability, and good electrical conductivity. In this work, P3HT was coated on the zinc oxide nanowires by the spin coating to form an organic-inorganic heterogeneous interface of the gas sensor for detecting ammonia gas. The thicknesses of the P3HT were around 462 nm, 397 nm, and 277 nm when the speeds of the spin coating were 4000 rpm, 5000 rpm, and 6000 rpm, respectively. The electrical properties and sensing characteristics of the gas sensing device at room temperature were evaluated by Hall effect measurement and the sensitivity of detecting ammonia gas. The results of Hall effect measurement for the P3HT-ZnO nanowires semiconductor with 462 nm P3HT film showed that the carrier concentration and the mobility were 2.7 × 1019 cm−3 and 24.7 cm2∙V−1∙s−1 respectively. The gas sensing device prepared by the P3HT-ZnO nanowires semiconductor had better sensitivity than the device composed of the ZnO film and P3HT film. Additionally, this gas sensing device could reach a maximum sensitivity around 11.58 per ppm. PMID:29295573

  16. Fabrication of a P3HT-ZnO Nanowires Gas Sensor Detecting Ammonia Gas.

    PubMed

    Kuo, Chin-Guo; Chen, Jung-Hsuan; Chao, Yi-Chieh; Chen, Po-Lin

    2017-12-25

    In this study, an organic-inorganic semiconductor gas sensor was fabricated to detect ammonia gas. An inorganic semiconductor was a zinc oxide (ZnO) nanowire array produced by atomic layer deposition (ALD) while an organic material was a p-type semiconductor, poly(3-hexylthiophene) (P3HT). P3HT was suitable for the gas sensing application due to its high hole mobility, good stability, and good electrical conductivity. In this work, P3HT was coated on the zinc oxide nanowires by the spin coating to form an organic-inorganic heterogeneous interface of the gas sensor for detecting ammonia gas. The thicknesses of the P3HT were around 462 nm, 397 nm, and 277 nm when the speeds of the spin coating were 4000 rpm, 5000 rpm, and 6000 rpm, respectively. The electrical properties and sensing characteristics of the gas sensing device at room temperature were evaluated by Hall effect measurement and the sensitivity of detecting ammonia gas. The results of Hall effect measurement for the P3HT-ZnO nanowires semiconductor with 462 nm P3HT film showed that the carrier concentration and the mobility were 2.7 × 10 19 cm -3 and 24.7 cm²∙V -1 ∙s -1 respectively. The gas sensing device prepared by the P3HT-ZnO nanowires semiconductor had better sensitivity than the device composed of the ZnO film and P3HT film. Additionally, this gas sensing device could reach a maximum sensitivity around 11.58 per ppm.

  17. Disorder induced semiconductor to metal transition and modifications of grain boundaries in nanocrystalline zinc oxide thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Fouran; Kumar, Vinod; Chaudhary, Babloo

    2012-10-01

    This paper report on the disorder induced semiconductor to metal transition (SMT) and modifications of grain boundaries in nanocrystalline zinc oxide thin film. Disorder is induced using energetic ion irradiation. It eliminates the possibility of impurities induced transition. However, it is revealed that some critical concentration of defects is needed for inducing such kind of SMT at certain critical temperature. Above room temperature, the current-voltage characteristics in reverse bias attributes some interesting phenomenon, such as electric field induced charge transfer, charge trapping, and diffusion of defects. The transition is explained by the defects induced disorder and strain in ZnO crystallitesmore » created by high density of electronic excitations.« less

  18. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  19. A Comprehensive Review of One-Dimensional Metal-Oxide Nanostructure Photodetectors

    PubMed Central

    Zhai, Tianyou; Fang, Xiaosheng; Liao, Meiyong; Xu, Xijin; Zeng, Haibo; Yoshio, Bando; Golberg, Dmitri

    2009-01-01

    One-dimensional (1D) metal-oxide nanostructures are ideal systems for exploring a large number of novel phenomena at the nanoscale and investigating size and dimensionality dependence of nanostructure properties for potential applications. The construction and integration of photodetectors or optical switches based on such nanostructures with tailored geometries have rapidly advanced in recent years. Active 1D nanostructure photodetector elements can be configured either as resistors whose conductions are altered by a charge-transfer process or as field-effect transistors (FET) whose properties can be controlled by applying appropriate potentials onto the gates. Functionalizing the structure surfaces offers another avenue for expanding the sensor capabilities. This article provides a comprehensive review on the state-of-the-art research activities in the photodetector field. It mainly focuses on the metal oxide 1D nanostructures such as ZnO, SnO2, Cu2O, Ga2O3, Fe2O3, In2O3, CdO, CeO2, and their photoresponses. The review begins with a survey of quasi 1D metal-oxide semiconductor nanostructures and the photodetector principle, then shows the recent progresses on several kinds of important metal-oxide nanostructures and their photoresponses and briefly presents some additional prospective metal-oxide 1D nanomaterials. Finally, the review is concluded with some perspectives and outlook on the future developments in this area. PMID:22454597

  20. Black Phosphorus-Zinc Oxide Nanomaterial Heterojunction for p-n Diode and Junction Field-Effect Transistor.

    PubMed

    Jeon, Pyo Jin; Lee, Young Tack; Lim, June Yeong; Kim, Jin Sung; Hwang, Do Kyung; Im, Seongil

    2016-02-10

    Black phosphorus (BP) nanosheet is two-dimensional (2D) semiconductor with distinct band gap and attracting recent attention from researches because it has some similarity to gapless 2D semiconductor graphene in the following two aspects: single element (P) for its composition and quite high mobilities depending on its fabrication conditions. Apart from several electronic applications reported with BP nanosheet, here we report for the first time BP nanosheet-ZnO nanowire 2D-1D heterojunction applications for p-n diodes and BP-gated junction field effect transistors (JFETs) with n-ZnO channel on glass. For these nanodevices, we take advantages of the mechanical flexibility of p-type conducting of BP and van der Waals junction interface between BP and ZnO. As a result, our BP-ZnO nanodimension p-n diode displays a high ON/OFF ratio of ∼10(4) in static rectification and shows kilohertz dynamic rectification as well while ZnO nanowire channel JFET operations are nicely demonstrated by BP gate switching in both electrostatics and kilohertz dynamics.

  1. Graphene field-effect devices

    NASA Astrophysics Data System (ADS)

    Echtermeyer, T. J.; Lemme, M. C.; Bolten, J.; Baus, M.; Ramsteiner, M.; Kurz, H.

    2007-09-01

    In this article, graphene is investigated with respect to its electronic properties when introduced into field effect devices (FED). With the exception of manual graphene deposition, conventional top-down CMOS-compatible processes are applied. Few and monolayer graphene sheets are characterized by scanning electron microscopy, atomic force microscopy and Raman spectroscopy. The electrical properties of monolayer graphene sandwiched between two silicon dioxide films are studied. Carrier mobilities in graphene pseudo-MOS structures are compared to those obtained from double-gated Graphene-FEDs and silicon metal-oxide-semiconductor field-effect-transistors (MOSFETs).

  2. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  3. Semiconducting transition metal oxides.

    PubMed

    Lany, Stephan

    2015-07-22

    Open shell transition metal oxides are usually described as Mott or charge transfer insulators, which are often viewed as being disparate from semiconductors. Based on the premise that the presence of a correlated gap and semiconductivity are not mutually exclusive, this work reviews electronic structure calculations on the binary 3d oxides, so to distill trends and design principles for semiconducting transition metal oxides. This class of materials possesses the potential for discovery, design, and development of novel functional semiconducting compounds, e.g. for energy applications. In order to place the 3d orbitals and the sp bands into an integrated picture, band structure calculations should treat both contributions on the same footing and, at the same time, account fully for electron correlation in the 3d shell. Fundamentally, this is a rather daunting task for electronic structure calculations, but quasi-particle energy calculations in GW approximation offer a viable approach for band structure predictions in these materials. Compared to conventional semiconductors, the inherent multivalent nature of transition metal cations is more likely to cause undesirable localization of electron or hole carriers. Therefore, a quantitative prediction of the carrier self-trapping energy is essential for the assessing the semiconducting properties and to determine whether the transport mechanism is a band-like large-polaron conduction or a small-polaron hopping conduction. An overview is given for the binary 3d oxides on how the hybridization between the 3d crystal field symmetries with the O-p orbitals of the ligands affects the effective masses and the likelihood of electron and hole self-trapping, identifying those situations where small masses and band-like conduction are more likely to be expected. The review concludes with an illustration of the implications of the increased electronic complexity of transition metal cations on the defect physics and doping, using

  4. Manipulation of Optoelectronic Properties and Band Structure Engineering of Ultrathin Te Nanowires by Chemical Adsorption.

    PubMed

    Roy, Ahin; Amin, Kazi Rafsanjani; Tripathi, Shalini; Biswas, Sangram; Singh, Abhishek K; Bid, Aveek; Ravishankar, N

    2017-06-14

    Band structure engineering is a powerful technique both for the design of new semiconductor materials and for imparting new functionalities to existing ones. In this article, we present a novel and versatile technique to achieve this by surface adsorption on low dimensional systems. As a specific example, we demonstrate, through detailed experiments and ab initio simulations, the controlled modification of band structure in ultrathin Te nanowires due to NO 2 adsorption. Measurements of the temperature dependence of resistivity of single ultrathin Te nanowire field-effect transistor (FET) devices exposed to increasing amounts of NO 2 reveal a gradual transition from a semiconducting to a metallic state. Gradual quenching of vibrational Raman modes of Te with increasing concentration of NO 2 supports the appearance of a metallic state in NO 2 adsorbed Te. Ab initio simulations attribute these observations to the appearance of midgap states in NO 2 adsorbed Te nanowires. Our results provide fundamental insights into the effects of ambient on the electronic structures of low-dimensional materials and can be exploited for designing novel chemical sensors.

  5. Silicon nanowire field-effect transistors for the detection of proteins

    NASA Astrophysics Data System (ADS)

    Madler, Carsten

    In this dissertation I present results on our efforts to increase the sensitivity and selectivity of silicon nanowire ion-sensitive field-effect transistors for the detection of biomarkers, as well as a novel method for wireless power transfer based on metamaterial rectennas for their potential use as implantable sensors. The sensing scheme is based on changes in the conductance of the semiconducting nanowires upon binding of charged entities to the surface, which induces a field-effect. Monitoring the differential conductance thus provides information of the selective binding of biological molecules of interest to previously covalently linked counterparts on the nanowire surface. In order to improve on the performance of the nanowire sensing, we devised and fabricated a nanowire Wheatstone bridge, which allows canceling out of signal drift due to thermal fluctuations and dynamics of fluid flow. We showed that balancing the bridge significantly improves the signal-to-noise ratio. Further, we demonstrated the sensing of novel melanoma biomarker TROY at clinically relevant concentrations and distinguished it from nonspecific binding by comparing the reaction kinetics. For increased sensitivity, an amplification method was employed using an enzyme which catalyzes a signal-generating reaction by changing the redox potential of a redox pair. In addition, we investigated the electric double layer, which forms around charges in an electrolytic solution. It causes electrostatic screening of the proteins of interest, which puts a fundamental limitation on the biomarker detection in solutions with high salt concentrations, such as blood. We solved the coupled Nernst-Planck and Poisson equations for the electrolyte under influence of an oscillating electric field and discovered oscillations of the counterion concentration at a characteristic frequency. In addition to exploring different methods for improved sensing capabilities, we studied an innovative method to supply power

  6. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  7. Model for determination of mid-gap states in amorphous metal oxides from thin film transistors

    NASA Astrophysics Data System (ADS)

    Bubel, S.; Chabinyc, M. L.

    2013-06-01

    The electronic density of states in metal oxide semiconductors like amorphous zinc oxide (a-ZnO) and its ternary and quaternary oxide alloys with indium, gallium, tin, or aluminum are different from amorphous silicon, or disordered materials such as pentacene, or P3HT. Many ZnO based semiconductors exhibit a steep decaying density of acceptor tail states (trap DOS) and a Fermi level (EF) close to the conduction band energy (EC). Considering thin film transistor (TFT) operation in accumulation mode, the quasi Fermi level for electrons (Eq) moves even closer to EC. Classic analytic TFT simulations use the simplification EC-EF> `several'kT and cannot reproduce exponential tail states with a characteristic energy smaller than 1/2 kT. We demonstrate an analytic model for tail and deep acceptor states, valid for all amorphous metal oxides and include the effect of trap assisted hopping instead of simpler percolation or mobility edge models, to account for the observed field dependent mobility.

  8. Biologically sensitive field-effect transistors: from ISFETs to NanoFETs.

    PubMed

    Pachauri, Vivek; Ingebrandt, Sven

    2016-06-30

    Biologically sensitive field-effect transistors (BioFETs) are one of the most abundant classes of electronic sensors for biomolecular detection. Most of the time these sensors are realized as classical ion-sensitive field-effect transistors (ISFETs) having non-metallized gate dielectrics facing an electrolyte solution. In ISFETs, a semiconductor material is used as the active transducer element covered by a gate dielectric layer which is electronically sensitive to the (bio-)chemical changes that occur on its surface. This review will provide a brief overview of the history of ISFET biosensors with general operation concepts and sensing mechanisms. We also discuss silicon nanowire-based ISFETs (SiNW FETs) as the modern nanoscale version of classical ISFETs, as well as strategies to functionalize them with biologically sensitive layers. We include in our discussion other ISFET types based on nanomaterials such as carbon nanotubes, metal oxides and so on. The latest examples of highly sensitive label-free detection of deoxyribonucleic acid (DNA) molecules using SiNW FETs and single-cell recordings for drug screening and other applications of ISFETs will be highlighted. Finally, we suggest new device platforms and newly developed, miniaturized read-out tools with multichannel potentiometric and impedimetric measurement capabilities for future biomedical applications. © 2016 The Author(s). Published by Portland Press Limited on behalf of the Biochemical Society.

  9. On the measurement of relaxation times of acoustic vibrations in metal nanowires.

    PubMed

    Devkota, Tuphan; Chakraborty, Debadi; Yu, Kuai; Beane, Gary; Sader, John E; Hartland, Gregory V

    2018-06-25

    The mechanical resonances of metal nanostructures are strongly affected by their environment. In this paper the way the breathing modes of single metal nanowires are damped by liquids with different viscosities was studied by ultrafast pump-probe microscopy experiments. Both nanowires supported on a glass substrate and nanowires suspended over trenches were investigated. The measured quality factors for liquid damping for the suspended nanowires are in good agreement with continuum mechanics calculations for an inviscid fluid that assume continuity in stress and displacement at the nanowire-liquid interface. This shows that liquid damping is controlled by radiation of sound waves into the medium. For the nanowires on the glass surface the quality factors for liquid damping are approximately 60% higher than those for the suspended nanowires. This is attributed to a shadowing effect. The nanowires in our measurements have pentagonal cross-sections. This produces two different breathing modes and also means that one of the faces for the supported nanowires is blocked by the substrate, which reduces the amount of damping from the liquid. Comparing the supported and suspended nanowires also allows us to estimate the effect of the substrate on the acoustic mode damping. We find that the substrate has a weak effect, which is attributed to poor mechanical contact between the nanowires and the substrate.

  10. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  11. Field-assisted nanopatterning of metals, metal oxides and metal salts

    NASA Astrophysics Data System (ADS)

    Liu, Jun-Fu; Miller, Glen P.

    2009-02-01

    The tip-based nanofabrication method called field-assisted nanopatterning or FAN has now been extended to the transfer of metals, metal oxides and metal salts onto various receiving substrates including highly ordered pyrolytic graphite, passivated gold and indium-tin oxide. Standard atomic force microscope tips were first dip-coated using suspensions of inorganic compounds in solvent. The films prepared in this manner were non-uniform and contained inorganic nanoparticles. Tip-based nanopatterning on chosen substrates was conducted under high electric field conditions. The same tip was used for both nanofabrication and imaging. Arbitrary patterns were formed with dimensions that ranged from tens of microns to sub-20 nm and were controlled by tuning the tip bias during fabrication. Most tip-based nanopatterning techniques are limited in terms of the type of species that can be deposited and the type of substrates onto which the deposition occurs. With the successful deposition of inorganic species reported here, FAN is demonstrated to be a truly versatile tip-based nanofabrication technique that is useful for the deposition of a wide variety of both organic and inorganic species including small molecules, large molecules and polymers.

  12. Semi-transparent all-oxide ultraviolet light-emitting diodes based on ZnO/NiO-core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Shi, Zhi-Feng; Xu, Ting-Ting; Wu, Di; Zhang, Yuan-Tao; Zhang, Bao-Lin; Tian, Yong-Tao; Li, Xin-Jian; Du, Guo-Tong

    2016-05-01

    Semi-transparent all-oxide light-emitting diodes based on ZnO/NiO-core/shell nanowire structures were prepared on double-polished c-Al2O3 substrates. The entire heterojunction diode showed an average transparency of ~65% in the ultraviolet and visible regions. Under forward bias, the diode displayed an intense ultraviolet emission at ~382 nm, and its electroluminescence performance was remarkable in terms of a low emission onset, acceptable operating stability, and the ability to optically excite emissive semiconductor nanoparticle chromophores.Semi-transparent all-oxide light-emitting diodes based on ZnO/NiO-core/shell nanowire structures were prepared on double-polished c-Al2O3 substrates. The entire heterojunction diode showed an average transparency of ~65% in the ultraviolet and visible regions. Under forward bias, the diode displayed an intense ultraviolet emission at ~382 nm, and its electroluminescence performance was remarkable in terms of a low emission onset, acceptable operating stability, and the ability to optically excite emissive semiconductor nanoparticle chromophores. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07236k

  13. The Laser-Assisted Field Effect Transistor Gas Sensor Based on Morphological Zinc-Excited Tin-Doped In2O3 Nanowires

    NASA Astrophysics Data System (ADS)

    Shariati, Mohsen; Khosravinejad, Fariba

    The gas nanosensor of indium oxide nanowires in laser assisted approach, doped with tin and zinc for gas sensing and 1D growth purposes respectively, was reported. The nanowires were very sensitive to H2S gas in low concentration of 20ppb gas at room temperature. The fast dynamic intensive and sensitive response to gas was in a few seconds with an on/off sensitivity ratio of around 10. The square cross-section indium oxide nanowires were fabricated through physical vapor deposition (PVD) mechanism and annealing approach. The field emission scanning electron microscopy (FESEM) observations indicated that the annealing temperature was vital in nanostructures’ morphology. The fabricated nanowires for the optimized annealing temperature in applied growth technique were around 60nm in diameter.

  14. Effect of Water Vapor and Surface Morphology on the Low Temperature Response of Metal Oxide Semiconductor Gas Sensors

    PubMed Central

    Maier, Konrad; Helwig, Andreas; Müller, Gerhard; Hille, Pascal; Eickhoff, Martin

    2015-01-01

    In this work the low temperature response of metal oxide semiconductor gas sensors is analyzed. Important characteristics of this low-temperature response are a pronounced selectivity to acid- and base-forming gases and a large disparity of response and recovery time constants which often leads to an integrator-type of gas response. We show that this kind of sensor performance is related to the trend of semiconductor gas sensors to adsorb water vapor in multi-layer form and that this ability is sensitively influenced by the surface morphology. In particular we show that surface roughness in the nanometer range enhances desorption of water from multi-layer adsorbates, enabling them to respond more swiftly to changes in the ambient humidity. Further experiments reveal that reactive gases, such as NO2 and NH3, which are easily absorbed in the water adsorbate layers, are more easily exchanged across the liquid/air interface when the humidity in the ambient air is high. PMID:28793583

  15. 1-D Metal Nanobead Arrays within Encapsulated Nanowires via a Red-Ox-Induced Dewetting: Mechanism Study by Atom-Probe Tomography.

    PubMed

    Sun, Zhiyuan; Tzaguy, Avra; Hazut, Ori; Lauhon, Lincoln J; Yerushalmi, Roie; Seidman, David N

    2017-12-13

    Metal nanoparticle arrays are excellent candidates for a variety of applications due to the versatility of their morphology and structure at the nanoscale. Bottom-up self-assembly of metal nanoparticles provides an important complementary alternative to the traditional top-down lithography method and makes it possible to assemble structures with higher-order complexity, for example, nanospheres, nanocubes, and core-shell nanostructures. Here we present a mechanism study of the self-assembly process of 1-D noble metal nanoparticles arrays, composed of Au, Ag, and AuAg alloy nanoparticles. These are prepared within an encapsulated germanium nanowire, obtained by the oxidation of a metal-germanium nanowire hybrid structure. The resulting structure is a 1-D array of equidistant metal nanoparticles with the same diameter, the so-called nanobead (NB) array structure. Atom-probe tomography and transmission electron microscopy were utilized to investigate the details of the morphological and chemical evolution during the oxidation of the encapsulated metal-germanium nanowire hybrid-structures. The self-assembly of nanoparticles relies on the formation of a metal-germanium liquid alloy and the migration of the liquid alloy into the nanowire, followed by dewetting of the liquid during shape-confined oxidation where the liquid column breaks-up into nanoparticles due to the Plateau-Rayleigh instability. Our results demonstrate that the encapsulating oxide layer serves as a structural scaffold, retaining the overall shape during the eutectic liquid formation and demonstrates the relationship between the oxide mechanical properties and the final structural characteristics of the 1-D arrays. The mechanistic details revealed here provide a versatile tool-box for the bottom-up fabrication of 1-D arrays nanopatterning that can be modified for multiple applications according to the RedOx properties of the material system components.

  16. Long term stability of nanowire nanoelectronics in physiological environments.

    PubMed

    Zhou, Wei; Dai, Xiaochuan; Fu, Tian-Ming; Xie, Chong; Liu, Jia; Lieber, Charles M

    2014-03-12

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease.

  17. Long Term Stability of Nanowire Nanoelectronics in Physiological Environments

    PubMed Central

    2015-01-01

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease. PMID:24479700

  18. Electromechanical phenomena in semiconductor nanostructures

    NASA Astrophysics Data System (ADS)

    Lew Yan Voon, L. C.; Willatzen, M.

    2011-02-01

    Electromechanical phenomena in semiconductors are still poorly studied from a fundamental and an applied science perspective, even though significant strides have been made in the last decade or so. Indeed, most current electromechanical devices are based on ferroelectric oxides. Yet, the importance of the effect in certain semiconductors is being increasingly recognized. For instance, the magnitude of the electric field in an AlN/GaN nanostructure can reach 1-10 MV/cm. In fact, the basic functioning of an (0001) AlGaN/GaN high electron mobility transistor is due to the two-dimensional electron gas formed at the material interface by the polarization fields. The goal of this review is to inform the reader of some of the recent developments in the field for nanostructures and to point out still open questions. Examples of recent work that involves the piezoelectric and pyroelectric effects in semiconductors include: the study of the optoelectronic properties of III-nitrides quantum wells and dots, the current controversy regarding the importance of the nonlinear piezoelectric effect, energy harvesting using ZnO nanowires as a piezoelectric nanogenerator, the use of piezoelectric materials in surface acoustic wave devices, and the appropriateness of various models for analyzing electromechanical effects. Piezoelectric materials such as GaN and ZnO are gaining more and more importance for energy-related applications; examples include high-brightness light-emitting diodes for white lighting, high-electron mobility transistors, and nanogenerators. Indeed, it remains to be demonstrated whether these materials could be the ideal multifunctional materials. The solutions to these and other related problems will not only lead to a better understanding of the basic physics of these materials, but will validate new characterization tools, and advance the development of new and better devices. We will restrict ourselves to nanostructures in the current article even though the

  19. Nanowire Photovoltaic Devices

    NASA Technical Reports Server (NTRS)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  20. Importance of the Debye Screening Length on Nanowire Field Effect Transistor Sensors

    PubMed Central

    Stern, Eric; Wagner, Robin; Sigworth, Fred J.; Breaker, Ronald; Fahmy, Tarek M.; Reed, Mark A.

    2009-01-01

    Nanowire field effect transistors (NW-FETs) can serve as ultrasensitive detectors for label-free reagents. The NW-FET sensing mechanism assumes a controlled modification in the local channel electric field created by the binding of charged molecules to the nanowire surface. Careful control of the solution Debye length is critical for unambiguous selective detection of macromolecules. Here we show the appropriate conditions under which the selective binding of macromolecules is accurately sensed with NW-FET sensors. PMID:17914853

  1. Importance of the Debye screening length on nanowire field effect transistor sensors.

    PubMed

    Stern, Eric; Wagner, Robin; Sigworth, Fred J; Breaker, Ronald; Fahmy, Tarek M; Reed, Mark A

    2007-11-01

    Nanowire field effect transistors (NW-FETs) can serve as ultrasensitive detectors for label-free reagents. The NW-FET sensing mechanism assumes a controlled modification in the local channel electric field created by the binding of charged molecules to the nanowire surface. Careful control of the solution Debye length is critical for unambiguous selective detection of macromolecules. Here we show the appropriate conditions under which the selective binding of macromolecules is accurately sensed with NW-FET sensors.

  2. Nanowire CdS-CdTe solar cells with molybdenum oxide as contact

    DOE PAGES

    Dang, Hongmei; Singh, Vijay P.

    2015-10-06

    Using a 10 nm thick molybdenum oxide (MoO 3-x) layer as a transparent and low barrier contact to p-CdTe, we demonstrate nanowire CdS-CdTe solar cells with a power conversion efficiency of 11% under front side illumination. Annealing the as-deposited MoO 3 film in N2 resulted in a reduction of the cell’s series resistance, from 9.97 Ω/cm 2 to 7.69 Ω/cm 2, and increase in efficiency from 9.9% to 11%. Under illumination from the back, the MoO 3-x/Au side, the nanowire solar cells yielded Jsc of 21 mA/cm 2 and efficiency of 8.67%. Our results demonstrate use of a thin layermore » transition metal oxide as a potential way for a transparent back contact to nanowire CdS-CdTe solar cells. As a result, this work has implications toward enabling a novel superstrate structure nanowire CdS-CdTe solar cell on Al foil substrate by a low cost roll-to roll fabrication process.« less

  3. Cross-plane electrical and thermal transport in oxide metal/semiconductor superlattices

    NASA Astrophysics Data System (ADS)

    Jha, Pankaj

    Perovskite oxides display a rich variety of electronic properties as metals, ferroelectrics, ferromagnetics, multiferroics, and thermoelectrics. Cross-plane electron filtering transport in metal/semiconductor superlattices provides a potential approach to increase the thermoelectric figure of merit (ZT). La0.67Sr0.33MnO3 (LSMO) and LaMnO3 (LMO) thin-film depositions were optimized using pulsed laser deposition (PLD) to achieve low resistivity constituent materials for LSMO/LMO superlattice heterostructures on (100)-strontium titanate (STO) substrates. X-ray diffraction and high-resolution reciprocal space mapping (RSM) indicate that the superlattices are epitaxial and pseudomorphic. Cross-plane devices were fabricated by etching cylindrical pillar structures in superlattices using inductively-coupled-plasma reactive-ion etching. The cross-plane electrical conductivity data for LSMO/LMO superlattices reveal an effective barrier height of 220 meV. The cross-plane LSMO/LMO superlattices showed a giant Seebeck coefficient of 2560 microV/K at 300K that increases to 16640 microV/K at 360K. The large Seebeck coefficient may arise due to hot electron and spin filtering as LSMO/LMO superlattice constituent materials exhibit spintronic properties where charges and spin current are intertwined and can generate a spin-Seebeck effect. The room temperature thermal conductivity achieved in low resistivity superlattices was 0.92 W/mK, which indicates that cross-plane phonon scattering at interfaces reduces the lattice contribution to the thermal conductivity. The giant contribution of spin-Seebeck, the large temperature dependence of the cross-plane power factor, and the low thermal conductivity in low resistance LSMO/LMO superlattices may offer opportunities to realize spin-magnetic thermoelectric devices, and suggests a direction for further investigations of the potential of LSMO/LMO oxide superlattices for thermoelectric devices.

  4. Hierarchically structured nanowires on and nanosticks in ZnO microtubes

    PubMed Central

    Rivaldo-Gómez, C. M.; Cabrera-Pasca, G. A.; Zúñiga, A.; Carbonari, A. W.; Souza, J. A.

    2015-01-01

    We report both coaxial core-shell structured microwires and ZnO microtubes with growth of nanosticks in the inner and nanowires on the outer surface as a novel hierarchical micro/nanoarchitecture. First, a core-shell structure is obtained—the core is formed by metallic Zn and the semiconducting shell is comprised by a thin oxide layer covered with a high density of nanowires. Such Zn/ZnO core-shell array showed magnetoresistance effect. It is suggested that magnetic moments in the nanostructured shell superimposes to the external magnetic field enhancing the MR effect. Second, microtubes decorated with nanowires on the external surface are obtained. In an intermediate stage, a hierarchical morphology comprised of discrete nanosticks in the inner surface of the microtube has been found. Hyperfine interaction measurements disclosed the presence of confined metallic Zn regions at the interface between linked ZnO grains forming a chain and a ZnO thicker layer. Surprisingly, the metallic clusters form highly textured thin flat regions oriented parallel to the surface of the microtube as revealed by the electrical field gradient direction. The driving force to grow the internal nanosticks has been ascribed to stress-induced migration of Zn ions due to compressive stress caused by the presence of these confined regions. PMID:26456527

  5. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lei; Yan, Danhua; Shaffer, David W.

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  6. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE PAGES

    Wang, Lei; Yan, Danhua; Shaffer, David W.; ...

    2017-12-27

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  7. Neutron and gamma irradiation effects on power semiconductor switches

    NASA Technical Reports Server (NTRS)

    Schwarze, G. E.; Frasca, A. J.

    1990-01-01

    The performance characteristics of high-power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN Bipolar Junction Transistors (BJTs), and Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs). BJTs show a rapid decrease in gain, blocking voltage, and storage time for neutron irradiation, and MOSFETs show a rapid decrease in the gate threshold voltage for gamma irradiation.

  8. Neutron and gamma irradiation effects on power semiconductor switches

    NASA Technical Reports Server (NTRS)

    Schwarze, G. E.; Frasca, A. J.

    1990-01-01

    The performance characteristics of high power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN Bipolar Junction Transistors (BJTs), and Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs). BJTs show a rapid decrease in gain, blocking voltage, and storage time for neutron irradiation, and MOSFETs show a rapid decrease in the gate threshold voltage for gamma irradiation.

  9. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  10. Low-power bacteriorhodopsin-silicon n-channel metal-oxide field-effect transistor photoreceiver.

    PubMed

    Shin, Jonghyun; Bhattacharya, Pallab; Yuan, Hao-Chih; Ma, Zhenqiang; Váró, György

    2007-03-01

    A bacteriorhodopsin (bR)-silicon n-channel metal-oxide field-effect transistor (NMOSFET) monolithically integrated photoreceiver is demonstrated. The bR film is selectively formed on an external gate electrode of the transistor by electrophoretic deposition. A modified biasing circuit is incorporated, which helps to match the resistance of the bR film to the input impedance of the NMOSFET and to shift the operating point of the transistor to coincide with the maximum gain. The photoreceiver exhibits a responsivity of 4.7 mA/W.

  11. Electronic Structures of Free-Standing Nanowires made from Indirect Bandgap Semiconductor Gallium Phosphide

    PubMed Central

    Liao, Gaohua; Luo, Ning; Chen, Ke-Qiu; Xu, H. Q.

    2016-01-01

    We present a theoretical study of the electronic structures of freestanding nanowires made from gallium phosphide (GaP)—a III-V semiconductor with an indirect bulk bandgap. We consider [001]-oriented GaP nanowires with square and rectangular cross sections, and [111]-oriented GaP nanowires with hexagonal cross sections. Based on tight binding models, both the band structures and wave functions of the nanowires are calculated. For the [001]-oriented GaP nanowires, the bands show anti-crossing structures, while the bands of the [111]-oriented nanowires display crossing structures. Two minima are observed in the conduction bands, while the maximum of the valence bands is always at the Γ-point. Using double group theory, we analyze the symmetry properties of the lowest conduction band states and highest valence band states of GaP nanowires with different sizes and directions. The band state wave functions of the lowest conduction bands and the highest valence bands of the nanowires are evaluated by spatial probability distributions. For practical use, we fit the confinement energies of the electrons and holes in the nanowires to obtain an empirical formula. PMID:27307081

  12. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  13. Sensing Responses Based on Transfer Characteristics of InAs Nanowire Field-Effect Transistors

    PubMed Central

    Savelyev, Igor; Blumin, Marina; Wang, Shiliang; Ruda, Harry E.

    2017-01-01

    Nanowire-based field-effect transistors (FETs) have demonstrated considerable promise for a new generation of chemical and biological sensors. Indium arsenide (InAs), by virtue of its high electron mobility and intrinsic surface accumulation layer of electrons, holds properties beneficial for creating high performance sensors that can be used in applications such as point-of-care testing for patients diagnosed with chronic diseases. Here, we propose devices based on a parallel configuration of InAs nanowires and investigate sensor responses from measurements of conductance over time and FET characteristics. The devices were tested in controlled concentrations of vapour containing acetic acid, 2-butanone and methanol. After adsorption of analyte molecules, trends in the transient current and transfer curves are correlated with the nature of the surface interaction. Specifically, we observed proportionality between acetic acid concentration and relative conductance change, off current and surface charge density extracted from subthreshold behaviour. We suggest the origin of the sensing response to acetic acid as a two-part, reversible acid-base and redox reaction between acetic acid, InAs and its native oxide that forms slow, donor-like states at the nanowire surface. We further describe a simple model that is able to distinguish the occurrence of physical versus chemical adsorption by comparing the values of the extracted surface charge density. These studies demonstrate that InAs nanowires can produce a multitude of sensor responses for the purpose of developing next generation, multi-dimensional sensor applications. PMID:28714903

  14. Simulation of Nanowires on Metal Vicinal Surfaces: Effect of Growth Parameters and Energetic Barriers

    NASA Astrophysics Data System (ADS)

    Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.

    2012-02-01

    Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.

  15. Metal-conductive polymer hybrid nanostructures: preparation and electrical properties of palladium-polyimidazole nanowires

    NASA Astrophysics Data System (ADS)

    Al-Hinai, Mariam; Hassanien, Reda; Watson, Scott M. D.; Wright, Nicholas G.; Houlton, Andrew; Horrocks, Benjamin R.

    2016-03-01

    A simple, convenient method for the formation of hybrid metal/conductive polymer nanostructures is described. Polyimidazole (PIm) has been templated on λ-DNA via oxidative polymerisation of imidazole using FeCl3 to produce conductive PIm/DNA nanowires. The PIm/DNA nanowires were decorated with Pd (Pd/PIm/DNA) by electroless reduction of {{{{PdCl}}}4}2- with NaBH4 in the presence of PIm/DNA; the choice of imidazole was motivated by the potential Pd(II) binding site at the pyridinic N atom. The formation of PIm/DNA and the presence of metallic Pd on Pd/PIm/DNA nanowires were verified by FTIR, UV-vis and XPS spectroscopy techniques. AFM studies show that the nanowires have diameters in the range 5-45 nm with a slightly greater mean diameter (17.1 ± 0.75 nm) for the Pd-decorated nanowires than the PIm/DNA nanowires (14.5 ± 0.89 nm). After incubation for 24 h in the polymerisation solution, the PIm/DNA nanowires show a smooth, uniform morphology, which is retained after decoration with Pd. Using a combination of scanned conductance microscopy, conductive AFM and two-terminal measurements we show that both types of nanowire are conductive and that it is possible to discriminate different possible mechanisms of transport. The conductivity of the Pd/PIm/DNA nanowires, (0.1-1.4 S cm-1), is comparable to the PIm/DNA nanowires (0.37 ± 0.029 S cm-1). In addition, the conductance of Pd/PIm/DNA nanowires exhibits Arrhenius behaviour (E a = 0.43 ± 0.02 eV) as a function of temperature in contrast to simple Pd/DNA nanowires. These results indicate that although the Pd crystallites on Pd/PIm/DNA nanowires decorate the PIm polymer, the major current pathway is through the polymer rather than the Pd.

  16. Electric field-assisted deposition of nanowires on carbon nanotubes for nanoelectronics and sensor applications.

    PubMed

    Sivakumar, Kousik; Panchapakesan, Balaji

    2005-02-01

    Manipulation and control of matter at the nanoscale and atomic scale levels are crucial for the success of nanoscale sensors and actuators. The ability to control and synthesize multilayer structures using carbon nanotubes that will enable the building of electronic devices within a nanotube is still in its infancy. In this paper, we present results on selective electric field-assisted deposition of metals on carbon nanotubes realizing metallic nanowire structures. Silver and platinum nanowires have been fabricated using this approach for their applications in chemical sensing as catalytic materials to sniff toxic agents and in the area of biomedical nanotechnology for construction of artificial muscles. Electric field-assisted deposition allows the deposition of metals with a high degree of selectivity on carbon nanotubes by manipulating the charges on the surface of the nanotubes and forming electrostatic double-layer supercapacitors. Deposition of metals primarily occurred due to electrochemical reduction, electrophoresis, and electro-osmosis inside the walls of the nanotube. SEM and TEM investigations revealed silver and platinum nanowires between 10 nm and 100 nm in diameter. The present technique is versatile and enables the fabrication of a host of different types of metallic and semiconducting nanowires using carbon nanotube templates for nanoelectronics and a myriad of sensor applications.

  17. Controlled fabrication of photoactive copper oxide-cobalt oxide nanowire heterostructures for efficient phenol photodegradation.

    PubMed

    Shi, Wenwu; Chopra, Nitin

    2012-10-24

    Fabrication of oxide nanowire heterostructures with controlled morphology, interface, and phase purity is critical for high-efficiency and low-cost photocatalysis. Here, we have studied the formation of copper oxide-cobalt nanowire heterostructures by sputtering and subsequent air annealing to result in cobalt oxide (Co(3)O(4))-coated CuO nanowires. This approach allowed fabrication of standing nanowire heterostructures with tunable compositions and morphologies. The vertically standing CuO nanowires were synthesized in a thermal growth method. The shell growth kinetics of Co and Co(3)O(4) on CuO nanowires, morphological evolution of the shell, and nanowire self-shadowing effects were found to be strongly dependent on sputtering duration, air-annealing conditions, and alignment of CuO nanowires. Finite element method (FEM) analysis indicated that alignment and stiffness of CuO-Co nanowire heterostructures greatly influenced the nanomechanical aspects such as von Mises equivalent stress distribution and bending of nanowire heterostructures during the Co deposition process. This fundamental knowledge was critical for the morphological control of Co and Co(3)O(4) on CuO nanowires with desired interfaces and a uniform coating. Band gap energies and phenol photodegradation capability of CuO-Co(3)O(4) nanowire heterostructures were studied as a function of Co(3)O(4) morphology. Multiple absorption edges and band gap tailings were observed for these heterostructures, indicating photoactivity from visible to UV range. A polycrystalline Co(3)O(4) shell on CuO nanowires showed the best photodegradation performance (efficiency ~50-90%) in a low-powered UV or visible light illumination with a sacrificial agent (H(2)O(2)). An anomalously high efficiency (~67.5%) observed under visible light without sacrificial agent for CuO nanowires coated with thin (∼5.6 nm) Co(3)O(4) shell and nanoparticles was especially interesting. Such photoactive heterostructures demonstrate unique

  18. MOF-Based Membrane Encapsulated ZnO Nanowires for Enhanced Gas Sensor Selectivity.

    PubMed

    Drobek, Martin; Kim, Jae-Hun; Bechelany, Mikhael; Vallicari, Cyril; Julbe, Anne; Kim, Sang Sub

    2016-04-06

    Gas sensors are of a great interest for applications including toxic or explosive gases detection in both in-house and industrial environments, air quality monitoring, medical diagnostics, or control of food/cosmetic properties. In the area of semiconductor metal oxides (SMOs)-based sensors, a lot of effort has been devoted to improve the sensing characteristics. In this work, we report on a general methodology for improving the selectivity of SMOx nanowires sensors, based on the coverage of ZnO nanowires with a thin ZIF-8 molecular sieve membrane. The optimized ZnO@ZIF-8-based nanocomposite sensor shows markedly selective response to H2 in comparison with the pristine ZnO nanowires sensor, while showing the negligible sensing response to C7H8 and C6H6. This original MOF-membrane encapsulation strategy applied to nanowires sensor architecture pave the way for other complex 3D architectures and various types of applications requiring either gas or ion selectivity, such as biosensors, photo(catalysts), and electrodes.

  19. Transformation of bulk alloys to oxide nanowires

    NASA Astrophysics Data System (ADS)

    Lei, Danni; Benson, Jim; Magasinski, Alexandre; Berdichevsky, Gene; Yushin, Gleb

    2017-01-01

    One dimensional (1D) nanostructures offer prospects for enhancing the electrical, thermal, and mechanical properties of a broad range of functional materials and composites, but their synthesis methods are typically elaborate and expensive. We demonstrate a direct transformation of bulk materials into nanowires under ambient conditions without the use of catalysts or any external stimuli. The nanowires form via minimization of strain energy at the boundary of a chemical reaction front. We show the transformation of multimicrometer-sized particles of aluminum or magnesium alloys into alkoxide nanowires of tunable dimensions, which are converted into oxide nanowires upon heating in air. Fabricated separators based on aluminum oxide nanowires enhanced the safety and rate capabilities of lithium-ion batteries. The reported approach allows ultralow-cost scalable synthesis of 1D materials and membranes.

  20. Thermal stability of atomic layer deposited WCxNy electrodes for metal oxide semiconductor devices

    NASA Astrophysics Data System (ADS)

    Zonensain, Oren; Fadida, Sivan; Fisher, Ilanit; Gao, Juwen; Danek, Michal; Eizenberg, Moshe

    2018-01-01

    This study is a thorough investigation of the chemical, structural, and electrical stability of W based organo-metallic films, grown by atomic layer deposition, for future use as gate electrodes in advanced metal oxide semiconductor structures. In an earlier work, we have shown that high effective work-function (4.7 eV) was produced by nitrogen enriched films (WCxNy) dominated by W-N chemical bonding, and low effective work-function (4.2 eV) was produced by hydrogen plasma resulting in WCx films dominated by W-C chemical bonding. In the current work, we observe, using x-ray diffraction analysis, phase transformation of the tungsten carbide and tungsten nitride phases after 900 °C annealing to the cubic tungsten phase. Nitrogen diffusion is also observed and is analyzed with time-of-flight secondary ion mass spectroscopy. After this 900 °C anneal, WCxNy effective work function tunability is lost and effective work-function values of 4.7-4.8 eV are measured, similar to stable effective work function values measured for PVD TiN up to 900 °C anneal. All the observed changes after annealing are discussed and correlated to the observed change in the effective work function.

  1. Metal oxides for optoelectronic applications.

    PubMed

    Yu, Xinge; Marks, Tobin J; Facchetti, Antonio

    2016-04-01

    Metal oxides (MOs) are the most abundant materials in the Earth's crust and are ingredients in traditional ceramics. MO semiconductors are strikingly different from conventional inorganic semiconductors such as silicon and III-V compounds with respect to materials design concepts, electronic structure, charge transport mechanisms, defect states, thin-film processing and optoelectronic properties, thereby enabling both conventional and completely new functions. Recently, remarkable advances in MO semiconductors for electronics have been achieved, including the discovery and characterization of new transparent conducting oxides, realization of p-type along with traditional n-type MO semiconductors for transistors, p-n junctions and complementary circuits, formulations for printing MO electronics and, most importantly, commercialization of amorphous oxide semiconductors for flat panel displays. This Review surveys the uniqueness and universality of MOs versus other unconventional electronic materials in terms of materials chemistry and physics, electronic characteristics, thin-film fabrication strategies and selected applications in thin-film transistors, solar cells, diodes and memories.

  2. Metal oxides for optoelectronic applications

    NASA Astrophysics Data System (ADS)

    Yu, Xinge; Marks, Tobin J.; Facchetti, Antonio

    2016-04-01

    Metal oxides (MOs) are the most abundant materials in the Earth's crust and are ingredients in traditional ceramics. MO semiconductors are strikingly different from conventional inorganic semiconductors such as silicon and III-V compounds with respect to materials design concepts, electronic structure, charge transport mechanisms, defect states, thin-film processing and optoelectronic properties, thereby enabling both conventional and completely new functions. Recently, remarkable advances in MO semiconductors for electronics have been achieved, including the discovery and characterization of new transparent conducting oxides, realization of p-type along with traditional n-type MO semiconductors for transistors, p-n junctions and complementary circuits, formulations for printing MO electronics and, most importantly, commercialization of amorphous oxide semiconductors for flat panel displays. This Review surveys the uniqueness and universality of MOs versus other unconventional electronic materials in terms of materials chemistry and physics, electronic characteristics, thin-film fabrication strategies and selected applications in thin-film transistors, solar cells, diodes and memories.

  3. Direct observation of confined acoustic phonon polarization branches in free-standing semiconductor nanowires

    DOE PAGES

    Kargar, Fariborz; Debnath, Bishwajit; Kakko, Joona -Pekko; ...

    2016-11-10

    Similar to electron waves, the phonon states in semiconductors can undergo changes induced by external boundaries. However, despite strong scientific and practical importance, conclusive experimental evidence of confined acoustic phonon polarization branches in individual free-standing nanostructures is lacking. Here we report results of Brillouin-Mandelstam light scattering spectroscopy, which reveal multiple (up to ten) confined acoustic phonon polarization branches in GaAs nanowires with a diameter as large as 128 nm, at a length scale that exceeds the grey phonon mean-free path in this material by almost an order-of-magnitude. The dispersion modification and energy scaling with diameter in individual nanowires are inmore » excellent agreement with theory. The phonon confinement effects result in a decrease in the phonon group velocity along the nanowire axis and changes in the phonon density of states. Furthermore, the obtained results can lead to more efficient nanoscale control of acoustic phonons, with benefits for nanoelectronic, thermoelectric and spintronic devices.« less

  4. Silicon Metal-oxide-semiconductor Quantum Dots for Single-electron Pumping

    PubMed Central

    Rossi, Alessandro; Tanttu, Tuomo; Hudson, Fay E.; Sun, Yuxin; Möttönen, Mikko; Dzurak, Andrew S.

    2015-01-01

    As mass-produced silicon transistors have reached the nano-scale, their behavior and performances are increasingly affected, and often deteriorated, by quantum mechanical effects such as tunneling through single dopants, scattering via interface defects, and discrete trap charge states. However, progress in silicon technology has shown that these phenomena can be harnessed and exploited for a new class of quantum-based electronics. Among others, multi-layer-gated silicon metal-oxide-semiconductor (MOS) technology can be used to control single charge or spin confined in electrostatically-defined quantum dots (QD). These QD-based devices are an excellent platform for quantum computing applications and, recently, it has been demonstrated that they can also be used as single-electron pumps, which are accurate sources of quantized current for metrological purposes. Here, we discuss in detail the fabrication protocol for silicon MOS QDs which is relevant to both quantum computing and quantum metrology applications. Moreover, we describe characterization methods to test the integrity of the devices after fabrication. Finally, we give a brief description of the measurement set-up used for charge pumping experiments and show representative results of electric current quantization. PMID:26067215

  5. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  6. Graphene-based half-metal and spin-semiconductor for spintronic applications.

    PubMed

    Qi, Jingshan; Chen, Xiaofang; Hu, Kaige; Feng, Ji

    2016-03-31

    In this letter we propose a strategy to make graphene become a half-metal or spin-semiconductor by combining the magnetic proximity effects and sublattice symmetry breaking in graphone/graphene and graphone/graphene/BN heterostructures. Exchange interactions lift the spin degeneracy and sublattice symmetry breaking opens a band gap in graphene. More interestingly, the gap opening depends on the spin direction and the competition between the sublattice asymmetry and exchange field determines the system is a half-metal or a spin-semiconductor. By first-principles calculations and a low-energy effective model analysis, we elucidate the underlying physical mechanism of spin-dependent gap opening and spin degeneracy splitting. This offers an alternative practical platform for graphene-based spintronics.

  7. Plasmonic lattice solitons in metallic nanowire materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swami, O. P., E-mail: omg1789@gmail.com; Kumar, Vijendra, E-mail: vsmedphysics@gmail.com; Nagar, A. K., E-mail: ajaya.nagar@gmail.com

    2016-05-06

    In this paper, we demonstrate theoretically that the plasmonic lattice solitons (PLSs) are formed in array of metallic nanowires embedded in Kerr-type material. The strong nonlinearity at metal surface, combined with the tight confinement of the guiding modes of the metallic nanowires, provide the main physical mechanism for balancing the creation of plasmonic lattice solitons and wave diffraction. We show that the PLSs are satisfied in a verity of plasmonic systems, which have important applications in nanophotonics and subwavelength optics.

  8. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    NASA Astrophysics Data System (ADS)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  9. Gate-Tunable Electron Transport Phenomena in Al-Ge⟨111⟩-Al Nanowire Heterostructures.

    PubMed

    Brunbauer, Florian M; Bertagnolli, Emmerich; Lugstein, Alois

    2015-11-11

    Electrostatically tunable negative differential resistance (NDR) is demonstrated in monolithic metal-semiconductor-metal (Al-Ge-Al) nanowire (NW) heterostructures integrated in back-gated field-effect transistors (FETs). Unambiguous signatures of NDR even at room temperature are attributed to intervalley electron transfer. At yet higher electric fields, impact ionization leads to an exponential increase of the current in the ⟨111⟩ oriented Ge NW segments. Modulation of the transfer rates, manifested as a large tunability of the peak-to-valley ratio (PVR) and the onset of impact ionization is achieved by the combined influences of electrostatic gating, geometric confinement, and heterojunction shape on hot electron transfer and by electron-electron scattering rates that can be altered by varying the charge carrier concentration in the NW FETs.

  10. Synthesis of ZnO nanowires for thin film network transistors

    NASA Astrophysics Data System (ADS)

    Dalal, S. H.; Unalan, H. E.; Zhang, Y.; Hiralal, Pritesh; Gangloff, L.; Flewitt, Andrew J.; Amaratunga, Gehan A. J.; Milne, William I.

    2008-08-01

    Zinc oxide nanowire networks are attractive as alternatives to organic and amorphous semiconductors due to their wide bandgap, flexibility and transparency. We demonstrate the fabrication of thin film transistors (TFT)s which utilize ZnO nanowires as the semiconducting channel. These thin film transistors can be transparent and flexible and processed at low temperatures on to a variety of substrates. The nanowire networks are created using a simple contact transfer method that is easily scalable. Apparent nanowire network mobility values can be as high as 3.8 cm2/Vs (effective thin film mobility: 0.03 cm2/Vs) in devices with 20μm channel lengths and ON/OFF ratios of up to 104.

  11. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation.

    PubMed

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal-oxide-semiconductor-compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm 2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance.

  12. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  13. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  14. Nanowire structures and electrical devices

    DOEpatents

    Bezryadin, Alexey; Remeika, Mikas

    2010-07-06

    The present invention provides structures and devices comprising conductive segments and conductance constricting segments of a nanowire, such as metallic, superconducting or semiconducting nanowire. The present invention provides structures and devices comprising conductive nanowire segments and conductance constricting nanowire segments having accurately selected phases including crystalline and amorphous states, compositions, morphologies and physical dimensions, including selected cross sectional dimensions, shapes and lengths along the length of a nanowire. Further, the present invention provides methods of processing nanowires capable of patterning a nanowire to form a plurality of conductance constricting segments having selected positions along the length of a nanowire, including conductance constricting segments having reduced cross sectional dimensions and conductance constricting segments comprising one or more insulating materials such as metal oxides.

  15. Piezoresistive effect in metal-semiconductor-metal structures on p-type GaN

    NASA Astrophysics Data System (ADS)

    Gaska, R.; Shur, M. S.; Bykhovski, A. D.; Yang, J. W.; Khan, M. A.; Kaminski, V. V.; Soloviov, S. M.

    2000-06-01

    We report on a strong piezoresistive effect in metal-semiconductor-metal structures fabricated on p-type GaN. The maximum measured gauge factor was 260, which is nearly two times larger than for piezoresistive silicon transducers. We attribute this large sensitivity to applied strain to the combination of two mechanisms: (i) a high piezoresistance of bulk p-GaN and (ii) a strong piezoresistive effect in a Schottky contact on p-GaN. The obtained results demonstrate that GaN-based structures can be suitable for stress/pressure sensor applications.

  16. Transformation of bulk alloys to oxide nanowires.

    PubMed

    Lei, Danni; Benson, Jim; Magasinski, Alexandre; Berdichevsky, Gene; Yushin, Gleb

    2017-01-20

    One dimensional (1D) nanostructures offer prospects for enhancing the electrical, thermal, and mechanical properties of a broad range of functional materials and composites, but their synthesis methods are typically elaborate and expensive. We demonstrate a direct transformation of bulk materials into nanowires under ambient conditions without the use of catalysts or any external stimuli. The nanowires form via minimization of strain energy at the boundary of a chemical reaction front. We show the transformation of multimicrometer-sized particles of aluminum or magnesium alloys into alkoxide nanowires of tunable dimensions, which are converted into oxide nanowires upon heating in air. Fabricated separators based on aluminum oxide nanowires enhanced the safety and rate capabilities of lithium-ion batteries. The reported approach allows ultralow-cost scalable synthesis of 1D materials and membranes. Copyright © 2017, American Association for the Advancement of Science.

  17. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  18. X-ray Characterization of Oxide-based Magnetic Semiconductors

    NASA Astrophysics Data System (ADS)

    Idzerda, Yves

    2008-05-01

    Although the evidence for magnetic semiconductors (not simply semiconductors which are ferromagnetic) is compelling, there is much uncertainty in the mechanism for the polarization of the carriers, suggesting that it must be quite novel. Recent experimental evidence suggests that this mechanism is similar to the polaron percolation theory proposed by Kaminski and Das Sarma,ootnotetextKaminski and S. Das Sarma, Physical Review Letters 88, 247202 (2002). which was recently applied specifically to doped oxides by Coey et al.ootnotetextJ. M. D. Coey, M. Venkatesan, and C. B. Fitzgerald, Nature Materials 4, 173 (2005). where the ferromagnetism is driven by the percolation of polarons generated by defects or dopants. We have used X-ray absorption spectroscopy at the L-edges and K-edges for low concentrations transition metal (TM) doped magnetic oxides (including TiO2, La1-xSrxO3, HfO2, and In2O3). We have found that in most cases, the transition metal assumes a valence consistent with being at a substitutional, and not interstitial site. We have also measured the X-ray Magnetic Circular Dichroism spectra. Although these materials show strong bulk magnetization, we are unable to detect a robust dichroism feature associated with magnetic elements in the host semiconductor. In the cases where a dichroism signal was observed, it was very weak and could be ascribed to a distinct ferromagnetic phase (TM metal cluster, TM oxide particulate, etc.) separate from the host material. This fascinating absence of a dichroic signal and its significant substantiation of important features of the polaron percolation model may help to finally resolve the issue of ferromagnetism in magnetically doped oxides.

  19. Numerical study of electrical transport in co-percolative metal nanowire-graphene thin-films

    NASA Astrophysics Data System (ADS)

    Gupta, Man Prakash; Kumar, Satish

    2016-11-01

    Nanowires-dispersed polycrystalline graphene has been recently explored as a transparent conducting material for applications such as solar cells, displays, and touch-screens. Metal nanowires and polycrystalline graphene play synergetic roles during the charge transport in the material by compensating for each other's limitations. In the present work, we develop and employ an extensive computational framework to study the essential characteristics of the charge transport not only on an aggregate basis but also on individual constituents' levels in these types of composite thin-films. The method allows the detailed visualization of the percolative current pathways in the material and provides the direct evidence of current crowding in the 1-D nanowires and 2-D polygraphene sheet. The framework is used to study the effects of several important governing parameters such as length, density and orientation of the nanowires, grain density in polygraphene, grain boundary resistance, and the contact resistance between nanowires and graphene. We also present and validate an effective medium theory based generalized analytical model for the composite. The analytical model is in agreement with the simulations, and it successfully predicts the overall conductance as a function of several parameters including the nanowire network density and orientation and graphene grain boundaries. Our findings suggest that the longer nanowires (compared to grain size) with low angle orientation (<40°) with respect to the main carrier transport direction provide significant advantages in enhancing the conductance of the polygraphene sheet. We also find that above a certain value of grain boundary resistance (>60 × intra-grain resistance), the overall conductance becomes nearly independent of grain boundary resistance due to nanowires. The developed model can be applied to study other emerging transparent conducting materials such as nanowires, nanotubes, polygraphene, graphene oxide, and

  20. Effects of local field and inherent strain in reflectance anisotropy spectra of AIIIBV semiconductors with naturally oxidized surfaces

    NASA Astrophysics Data System (ADS)

    Berkovits, V. L.; Kosobukin, V. A.; Gordeeva, A. B.

    2015-12-01

    Reflectance anisotropy (RA) spectra of naturally oxidized (001) surfaces of GaAs and InAs crystals are measured for photon energies from 1.5 up to 5.5 eV. The differential high-accuracy RA spectra reveal features substantially different from those caused by either a reconstruction of clean surface or a built-in near-surface electric field. Models of atomic structure with anisotropic transition layers of excess arsenic atoms specific for GaAs(001)/oxide and InAs(001)/oxide interfaces are proposed. In conformity with these models, a general theory of reflectance anisotropy is developed for semiconductor/oxide interfaces within the Green's function technique. The theory takes into account the combined effect of local field due to interface dipoles and of intrinsic near-surface strain of the crystal. Measured RA spectra are analyzed in the model of valence-bond dipoles occupying a rectangular lattice in a multilayer medium. Comparing the measured and calculated spectra, we conclude that RA spectra of oxidized GaAs(001) and InAs(001) surfaces are simultaneously influenced by interface and near-surface anisotropies. The former is responsible for the broad-band spectral features which are associated with polarizability of the valence bonds attached to As atoms at the crystal/oxide interface. The near-surface anisotropy is due to inherent uniaxial straining the near-surface region of crystal. The effect of strain on RA spectra is experimentally and theoretically substantiated for GaAs crystal wafers undergone a uniaxial applied stress. Basically, this work results in the following. It establishes the physical nature of different levels of RA spectra observed in a majority of papers, but never analyzed. It demonstrates how the studied features of RA spectra could be applied for optical characterization of strained interfaces and atomic layers.

  1. Carrier statistics and quantum capacitance effects on mobility extraction in two-dimensional crystal semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ma, Nan; Jena, Debdeep

    2015-03-01

    In this work, the consequence of the high band-edge density of states on the carrier statistics and quantum capacitance in transition metal dichalcogenide two-dimensional semiconductor devices is explored. The study questions the validity of commonly used expressions for extracting carrier densities and field-effect mobilities from the transfer characteristics of transistors with such channel materials. By comparison to experimental data, a new method for the accurate extraction of carrier densities and mobilities is outlined. The work thus highlights a fundamental difference between these materials and traditional semiconductors that must be considered in future experimental measurements.

  2. Synthesis Methods, Microscopy Characterization and Device Integration of Nanoscale Metal Oxide Semiconductors for Gas Sensing

    PubMed Central

    Vander Wal, Randy L.; Berger, Gordon M.; Kulis, Michael J.; Hunter, Gary W.; Xu, Jennifer C.; Evans, Laura

    2009-01-01

    A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. Both nanostructures possess a one-dimensional morphology. Different synthesis methods are used to produce these materials: thermal evaporation-condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed. Practical issues associated with harvesting, purification, and integration of these materials into sensing devices are detailed. For comparison to the nascent form, these sensing materials are surface coated with Pd and Pt nanoparticles. Gas sensing tests, with respect to H2, are conducted at ambient and elevated temperatures. Comparative normalized responses and time constants for the catalyst and noncatalyst systems provide a basis for identification of the superior metal-oxide nanostructure and catalyst combination. With temperature-dependent data, Arrhenius analyses are made to determine activation energies for the catalyst-assisted systems. PMID:22408484

  3. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    PubMed

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  4. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  5. Lithium effects on the mechanical and electronic properties of germanium nanowires

    NASA Astrophysics Data System (ADS)

    González-Macías, A.; Salazar, F.; Miranda, A.; Trejo-Baños, A.; Pérez, L. A.; Carvajal, E.; Cruz-Irisson, M.

    2018-04-01

    Semiconductor nanowire arrays promise rapid development of a new generation of lithium (Li) batteries because they can store more Li atoms than conventional crystals due to their large surface areas. During the charge-discharge process, the electrodes experience internal stresses that fatigue the material and limit the useful life of the battery. The theoretical study of electronic and mechanical properties of lithiated nanowire arrays allows the designing of electrode materials that could improve battery performance. In this work, we present a density functional theory study of the electronic band structure, formation energy, binding energy, and Young’s modulus (Y) of hydrogen passivated germanium nanowires (H-GeNWs) grown along the [111] and [001] crystallographic directions with surface and interstitial Li atoms. The results show that the germanium nanowires (GeNWs) with surface Li atoms maintain their semiconducting behavior but their energy gap size decreases when the Li concentration grows. In contrast, the GeNWs can have semiconductor or metallic behavior depending on the concentration of the interstitial Li atoms. On the other hand, Y is an indicator of the structural changes that GeNWs suffer due to the concentration of Li atoms. For surface Li atoms, Y stays almost constant, whereas for interstitial Li atoms, the Y values indicate important structural changes in the GeNWs.

  6. Palladium Coated Copper Nanowires as a Hydrogen Oxidation Electrocatalyst in Base

    DOE PAGES

    Alia, Shaun M.; Yan, Yushan

    2015-05-09

    The palladium (Pd) nanotubes we synthesized by the spontaneous galvanic displacement of copper (Cu) nanowires, are forming extended surface nanostructures highly active for the hydrogen oxidation reaction (HOR) in base. The synthesized catalysts produce specific activities in rotating disk electrode half-cells 20 times greater than Pd nanoparticles and about 80% higher than polycrystalline Pd. Although the surface area of the Pd nanotubes was low compared to conventional catalysts, partial galvanic displacement thrifted the noble metal layer and increased the Pd surface area. Moreover, the use of Pd coated Cu nanowires resulted in a HOR mass exchange current density 7 timesmore » greater than the Pd nanoparticles. The activity of the Pd coated Cu nanowires further nears Pt/C, producing 95% of the mass activity.« less

  7. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    PubMed

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  8. Fabrication of Smooth Patterned Structures of Refractory Metals, Semiconductors, and Oxides via Template Stripping

    PubMed Central

    2013-01-01

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics. PMID:24001174

  9. Metal-insulator-semiconductor capacitors with bismuth oxide as insulator

    NASA Astrophysics Data System (ADS)

    Raju, T. A.; Talwai, A. S.

    1981-07-01

    Metal-insulator-semiconductor capacitors using aluminum Bi2O3 and silicon have been studied for varactor applications. Reactively sputtered Bi2O3 films which under suitable proportions of oxygen and argon and had high resistivity suitable for device applications showed a dielectric constant of 25.

  10. Structural Flexibility and Alloying in Ultrathin Transition-Metal Chalcogenide Nanowires

    DOE PAGES

    Lin, Junhao; Zhang, Yuyang; Zhou, Wu; ...

    2016-01-18

    Metallic transition-metal chalcogenide (TMC) nanowires are an important building block for 2D electronics that may be fabricated within semiconducting transition-metal dichalcogenide (TMDC) monolayers. Tuning the geometric structure and electronic properties of such nanowires is a promising way to pattern diverse functional channels for wiring multiple units inside a 2D electronic circuit. Nevertheless, few experimental investigations have been reported exploring the structural and compositional tunability of these nanowires, due to difficulties in manipulating the structure and chemical composition of an individual nanowire. Here, using a combination of scanning transmission electron microscopy (STEM) and density functional theory (DFT), we report that TMCmore » nanowires have substantial intrinsic structural flexibility and their chemical composition can be manipulated.« less

  11. Spin-dependent transport and current modulation in a current-in-plane spin-valve field-effect transistor

    NASA Astrophysics Data System (ADS)

    Kanaki, Toshiki; Koyama, Tomohiro; Chiba, Daichi; Ohya, Shinobu; Tanaka, Masaaki

    2016-10-01

    We propose a current-in-plane spin-valve field-effect transistor (CIP-SV-FET), which is composed of a ferromagnet/nonferromagnet/ferromagnet trilayer structure and a gate electrode. This is a promising device alternative to spin metal-oxide-semiconductor field-effect transistors. Here, we fabricate a ferromagnetic-semiconductor GaMnAs-based CIP-SV-FET and demonstrate its basic operation of the resistance modulation both by the magnetization configuration and by the gate electric field. Furthermore, we present the electric-field-assisted magnetization reversal in this device.

  12. Oxidation of InP nanowires: a first principles molecular dynamics study.

    PubMed

    Berwanger, Mailing; Schoenhalz, Aline L; Dos Santos, Cláudia L; Piquini, Paulo

    2016-11-16

    InP nanowires are candidates for optoelectronic applications, and as protective capping layers of III-V core-shell nanowires. Their surfaces are oxidized under ambient conditions which affects the nanowire physical properties. The majority of theoretical studies of InP nanowires, however, do not take into account the oxide layer at their surfaces. In this work we use first principles molecular dynamics electronic structure calculations to study the first steps in the oxidation process of a non-saturated InP nanowire surface as well as the properties of an already oxidized surface of an InP nanowire. Our calculations show that the O 2 molecules dissociate through several mechanisms, resulting in incorporation of O atoms into the surface layers. The results confirm the experimental observation that the oxidized layers become amorphous but the non-oxidized core layers remain crystalline. Oxygen related bonds at the oxidized layers introduce defective levels at the band gap region, with greater contributions from defects involving In-O and P-O bonds.

  13. Effect of diameter of metal nanowires on pool boiling heat transfer with FC-72

    NASA Astrophysics Data System (ADS)

    Kumar G., Udaya; S., Suresh; M. R., Thansekhar; Babu P., Dinesh

    2017-11-01

    Effect of varying diameter of metal nanowires on pool boiling heat transfer performance is presented in this study. Copper nanowires (CuNWs) of four different diameters (∼35 nm, ∼70 nm, ∼130 nm and ∼200 nm) were grown directly on copper specimen using template-based electrodeposition technique. Both critical heat flux (CHF) and boiling heat transfer coefficient (h) were found to be improved in surfaces with nanowires as compared to the bare copper surface. Moreover, both the parameters were found to increase with increasing diameter of the nanowires. The percentage increases observed in CHF for the samples with nanowires were 38.37%, 40.16%, 48.48% and 45.57% whereas the percentage increase in the heat transfer coefficient were 86.36%, 95.45%, 184.1% and 131.82% respectively as compared to the bare copper surface. Important reasons believed for this enhancement were improvement in micron scale cavity density and cavity size which arises as a result of the coagulation and grouping of nanowires during the drying process. In addition to this, superhydrophilic nature, capillary effect, and enhanced bubble dynamics parameters (bubble frequency, bubble departure diameter, and nucleation site density) were found to be the concurring mechanisms responsible for this enhancement in heat transfer performance. Qualitative bubble dynamics analysis was done for the surfaces involved and the visual observations are provided to support the results presented and discussed.

  14. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  15. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires.

    PubMed

    Lord, Alex M; Ramasse, Quentin M; Kepaptsoglou, Despoina M; Evans, Jonathan E; Davies, Philip R; Ward, Michael B; Wilks, Steve P

    2017-02-08

    Selecting the electrical properties of nanomaterials is essential if their potential as manufacturable devices is to be reached. Here, we show that the addition or removal of native semiconductor material at the edge of a nanocontact can be used to determine the electrical transport properties of metal-nanowire interfaces. While the transport properties of as-grown Au nanocatalyst contacts to semiconductor nanowires are well-studied, there are few techniques that have been explored to modify the electrical behavior. In this work, we use an iterative analytical process that directly correlates multiprobe transport measurements with subsequent aberration-corrected scanning transmission electron microscopy to study the effects of chemical processes that create structural changes at the contact interface edge. A strong metal-support interaction that encapsulates the Au nanocontacts over time, adding ZnO material to the edge region, gives rise to ohmic transport behavior due to the enhanced quantum-mechanical tunneling path. Removal of the extraneous material at the Au-nanowire interface eliminates the edge-tunneling path, producing a range of transport behavior that is dependent on the final interface quality. These results demonstrate chemically driven processes that can be factored into nanowire-device design to select the final properties.

  16. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  17. Graphene-graphite oxide field-effect transistors.

    PubMed

    Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc

    2012-03-14

    Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society

  18. Thermally activated charge transport in microbial protein nanowires

    PubMed Central

    Lampa-Pastirk, Sanela; Veazey, Joshua P.; Walsh, Kathleen A.; Feliciano, Gustavo T.; Steidl, Rebecca J.; Tessmer, Stuart H.; Reguera, Gemma

    2016-01-01

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors. PMID:27009596

  19. Thermally activated charge transport in microbial protein nanowires

    NASA Astrophysics Data System (ADS)

    Lampa-Pastirk, Sanela; Veazey, Joshua P.; Walsh, Kathleen A.; Feliciano, Gustavo T.; Steidl, Rebecca J.; Tessmer, Stuart H.; Reguera, Gemma

    2016-03-01

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors.

  20. Thermally activated charge transport in microbial protein nanowires.

    PubMed

    Lampa-Pastirk, Sanela; Veazey, Joshua P; Walsh, Kathleen A; Feliciano, Gustavo T; Steidl, Rebecca J; Tessmer, Stuart H; Reguera, Gemma

    2016-03-24

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors.

  1. Single-photon imaging in complementary metal oxide semiconductor processes

    PubMed Central

    Charbon, E.

    2014-01-01

    This paper describes the basics of single-photon counting in complementary metal oxide semiconductors, through single-photon avalanche diodes (SPADs), and the making of miniaturized pixels with photon-counting capability based on SPADs. Some applications, which may take advantage of SPAD image sensors, are outlined, such as fluorescence-based microscopy, three-dimensional time-of-flight imaging and biomedical imaging, to name just a few. The paper focuses on architectures that are best suited to those applications and the trade-offs they generate. In this context, architectures are described that efficiently collect the output of single pixels when designed in large arrays. Off-chip readout circuit requirements are described for a variety of applications in physics, medicine and the life sciences. Owing to the dynamic nature of SPADs, designs featuring a large number of SPADs require careful analysis of the target application for an optimal use of silicon real estate and of limited readout bandwidth. The paper also describes the main trade-offs involved in architecting such chips and the solutions adopted with focus on scalability and miniaturization. PMID:24567470

  2. Raman Antenna Effect in Semiconducting Nanowires.

    NASA Astrophysics Data System (ADS)

    Chen, Gugang; Xiong, Qihua; Eklund, Peter

    2007-03-01

    A novel Raman antenna effect has been observed in Raman scattering experiments recently carried out on individual GaP nanowires [1]. The Raman antenna effect is perfectly general and should appear in all semiconducting nanowires. It is characterized by an anomalous increase in the Raman cross section for scattering from LO or TO phonons when the electric field of the incident laser beam is parallel to the nanowire axis. We demonstrate that the explanation for the effect lies in the polarization dependence of the Mie scattering from the nanowire and the concomitant polarization-dependent electric field set up inside the wire. Our analysis involves calculations of the internal electric field using the discrete dipole approximation (DDA). We find that the Raman antenna effect happens only for nanowire diameters d<λ/4, where λ is the excitation laser wavelength. Our calculations are found in good agreement with recent experimental results for scattering from individual GaP nanowires. [1] Q. Xiong, G. Chen, G. D. Mahan, P. C. Eklund, in preparation, 2006.

  3. Ferromagnetic nanowires: Field-induced self-assembly, magnetotransport and biological applications

    NASA Astrophysics Data System (ADS)

    Tanase, Monica

    In this dissertation, a series of experiments on magnetic nanowires are described. Magnetic nanowires suspended in fluid solutions can be assembled and ordered by taking advantage of their large shape anisotropy. Magnetic manipulation and assembly techniques were developed, using electrodeposited Ni nanowires. Preorienting nanowires in a small magnetic field induced their self-assembly in continuous chains. A new technique of magnetic trapping allowed capture of single nanowires from fluid suspension on lithographically fabricated micromagnets. As described herein, the presence of an external magnetic field plays a fundamental role in all fluid assembly methods used. The dynamics of both chaining and trapping processes is described quantitatively in terms of the interplay of magnetic forces and fluid drag at low Reynolds number. Lithographic methods for addressing single nanowires for transport characterization were developed. Magnetotransport measurements were performed on individual straight and bent PtNiPt nanowires. The Pt end segments provided an oxide-free interface to the magnetic central segment. In straight nanowires, domain reversal was observed to occur via curling mode initiated in a small nucleation volume. Magnetotransport in bent nanowires allowed the investigation of a domain wall trapped at the bend. Magnetic trapping of nanowires on pre-fabricated electrodes was adapted as a successful alternative contacting technique to lithography. The self-assembly and manipulation techniques were adapted for manipulation of cells as nanowires were found to bind to cells through nonspecific adhesion mechanisms. Ni nanowires were found to outperform superparamagnetic beads in magnetic cell separations. Additionally, the large remnant magnetization of the nanowires allowed for low-field manipulation techniques. Self-assembled chains of cells were formed and single cells were localized on substrates patterned with micromagnets. A fluid flow method was developed to

  4. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    PubMed

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Chang-Yong; Stein, Aaron

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  6. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE PAGES

    Nam, Chang-Yong; Stein, Aaron

    2017-11-15

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  7. The Morphologies of the Semiconductor Oxides and Their Gas-Sensing Properties

    PubMed Central

    Lv, Xin; Li, Shuang; Wang, Qingji

    2017-01-01

    Semiconductor oxide chemoresistive gas sensors are widely used for detecting deleterious gases due to low cost, simple preparation, rapid response and high sensitivity. The performance of gas sensor is greatly affected by the morphology of the semiconductor oxide. There are many semiconductor oxide morphologies, including zero-dimensional, one-dimensional, two-dimensional and three-dimensional ones. The semiconductor oxides with different morphologies significantly enhance the gas-sensing performance. Among the various morphologies, hollow nanostructures and core-shell nanostructures are always the focus of research in the field of gas sensors due to their distinctive structural characteristics and superior performance. Herein the morphologies of semiconductor oxides and their gas-sensing properties are reviewed. This review also proposes a potential strategy for the enhancement of gas-sensing performance in the future. PMID:29189714

  8. Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor.

    PubMed

    Nomura, Kenji; Ohta, Hiromichi; Ueda, Kazushige; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2003-05-23

    We report the fabrication of transparent field-effect transistors using a single-crystalline thin-film transparent oxide semiconductor, InGaO3(ZnO)5, as an electron channel and amorphous hafnium oxide as a gate insulator. The device exhibits an on-to-off current ratio of approximately 106 and a field-effect mobility of approximately 80 square centimeters per volt per second at room temperature, with operation insensitive to visible light irradiation. The result provides a step toward the realization of transparent electronics for next-generation optoelectronics.

  9. Epitaxy of advanced nanowire quantum devices

    NASA Astrophysics Data System (ADS)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  10. Calibration and error analysis of metal-oxide-semiconductor field-effect transistor dosimeters for computed tomography radiation dosimetry.

    PubMed

    Trattner, Sigal; Prinsen, Peter; Wiegert, Jens; Gerland, Elazar-Lars; Shefer, Efrat; Morton, Tom; Thompson, Carla M; Yagil, Yoad; Cheng, Bin; Jambawalikar, Sachin; Al-Senan, Rani; Amurao, Maxwell; Halliburton, Sandra S; Einstein, Andrew J

    2017-12-01

    Metal-oxide-semiconductor field-effect transistors (MOSFETs) serve as a helpful tool for organ radiation dosimetry and their use has grown in computed tomography (CT). While different approaches have been used for MOSFET calibration, those using the commonly available 100 mm pencil ionization chamber have not incorporated measurements performed throughout its length, and moreover, no previous work has rigorously evaluated the multiple sources of error involved in MOSFET calibration. In this paper, we propose a new MOSFET calibration approach to translate MOSFET voltage measurements into absorbed dose from CT, based on serial measurements performed throughout the length of a 100-mm ionization chamber, and perform an analysis of the errors of MOSFET voltage measurements and four sources of error in calibration. MOSFET calibration was performed at two sites, to determine single calibration factors for tube potentials of 80, 100, and 120 kVp, using a 100-mm-long pencil ion chamber and a cylindrical computed tomography dose index (CTDI) phantom of 32 cm diameter. The dose profile along the 100-mm ion chamber axis was sampled in 5 mm intervals by nine MOSFETs in the nine holes of the CTDI phantom. Variance of the absorbed dose was modeled as a sum of the MOSFET voltage measurement variance and the calibration factor variance, the latter being comprised of three main subcomponents: ionization chamber reading variance, MOSFET-to-MOSFET variation and a contribution related to the fact that the average calibration factor of a few MOSFETs was used as an estimate for the average value of all MOSFETs. MOSFET voltage measurement error was estimated based on sets of repeated measurements. The calibration factor overall voltage measurement error was calculated from the above analysis. Calibration factors determined were close to those reported in the literature and by the manufacturer (~3 mV/mGy), ranging from 2.87 to 3.13 mV/mGy. The error σ V of a MOSFET voltage

  11. Visible light water splitting using dye-sensitized oxide semiconductors.

    PubMed

    Youngblood, W Justin; Lee, Seung-Hyun Anna; Maeda, Kazuhiko; Mallouk, Thomas E

    2009-12-21

    Researchers are intensively investigating photochemical water splitting as a means of converting solar to chemical energy in the form of fuels. Hydrogen is a key solar fuel because it can be used directly in combustion engines or fuel cells, or combined catalytically with CO(2) to make carbon containing fuels. Different approaches to solar water splitting include semiconductor particles as photocatalysts and photoelectrodes, molecular donor-acceptor systems linked to catalysts for hydrogen and oxygen evolution, and photovoltaic cells coupled directly or indirectly to electrocatalysts. Despite several decades of research, solar hydrogen generation is efficient only in systems that use expensive photovoltaic cells to power water electrolysis. Direct photocatalytic water splitting is a challenging problem because the reaction is thermodynamically uphill. Light absorption results in the formation of energetic charge-separated states in both molecular donor-acceptor systems and semiconductor particles. Unfortunately, energetically favorable charge recombination reactions tend to be much faster than the slow multielectron processes of water oxidation and reduction. Consequently, visible light water splitting has only recently been achieved in semiconductor-based photocatalytic systems and remains an inefficient process. This Account describes our approach to two problems in solar water splitting: the organization of molecules into assemblies that promote long-lived charge separation, and catalysis of the electrolysis reactions, in particular the four-electron oxidation of water. The building blocks of our artificial photosynthetic systems are wide band gap semiconductor particles, photosensitizer and electron relay molecules, and nanoparticle catalysts. We intercalate layered metal oxide semiconductors with metal nanoparticles. These intercalation compounds, when sensitized with [Ru(bpy)(3)](2+) derivatives, catalyze the photoproduction of hydrogen from sacrificial

  12. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  13. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  14. A silicon carbide nanowire field effect transistor for DNA detection

    NASA Astrophysics Data System (ADS)

    Fradetal, L.; Bano, E.; Attolini, G.; Rossi, F.; Stambouli, V.

    2016-06-01

    This work reports on the label-free electrical detection of DNA molecules for the first time, using silicon carbide (SiC) as a novel material for the realization of nanowire field effect transistors (NWFETs). SiC is a promising semiconductor for this application due to its specific characteristics such as chemical inertness and biocompatibility. Non-intentionally n-doped SiC NWs are first grown using a bottom-up vapor-liquid-solid (VLS) mechanism, leading to the NWs exhibiting needle-shaped morphology, with a length of approximately 2 μm and a diameter ranging from 25 to 60 nm. Then, the SiC NWFETs are fabricated and functionalized with DNA molecule probes via covalent coupling using an amino-terminated organosilane. The drain current versus drain voltage (I d-V d) characteristics obtained after the DNA grafting and hybridization are reported from the comparative and simultaneous measurements carried out on the SiC NWFETs, used either as sensors or references. As a representative result, the current of the sensor is lowered by 22% after probe DNA grafting and by 7% after target DNA hybridization, while the current of the reference does not vary by more than ±0.6%. The current decrease confirms the field effect induced by the negative charges of the DNA molecules. Moreover, the selectivity, reproducibility, reversibility and stability of the studied devices are emphasized by de-hybridization, non-complementary hybridization and re-hybridization experiments. This first proof of concept opens the way for future developments using SiC-NW-based sensors.

  15. Au nanostructure-decorated TiO2 nanowires exhibiting photoactivity across entire UV-visible region for photoelectrochemical water splitting.

    PubMed

    Pu, Ying-Chih; Wang, Gongming; Chang, Kao-Der; Ling, Yichuan; Lin, Yin-Kai; Fitzmorris, Bob C; Liu, Chia-Ming; Lu, Xihong; Tong, Yexiang; Zhang, Jin Z; Hsu, Yung-Jung; Li, Yat

    2013-08-14

    Here we demonstrate that the photoactivity of Au-decorated TiO2 electrodes for photoelectrochemical water oxidation can be effectively enhanced in the entire UV-visible region from 300 to 800 nm by manipulating the shape of the decorated Au nanostructures. The samples were prepared by carefully depositing Au nanoparticles (NPs), Au nanorods (NRs), and a mixture of Au NPs and NRs on the surface of TiO2 nanowire arrays. As compared with bare TiO2, Au NP-decorated TiO2 nanowire electrodes exhibited significantly enhanced photoactivity in both the UV and visible regions. For Au NR-decorated TiO2 electrodes, the photoactivity enhancement was, however, observed in the visible region only, with the largest photocurrent generation achieved at 710 nm. Significantly, TiO2 nanowires deposited with a mixture of Au NPs and NRs showed enhanced photoactivity in the entire UV-visible region. Monochromatic incident photon-to-electron conversion efficiency measurements indicated that excitation of surface plasmon resonance of Au is responsible for the enhanced photoactivity of Au nanostructure-decorated TiO2 nanowires. Photovoltage experiment showed that the enhanced photoactivity of Au NP-decorated TiO2 in the UV region was attributable to the effective surface passivation of Au NPs. Furthermore, 3D finite-difference time domain simulation was performed to investigate the electrical field amplification at the interface between Au nanostructures and TiO2 upon SPR excitation. The results suggested that the enhanced photoactivity of Au NP-decorated TiO2 in the UV region was partially due to the increased optical absorption of TiO2 associated with SPR electrical field amplification. The current study could provide a new paradigm for designing plasmonic metal/semiconductor composite systems to effectively harvest the entire UV-visible light for solar fuel production.

  16. Zinc oxide nanowire gamma ray detector with high spatiotemporal resolution

    NASA Astrophysics Data System (ADS)

    Mayo, Daniel C.; Nolen, J. Ryan; Cook, Andrew; Mu, Richard R.; Haglund, Richard F.

    2016-03-01

    Conventional scintillation detectors are typically single crystals of heavy-metal oxides or halides doped with rare-earth ions that record the recombination of electron-hole pairs by photon emission in the visible to ultraviolet. However, the light yields are typically low enough to require photomultiplier detection with the attendant instrumental complications. Here we report initial studies of gamma ray detection by zinc oxide (ZnO) nanowires, grown by vapor-solid deposition. The nanowires grow along the c-axis in a wurtzite structure; they are typically 80 nm in diameter and have lengths of 1- 2 μm. The nanowires are single crystals of high quality, with a photoluminescence (PL) yield from band-edge exciton emission in the ultraviolet that is typically one hundred times larger than the PL yield from defect centers in the visible. Nanowire ensembles were irradiated by 662 keV gamma rays from a Cs-137 source for periods of up to ten hours; gamma rays in this energy range interact by Compton scattering, which in ZnO creates F+ centers that relax to form singly-charged positive oxygen vacancies. Following irradiation, we fit the PL spectra of the visible emission with a sum of Gaussians at the energies of the known defects. We find highly efficient PL from the irradiated area, with a figure of merit approaching 106 photons/s/MeV of deposited energy. Over a period of days, the singly charged O+ vacancies relax to the more stable doubly charged O++ vacancies. However, the overall defect PL returns to pre-irradiation values after about a week, as the vacancies diffuse to the surface of these very thin nanowires, indicating that a self-healing process restores the nanowires to their original state.

  17. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  18. Selective CO{sub 2} reduction conjugated with H{sub 2}O oxidation utilizing semiconductor/metal-complex hybrid photocatalysts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morikawa, T., E-mail: morikawa@mosk.tytlabs.co.jp; Sato, S., E-mail: morikawa@mosk.tytlabs.co.jp; Arai, T., E-mail: morikawa@mosk.tytlabs.co.jp

    2013-12-10

    We developed a new hybrid photocatalyst for CO{sub 2} reduction, which is composed of a semiconductor and a metal complex. In the hybrid photocatalyst, ΔG between the position of conduction band minimum (E{sub CBM}) of the semiconductor and the CO{sub 2} reduction potential of the complex is an essential factor for realizing fast electron transfer from the conduction band of semiconductor to metal complex leading to high photocatalytic activity. On the basis of this concept, the hybrid photocatalyst InP/Ru-complex, which functions in aqueous media, was developed. The photoreduction of CO{sub 2} to formate using water as an electron donor andmore » a proton source was successfully achieved as a Z-scheme system by functionally conjugating the InP/Ru-complex photocatalyst for CO{sub 2} reduction with a TiO{sub 2} photocatalyst for water oxidation. The conversion efficiency from solar energy to chemical energy was ca. 0.04%, which approaches that for photosynthesis in a plant. Because this system can be applied to many other inorganic semiconductors and metal-complex catalysts, the efficiency and reaction selectivity can be enhanced by optimization of the electron transfer process including the energy-band configurations, conjugation conformations, and catalyst structures. This electrical-bias-free reaction is a huge leap forward for future practical applications of artificial photosynthesis under solar irradiation to produce organic species.« less

  19. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  20. In situ synthesis and catalytic application of reduced graphene oxide supported cobalt nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Zhiqiang; Long, Qin; Deng, Yi; Liao, Li

    2018-05-01

    Controlled synthesis of magnetic nanocomposite with outstanding catalytic performances is a promising strategy in catalyst industry. We proposed a novel concept for fabrication of reduced graphene oxide-supported cobalt nanowires (RGO/Co-NWs) nanocomposite as high-efficient magnetic catalyst. Unlike the majority of experiments necessitating harsh synthesis conditions such as high-pressure, high-temperature and expensive template, here the RGO/Co-NWs were successfully prepared in aqueous solution under mild conditions with the assistance of external magnetic field. The synthetic process was facile and external magnetic force was adopted to induce the unidirectional self-assembly of cobalt crystals on graphene oxide to form RGO/Co-NWs. The possible formation mechanism laid on the fact that the dipole magnetic moments of the nanoparticles were aligned along the magnetic induction lines with the external magnetic field direction resulting in the formation of nanowires elongating in the direction of the magnetization axis. Simultaneously, a series of controlled reactions were conducted to illuminate the effect of graphene oxide, external magnetic field and PVP on the morphology and size of RGO/Co-NWs in the present approach. More importantly, the nanocomposite exhibited a high catalytic performance towards ammonia borane. Hence the novel nanocomposite holds a great potential for technological applications such as catalyst industry.