Sample records for nanowire si nw

  1. a-Si:H/SiNW shell/core for SiNW solar cell applications

    PubMed Central

    2013-01-01

    Vertically aligned silicon nanowires have been synthesized by the chemical etching of silicon wafers. The influence of a hydrogenated amorphous silicon (a-Si:H) layer (shell) on top of a silicon nanowire (SiNW) solar cell has been investigated. The optical properties of a-Si:H/SiNWs and SiNWs are examined in terms of optical reflection and absorption properties. In the presence of the a-Si:H shell, 5.2% reflection ratio in the spectral range (250 to 1,000 nm) is achieved with a superior absorption property with an average over 87% of the incident light. In addition, the characteristics of the solar cell have been significantly improved, which exhibits higher open-circuit voltage, short-circuit current, and efficiency by more than 15%, 12%, and 37%, respectively, compared with planar SiNW solar cells. Based on the current–voltage measurements and morphology results, we show that the a-Si:H shell can passivate the defects generated by wet etching processes. PMID:24195734

  2. Si NW network by Ag nanoparticle assisted etching and TiO2/Si NWs as photodetector

    NASA Astrophysics Data System (ADS)

    Bhowmik, Kishan; Mondal, Aniruddha

    2015-03-01

    Glancing angle deposited silver (Ag) nanoparticles (NPs) were employed to fabricate the silicon (Si) nanowire (NW) network on p-type Si substrate. The Si NWs were characterized by X-ray diffraction, which shows the (311) oriented single crystalline nature. The FEG-SEM images show that the nanowire diameters are in the order of 60-180 nm. The photoluminescence emission at 525 nm was recognized from the Si NWs. The Ag-TiO2 contacts exhibit Schottky behavior and higher photoconduction was observed for TiO2-Si NW detector than that of TiO2 Thin film under illumination up to 2.5 V applied potential. A threefold enhanced photodetection for the Silicon nanowire device was observed compared to the TiO2 thin film device, under applied voltages of 0.4-1.5 V. [Figure not available: see fulltext.

  3. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  4. Growth and characterization of gold catalyzed SiGe nanowires and alternative metal-catalyzed Si nanowires

    PubMed Central

    2011-01-01

    The growth of semiconductor (SC) nanowires (NW) by CVD using Au-catalyzed VLS process has been widely studied over the past few years. Among others SC, it is possible to grow pure Si or SiGe NW thanks to these techniques. Nevertheless, Au could deteriorate the electric properties of SC and the use of other metal catalysts will be mandatory if NW are to be designed for innovating electronic. First, this article's focus will be on SiGe NW's growth using Au catalyst. The authors managed to grow SiGe NW between 350 and 400°C. Ge concentration (x) in Si1-xGex NW has been successfully varied by modifying the gas flow ratio: R = GeH4/(SiH4 + GeH4). Characterization (by Raman spectroscopy and XRD) revealed concentrations varying from 0.2 to 0.46 on NW grown at 375°C, with R varying from 0.05 to 0.15. Second, the results of Si NW growths by CVD using alternatives catalysts such as platinum-, palladium- and nickel-silicides are presented. This study, carried out on a LPCVD furnace, aimed at defining Si NW growth conditions when using such catalysts. Since the growth temperatures investigated are lower than the eutectic temperatures of these Si-metal alloys, VSS growth is expected and observed. Different temperatures and HCl flow rates have been tested with the aim of minimizing 2D growth which induces an important tapering of the NW. Finally, mechanical characterization of single NW has been carried out using an AFM method developed at the LTM. It consists in measuring the deflection of an AFM tip while performing approach-retract curves at various positions along the length of a cantilevered NW. This approach allows the measurement of as-grown single NW's Young modulus and spring constant, and alleviates uncertainties inherent in single point measurement. PMID:21711709

  5. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  6. Composite films of highly ordered Si nanowires embedded in SiGe0.3 for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Kikuchi, Akiou; Yao, Akifumi; Mori, Isamu; Ono, Takahito; Samukawa, Seiji

    2017-10-01

    We fabricated a high-density array of silicon nanowires (SiNWs) with a diameter of 10 nm embedded in silicon germanium (SiGe0.3) to give a composite thin film for thermoelectric device applications. The SiNW array was first fabricated by bio-template mask and neutral beam etching techniques. The SiNW array was then embedded in SiGe0.3 by thermal chemical vapor deposition. The cross-plane thermal conductivity of the SiNW-SiGe0.3 composite film with a thickness of 100 nm was 3.5 ± 0.3 W/mK in the temperature range of 300-350 K. Moreover, the temperature dependences of the in-plane electrical conductivity and in-plane Seebeck coefficient of the SiNW-SiGe0.3 composite were evaluated. The fabricated SiNW-SiGe0.3 composite film displayed a maximum power factor of 1 × 103 W/m K2 (a Seebeck coefficient of 4.8 × 103 μV/K and an electrical conductivity of 4.4 × 103 S/m) at 873 K. The present high-density SiNW array structure represents a new route to realize practical thermoelectric devices using mature Si processes without any rare metals.

  7. Spectroscopic ellipsometry of columnar porous Si thin films and Si nanowires

    NASA Astrophysics Data System (ADS)

    Fodor, Bálint; Defforge, Thomas; Agócs, Emil; Fried, Miklós; Gautier, Gaël; Petrik, Péter

    2017-11-01

    Columnar mesoporous Si thin films and dense nanowire (SiNW) carpets were investigated by spectroscopic ellipsometry in the visible-near-infrared wavelength range. Porous Si layers were formed by electrochemical etching while structural anisotropy was controlled by the applied current. Layers of highly oriented SiNWs, with length up to 4.1 μm were synthesized by metal-assisted chemical etching. Ellipsometric spectra were fitted with different multi-layered, effective medium approximation-based (EMA) models. Isotropic, in-depth graded, anisotropic and hybrid EMA models were investigated with the help of the root mean square errors obtained from the fits. Ellipsometric-fitted layer thicknesses were also cross-checked by scanning electron microscopy showing an excellent agreement. Furthermore, in the case of mesoporous silicon, characterization also revealed that, at low current densities (<100 mA/cm2), in-depth inhomogeneity shows a more important feature in the ellipsometric spectra than anisotropy. On the other hand, at high current densities (>100 mA/cm2) this behavior turns around, and anisotropy becomes the dominant feature describing the spectra. Characterization of SiNW layers showed a very high geometrical anisotropy. However, the highest fitted geometrical anisotropy was obtained for the layer composed of ∼1 μm long SiNWs indicating that for thicker layers, collapse of the nanowires occurs.

  8. All-in-one assembly based on 3D-intertangled and cross-jointed architectures of Si/Cu 1D-nanowires for lithium ion batteries

    PubMed Central

    Hwang, Chihyun; Kim, Tae-Hee; Cho, Yoon-Gyo; Kim, Jieun; Song, Hyun-Kon

    2015-01-01

    All-in-one assemblies of separator, electrode and current collector (SECA) for lithium ion batteries are presented by using 1D nanowires of Si and Cu (nwSi and nwCu). Even without binders, integrity of SECA is secured via structural joints based on ductility of Cu as well as entanglement of nwSi and nwCu. By controlling the ratio of the nanowires, the number of contact points and voids accommodating volume expansion of Si active material are tunable. Zero volume expansion and high energy density are simultaneously achievable by the architecture. PMID:25720334

  9. GaAs/AlGaAs core multishell nanowire-based light-emitting diodes on Si.

    PubMed

    Tomioka, Katsuhiro; Motohisa, Junichi; Hara, Shinjiroh; Hiruma, Kenji; Fukui, Takashi

    2010-05-12

    We report on integration of GaAs nanowire-based light-emitting-diodes (NW-LEDs) on Si substrate by selective-area metalorganic vapor phase epitaxy. The vertically aligned GaAs/AlGaAs core-multishell nanowires with radial p-n junction and NW-LED array were directly fabricated on Si. The threshold current for electroluminescence (EL) was 0.5 mA (current density was approximately 0.4 A/cm(2)), and the EL intensity superlinearly increased with increasing current injections indicating superluminescence behavior. The technology described in this letter could help open new possibilities for monolithic- and on-chip integration of III-V NWs on Si.

  10. Fabrication of arrayed Si nanowire-based nano-floating gate memory devices on flexible plastics.

    PubMed

    Yoon, Changjoon; Jeon, Youngin; Yun, Junggwon; Kim, Sangsig

    2012-01-01

    Arrayed Si nanowire (NW)-based nano-floating gate memory (NFGM) devices with Pt nanoparticles (NPs) embedded in Al2O3 gate layers are successfully constructed on flexible plastics by top-down approaches. Ten arrayed Si NW-based NFGM devices are positioned on the first level. Cross-linked poly-4-vinylphenol (PVP) layers are spin-coated on them as isolation layers between the first and second level, and another ten devices are stacked on the cross-linked PVP isolation layers. The electrical characteristics of the representative Si NW-based NFGM devices on the first and second levels exhibit threshold voltage shifts, indicating the trapping and detrapping of electrons in their NPs nodes. They have an average threshold voltage shift of 2.5 V with good retention times of more than 5 x 10(4) s. Moreover, most of the devices successfully retain their electrical characteristics after about one thousand bending cycles. These well-arrayed and stacked Si NW-based NFGM devices demonstrate the potential of nanowire-based devices for large-scale integration.

  11. Ni-silicide growth kinetics in Si and Si/SiO2 core/shell nanowires.

    PubMed

    Ogata, K; Sutter, E; Zhu, X; Hofmann, S

    2011-09-07

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from ∼ 10 to 100 nm is presented. For temperatures between 300 and 440 °C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for (111) orientated SiNWs. In situ TEM silicidation experiments show that NiSi(2) is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.

  12. Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, S.; Sutter, E.; Ogata, K.

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from {approx} 10 to 100 nm is presented. For temperatures between 300 and 440 C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Nimore » flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In situ TEM silicidation experiments show that NiSi{sub 2} is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.« less

  13. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  14. Sr-doped nanowire modification of Ca-Si-based coatings for improved osteogenic activities and reduced inflammatory reactions

    NASA Astrophysics Data System (ADS)

    Li, Kai; Hu, Dandan; Xie, Youtao; Huang, Liping; Zheng, Xuebin

    2018-02-01

    Biomedical coatings for orthopedic implants should facilitate osseointegration and mitigate implant-induced inflammatory reactions. In our study, Ca-Si coatings with Sr-containing nanowire-like structures (NW-Sr-CS) were achieved via hydrothermal treatment. In order to identify the effect of nanowire-like topography and Sr dopant on the biological properties of Ca-Si-based coatings, the original Ca-Si coating, Ca-Si coatings modified with nanoplate (NP-CS) and similar nanowire-like structure (NW-CS) were fabricated as the control. Surface morphology, phase composition, surface area, zeta potential and ion release of these coatings were characterized. The in vitro osteogenic activities and immunomodulatory properties were evaluated with bone marrow stromal cells (BMSCs) and RAW 264.7 cells, a mouse macrophage cell line. Compared with the CS and NP-CS coatings, the NW-CS coating possessed a larger surface area and pore volume, beneficial protein adsorption, up-regulated the expression levels of integrin β1, Vinculin and focal adhesion kinase and promoted cell spreading. Furthermore, the NW-CS coating significantly enhanced the osteogenic differentiation and mineralization as indicated by the up-regulation of ALP activity, mineralized nodule formation and osteoblastogenesis-related gene expression. With the introduction of Sr, the NW-Sr-CS coatings exerted a greater effect on the BMSC proliferation rate, calcium sensitive receptor gene expression as well as PKC and ERK1/2 phosphorylation. In addition, the Sr-doped coatings significantly up-regulated the ratio of OPG/RANKL in the BMSCs. The NW-Sr-CS coatings could modulate the polarization of macrophages towards the wound-healing M2 phenotype, reduce the mRNA expression levels of pro-inflammatory cytokines (TNF-α, IL-1β, IL-6) and enhance anti-inflammatory cytokines (IL-1ra, IL-10). The Sr-doped nanowire modification may be a valuable approach to enhance osteogenic activities and reduce inflammatory reactions.

  15. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  16. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  17. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation.

    PubMed

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal-oxide-semiconductor-compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm 2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance.

  18. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation

    PubMed Central

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    Abstract For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal–oxide–semiconductor–compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance. PMID:29868148

  19. Bright photoluminescence from ordered arrays of SiGe nanowires grown on Si(111)

    PubMed Central

    Rowell, N L; Benkouider, A; Ronda, A; Favre, L; Berbezier, I

    2014-01-01

    Summary We report on the optical properties of SiGe nanowires (NWs) grown by molecular beam epitaxy (MBE) in ordered arrays on SiO2/Si(111) substrates. The production method employs Au catalysts with self-limited sizes deposited in SiO2-free sites opened-up in the substrate by focused ion beam patterning for the preferential nucleation and growth of these well-organized NWs. The NWs thus produced have a diameter of 200 nm, a length of 200 nm, and a Ge concentration x = 0.15. Their photoluminescence (PL) spectra were measured at low temperatures (from 6 to 25 K) with excitation at 405 and 458 nm. There are four major features in the energy range of interest (980–1120 meV) at energies of 1040.7, 1082.8, 1092.5, and 1098.5 meV, which are assigned to the NW-transverse optic (TO) Si–Si mode, NW-transverse acoustic (TA), Si–substrate–TO and NW-no-phonon (NP) lines, respectively. From these results the NW TA and TO phonon energies are found to be 15.7 and 57.8 meV, respectively, which agree very well with the values expected for bulk Si1− xGex with x = 0.15, while the measured NW NP energy of 1099 meV would indicate a bulk-like Ge concentration of x = 0.14. Both of these concentrations values, as determined from PL, are in agreement with the target value. The NWs are too large in diameter for a quantum confinement induced energy shift in the band gap. Nevertheless, NW PL is readily observed, indicating that efficient carrier recombination is occurring within the NWs. PMID:25671145

  20. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    de Santiago, F.; Trejo, A.; Miranda, A.; Salazar, F.; Carvajal, E.; Pérez, L. A.; Cruz-Irisson, M.

    2018-05-01

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O2. Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A[B-doped] > E A[Al-doped] > E A[Ga-doped] > E A[undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  1. Kinetic manipulation of silicide phase formation in Si nanowire templates.

    PubMed

    Chen, Yu; Lin, Yung-Chen; Zhong, Xing; Cheng, Hung-Chieh; Duan, Xiangfeng; Huang, Yu

    2013-08-14

    The phase formation sequence of silicides in two-dimensional (2-D) structures has been well-investigated due to their significance in microelectronics. Applying high-quality silicides as contacts in nanoscale silicon (Si) devices has caught considerable attention recently for their potential in improving and introducing new functions in nanodevices. However, nucleation and diffusion mechanisms are found to be very different in one-dimensional (1-D) nanostructures, and thus the phase manipulation of silicides is yet to be achieved there. In this work, we report kinetic phase modulations to selectively enhance or hinder the growth rates of targeted nickel (Ni) silicides in a Si nanowire (NW) and demonstrate that Ni31Si12, δ-Ni2Si, θ-Ni2Si, NiSi, and NiSi2 can emerge as the first contacting phase at the silicide/Si interface through these modulations. First, the growth rates of silicides are selectively tuned through template structure modifications. It is demonstrated that the growth rate of diffusion limited phases can be enhanced in a porous Si NW due to a short diffusion path, which suppresses the formation of interface limited NiSi2. In addition, we show that a confining thick shell can be applied around the Si NW to hinder the growth of the silicides with large volume expansion during silicidation, including Ni31Si12, δ-Ni2Si, and θ-Ni2Si. Second, a platinum (Pt) interlayer between the Ni source and the Si NW is shown to effectively suppress the formation of the phases with low Pt solubility, including the dominating NiSi2. Lastly, we show that with the combined applications of the above-mentioned approaches, the lowest resistive NiSi phase can form as the first phase in a solid NW with a Pt interlayer to suppress NiSi2 and a thick shell to hinder Ni31Si12, δ-Ni2Si, and θ-Ni2Si simultaneously. The resistivity and maximum current density of NiSi agree reasonably to reported values.

  2. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires.

    PubMed

    de Santiago, F; Trejo, A; Miranda, A; Salazar, F; Carvajal, E; Pérez, L A; Cruz-Irisson, M

    2018-05-18

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O 2 . Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A [B-doped] > E A [Al-doped] > E A [Ga-doped] > E A [undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O 2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  3. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  4. Fabrication and RF characterization of a single nickel silicide nanowire for an interconnect.

    PubMed

    Lee, Dongjin; Kang, Myunggil; Hong, Suheon; Hwang, Donghoon; Heo, Keun; Joo, Won-Jae; Kim, Sangsig; Whang, Dongmok; Hwang, Sung Woo

    2013-09-01

    We fabricated a nickel silicide nanowire (NiSi NW) device with a low thermal budget and characterized it by measuring the S-parameters in the radio-frequency (RF) regime. A single silicon nanowire (Si NW) was assembled on a substrate with a two-port coplanar waveguide structure using the dielectrophoresis method. Then, the Si NW on the device was perfectly transformed into a NiSi NW. The NiSi NW device was characterized by performing measurements in the DC and RF regimes. The transformation into the NiSi NW resulted in reducing about three-order more the resistance than before the transformation. Hence, the transmission of the NiSi NW device was 25 dB higher than that of the Si NW device up to gigahertz. We also discussed extracting the intrinsic properties of the NiSi NW by using de-embedding, circuit modeling, and simulation.

  5. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  6. Ultralow power complementary inverter circuits using axially doped p- and n-channel Si nanowire field effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2016-06-09

    We have successfully synthesized axially doped p- and n-type regions on a single Si nanowire (NW). Diodes and complementary metal-oxide-semiconductor (CMOS) inverter devices using single axial p- and n-channel Si NW field-effect transistors (FETs) were fabricated. We show that the threshold voltages of both p- and n-channel Si NW FETs can be lowered to nearly zero by effectively controlling the doping concentration. Because of the high performance of the p- and n-type Si NW channel FETs, especially with regard to the low threshold voltage, the fabricated NW CMOS inverters have a low operating voltage (<3 V) while maintaining a high voltage gain (∼6) and ultralow static power dissipation (≤0.3 pW) at an input voltage of ±3 V. This result offers a viable way for the fabrication of a high-performance high-density logic circuit using a low-temperature fabrication process, which makes it suitable for flexible electronics.

  7. Double-gated Si NW FET sensors: Low-frequency noise and photoelectric properties

    NASA Astrophysics Data System (ADS)

    Gasparyan, F.; Khondkaryan, H.; Arakelyan, A.; Zadorozhnyi, I.; Pud, S.; Vitusevich, S.

    2016-08-01

    The transport, noise, and photosensitivity properties of an array of silicon nanowire (NW) p+-p-p+ field-effect transistors (FETs) are investigated. The peculiarities of photosensitivity and detectivity are analyzed over a wide spectrum range. The absorbance of p-Si NW shifts to the short wavelength region compared with bulk Si. The photocurrent and photosensitivity reach increased values in the UV range of the spectrum at 300 K. It is shown that sensitivity values can be tuned by the drain-source voltage and may reach record values of up to 2-4 A/W at a wavelength of 300 nm at room temperature. Low-frequency noise studies allow calculating the photodetectivity values, which increase with decreasing wavelength down to 300 nm. We show that the drain current of Si NW biochemical sensors substantially depends on pH value and the signal-to-noise ratio reaches the high value of 105. Increasing pH sensitivity with gate voltage is revealed for certain source-drain currents of pH-sensors based on Si NW FETs. The noise characteristic index decreases from 1.1 to 0.7 with the growth of the liquid gate voltage. Noise behavior is successfully explained in the framework of the correlated number-mobility unified fluctuation model. pH sensitivity increases as a result of the increase in liquid gate voltage, thus giving the opportunity to measure very low proton concentrations in the electrolyte medium at certain values of the liquid gate voltage.

  8. First-principles simulation on Seebeck coefficient in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Nakamura, Koichi

    2017-06-01

    The Seebeck coefficients of silicon nanowires (SiNWs) were simulated on the basis of first-principles calculation using various atomistic structure models. The electronic band structures of fully hydrogen-terminated SiNW models give the correct image of quantum mechanical confinement from bulk silicon to SiNW for each axial direction, and the change in the density of states by dimensional reduction to SiNW enhances the thermoelectric performance in terms of the Seebeck coefficient, compared with those of bulk silicon and silicon nanosheets. The uniaxial tensile strain for the SiNW models does not strongly affect the Seebeck coefficient even for the SiNW system with giant piezoresistivity. In contrast, dangling bonds on a wire wall sharply reduce the Seebeck coefficient of SiNW and totally degrade thermoelectric performance from the viewpoint of the power factor. The exclusion of dangling bonds is a key element for the design and application of high-performance thermoelectric nanowires of semiconducting materials.

  9. Observation of layered antiferromagnetism in self-assembled parallel NiSi nanowire arrays on Si(110) by spin-polarized scanning tunneling spectromicroscopy

    NASA Astrophysics Data System (ADS)

    Hong, Ie-Hong; Hsu, Hsin-Zan

    2018-03-01

    The layered antiferromagnetism of parallel nanowire (NW) arrays self-assembled on Si(110) have been observed at room temperature by direct imaging of both the topographies and magnetic domains using spin-polarized scanning tunneling microscopy/spectroscopy (SP-STM/STS). The topographic STM images reveal that the self-assembled unidirectional and parallel NiSi NWs grow into the Si(110) substrate along the [\\bar{1}10] direction (i.e. the endotaxial growth) and exhibit multiple-layer growth. The spatially-resolved SP-STS maps show that these parallel NiSi NWs of different heights produce two opposite magnetic domains, depending on the heights of either even or odd layers in the layer stack of the NiSi NWs. This layer-wise antiferromagnetic structure can be attributed to an antiferromagnetic interlayer exchange coupling between the adjacent layers in the multiple-layer NiSi NW with a B2 (CsCl-type) crystal structure. Such an endotaxial heterostructure of parallel magnetic NiSi NW arrays with a layered antiferromagnetic ordering in Si(110) provides a new and important perspective for the development of novel Si-based spintronic nanodevices.

  10. Synthesis and characterization of barium silicide (BaSi2) nanowire arrays for potential solar applications.

    PubMed

    Pokhrel, Ankit; Samad, Leith; Meng, Fei; Jin, Song

    2015-11-07

    In order to utilize nanostructured materials for potential solar and other energy-harvesting applications, scalable synthetic techniques for these materials must be developed. Herein we use a vapor phase conversion approach to synthesize nanowire (NW) arrays of semiconducting barium silicide (BaSi2) in high yield for the first time for potential solar applications. Dense arrays of silicon NWs obtained by metal-assisted chemical etching were converted to single-crystalline BaSi2 NW arrays by reacting with Ba vapor at about 930 °C. Structural characterization by X-ray diffraction and high-resolution transmission electron microscopy confirm that the converted NWs are single-crystalline BaSi2. The optimal conversion reaction conditions allow the phase-pure synthesis of BaSi2 NWs that maintain the original NW morphology, and tuning the reaction parameters led to a controllable synthesis of BaSi2 films on silicon substrates. The optical bandgap and electrochemical measurements of these BaSi2 NWs reveal a bandgap and carrier concentrations comparable to previously reported values for BaSi2 thin films.

  11. Double-gated Si NW FET sensors: Low-frequency noise and photoelectric properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gasparyan, F.; Forschungszentrum Jülich, Peter Grünberg Institute; Khondkaryan, H.

    2016-08-14

    The transport, noise, and photosensitivity properties of an array of silicon nanowire (NW) p{sup +}-p-p{sup +} field-effect transistors (FETs) are investigated. The peculiarities of photosensitivity and detectivity are analyzed over a wide spectrum range. The absorbance of p-Si NW shifts to the short wavelength region compared with bulk Si. The photocurrent and photosensitivity reach increased values in the UV range of the spectrum at 300 K. It is shown that sensitivity values can be tuned by the drain-source voltage and may reach record values of up to 2–4 A/W at a wavelength of 300 nm at room temperature. Low-frequency noise studies allow calculatingmore » the photodetectivity values, which increase with decreasing wavelength down to 300 nm. We show that the drain current of Si NW biochemical sensors substantially depends on pH value and the signal-to-noise ratio reaches the high value of 10{sup 5}. Increasing pH sensitivity with gate voltage is revealed for certain source-drain currents of pH-sensors based on Si NW FETs. The noise characteristic index decreases from 1.1 to 0.7 with the growth of the liquid gate voltage. Noise behavior is successfully explained in the framework of the correlated number-mobility unified fluctuation model. pH sensitivity increases as a result of the increase in liquid gate voltage, thus giving the opportunity to measure very low proton concentrations in the electrolyte medium at certain values of the liquid gate voltage.« less

  12. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  13. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  14. Advanced fabrication of Si nanowire FET structures by means of a parallel approach.

    PubMed

    Li, J; Pud, S; Mayer, D; Vitusevich, S

    2014-07-11

    In this paper we present fabricated Si nanowires (NWs) of different dimensions with enhanced electrical characteristics. The parallel fabrication process is based on nanoimprint lithography using high-quality molds, which facilitates the realization of 50 nm-wide NW field-effect transistors (FETs). The imprint molds were fabricated by using a wet chemical anisotropic etching process. The wet chemical etch results in well-defined vertical sidewalls with edge roughness (3σ) as small as 2 nm, which is about four times better compared with the roughness usually obtained for reactive-ion etching molds. The quality of the mold was studied using atomic force microscopy and scanning electron microscopy image data. The use of the high-quality mold leads to almost 100% yield during fabrication of Si NW FETs as well as to an exceptional quality of the surfaces of the devices produced. To characterize the Si NW FETs, we used noise spectroscopy as a powerful method for evaluating device performance and the reliability of structures with nanoscale dimensions. The Hooge parameter of fabricated FET structures exhibits an average value of 1.6 × 10(-3). This value reflects the high quality of Si NW FETs fabricated by means of a parallel approach that uses a nanoimprint mold and cost-efficient technology.

  15. The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy

    NASA Astrophysics Data System (ADS)

    Liu, Ziyang; Merckling, Clement; Rooyackers, Rita; Franquet, Alexis; Richard, Olivier; Bender, Hugo; Vila, María; Rubio-Zuazo, Juan; Castro, Germán R.; Collaert, Nadine; Thean, Aaron; Vandervorst, Wilfried; Heyns, Marc

    2018-04-01

    Vertical InAs nanowires (NWs) grown on a Si substrate are promising building-blocks for next generation vertical gate-all-around transistor fabrication. We investigate the initial stage of InAs NW selective area epitaxy (SAE) on a patterned Si (111) substrate with a focus on the interfacial structures. The direct epitaxy of InAs NWs on a clean Si (111) surface is found to be challenging. The yield of vertical InAs NWs is low, as the SAE is accompanied by high proportions of empty holes, inclined NWs, and irregular blocks. In contrast, it is improved when the NW contains gallium, and the yield of vertical InxGa1-xAs NWs increased with higher Ga content. Meanwhile, unintentional Ga surface contamination on a patterned Si substrate induces high yield vertical InAs NW SAE, which is attributed to a GaAs-like seeding layer formed at the InAs/Si interface. The role of Ga played in the III-V NW nucleation on Si is further discussed. It stabilizes the B-polarity on a non-polar Si (111) surface and enhances the nucleation. Therefore, gallium incorporation on a Si surface is identified as an important enabler for vertical InAs NW growth. A new method for high yield (>99%) vertical InAs NW SAE on Si using an InGaAs nucleation layer is proposed based on this study.

  16. Nickel/Platinum Dual Silicide Axial Nanowire Heterostructures with Excellent Photosensor Applications.

    PubMed

    Wu, Yen-Ting; Huang, Chun-Wei; Chiu, Chung-Hua; Chang, Chia-Fu; Chen, Jui-Yuan; Lin, Ting-Yi; Huang, Yu-Ting; Lu, Kuo-Chang; Yeh, Ping-Hung; Wu, Wen-Wei

    2016-02-10

    Transition metal silicide nanowires (NWs) have attracted increasing attention as they possess advantages of both silicon NWs and transition metals. Over the past years, there have been reported with efforts on one silicide in a single silicon NW. However, the research on multicomponent silicides in a single silicon NW is still rare, leading to limited functionalities. In this work, we successfully fabricated β-Pt2Si/Si/θ-Ni2Si, β-Pt2Si/θ-Ni2Si, and Pt, Ni, and Si ternary phase axial NW heterostructures through solid state reactions at 650 °C. Using in situ transmission electron microscope (in situ TEM), the growth mechanism of silicide NW heterostructures and the diffusion behaviors of transition metals were systematically studied. Spherical aberration corrected scanning transmission electron microscope (Cs-corrected STEM) equipped with energy dispersive spectroscopy (EDS) was used to analyze the phase structure and composition of silicide NW heterostructures. Moreover, electrical and photon sensing properties for the silicide nanowire heterostructures demonstrated promising applications in nano-optoeletronic devices. We found that Ni, Pt, and Si ternary phase nanowire heterostructures have an excellent infrared light sensing property which is absent in bulk Ni2Si or Pt2Si. The above results would benefit the further understanding of heterostructured nano materials.

  17. Composition controllability of InGaAs nanowire arrays in selective area growth with controlled pitches on Si platform

    NASA Astrophysics Data System (ADS)

    Chiba, Kohei; Tomioka, Katsuhiro; Yoshida, Akinobu; Motohisa, Junichi

    2017-12-01

    Composition controllability of vertical InGaAs nanowires (NWs) on Si integrated by selective area growth was characterized for Si photonics in the optical telecommunication bands. The pitch of pre-patterned holes (NW sites) changed to an In/Ga alloy-composition in the solid phase during the NW growth. The In composition with a nanometer-scaled pitch differed completely from that with a μm-scaled pitch. Accordingly, the growth morphologies of InGaAs NWs show different behavior with respect to the In/Ga ratio.

  18. Fabrication of flexible and vertical silicon nanowire electronics.

    PubMed

    Weisse, Jeffrey M; Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2012-06-13

    Vertical silicon nanowire (SiNW) array devices directly connected on both sides to metallic contacts were fabricated on various non-Si-based substrates (e.g., glass, plastics, and metal foils) in order to fully exploit the nanomaterial properties for final applications. The devices were realized with uniform length Ag-assisted electroless etched SiNW arrays that were detached from their fabrication substrate, typically Si wafers, reattached to arbitrary substrates, and formed with metallic contacts on both sides of the NW array. Electrical characterization of the SiNW array devices exhibits good current-voltage characteristics consistent with the SiNW morphology.

  19. Selective area growth of InAs nanowires from SiO2/Si(1 1 1) templates direct-written by focused helium ion beam technology

    NASA Astrophysics Data System (ADS)

    Yang, Che-Wei; Chen, Wei-Chieh; Chou, Chieh; Lin, Hao-Hsiung

    2018-02-01

    We report on the selective area growth of InAs nanowires on patterned SiO2/Si (1 1 1) nano-holes, prepared by focused helium ion beam technology. We used a single spot mode, in which the focused helium ion beam was fixed on a single point with a He+-ion dosage, ranging from 1.5 pC to 8 pC, to drill the nano-holes. The smallest hole diameter achieved is ∼8 nm. We found that low He+-ion dosage is able to facilitate the nucleation of (1 1 1)B InAs on the highly mismatched Si, leading to the vertical growth of InAs nanowires (NWs). High He-ion dosage, on the contrary, severely damaged Si surface, resulting in tilted and stripe-like NWs. In addition to titled NW grown from (1 1 1)A InAs domain, a new titled growth direction due to defect induced twinning was observed. Cross-sectional TEM images of vertical NWs show mixed wurtizite (WZ) and zincblende (ZB) phases, while WZ phase dominants. The stacking faults resulting from the phase change is proportional to NW diameter, suggesting that the critical diameter of phase turning is larger than 110 nm, the maximum diameter of our NWs. Period of misfit dislocation at the InAs/Si interface of vertical NW is also found larger than the theoretical value when the diameter of heterointerface is smaller than 50 nm, indicating that the small contact area is able to accommodate the large lattice and thermal mismatch between InAs and Si.

  20. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  1. Porous Si nanowires for highly selective room-temperature NO2 gas sensing

    NASA Astrophysics Data System (ADS)

    Kwon, Yong Jung; Mirzaei, Ali; Gil Na, Han; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Oum, Wansik; Kim, Sang Sub; Kim, Hyoun Woo

    2018-07-01

    We report the room-temperature sensing characteristics of Si nanowires (NWs) fabricated from p-Si wafers by a metal-assisted chemical etching method, which is a facile and low-cost method. X-ray diffraction was used to the the study crystallinity and phase formation of Si NWs, and product morphology was examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). After confirmation of Si NW formation via the SEM and TEM micrographs, sensing tests were carried out at room temperature, and it was found that the Si NW sensor prepared from Si wafers with a resistivity of 0.001–0.003 Ω.cm had the highest response to NO2 gas (Rg/Ra = 1.86 for 50 ppm NO2), with a fast response (15 s) and recovery (30 s) time. Furthermore, the sensor responses to SO2, toluene, benzene, H2, and ethanol were nearly negligible, demonstrating the excellent selectivity to NO2 gas. The gas-sensing mechanism is discussed in detail. The present sensor can operate at room temperature, and is compatible with the microelectronic fabrication process, demonstrating its promise for next-generation Si-based electronics fused with functional chemical sensors.

  2. Porous Si nanowires for highly selective room-temperature NO2 gas sensing.

    PubMed

    Kwon, Yong Jung; Mirzaei, Ali; Na, Han Gil; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Oum, Wansik; Kim, Sang Sub; Kim, Hyoun Woo

    2018-07-20

    We report the room-temperature sensing characteristics of Si nanowires (NWs) fabricated from p-Si wafers by a metal-assisted chemical etching method, which is a facile and low-cost method. X-ray diffraction was used to the the study crystallinity and phase formation of Si NWs, and product morphology was examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). After confirmation of Si NW formation via the SEM and TEM micrographs, sensing tests were carried out at room temperature, and it was found that the Si NW sensor prepared from Si wafers with a resistivity of 0.001-0.003 Ω.cm had the highest response to NO 2 gas (R g /R a  = 1.86 for 50 ppm NO 2 ), with a fast response (15 s) and recovery (30 s) time. Furthermore, the sensor responses to SO 2 , toluene, benzene, H 2 , and ethanol were nearly negligible, demonstrating the excellent selectivity to NO 2 gas. The gas-sensing mechanism is discussed in detail. The present sensor can operate at room temperature, and is compatible with the microelectronic fabrication process, demonstrating its promise for next-generation Si-based electronics fused with functional chemical sensors.

  3. Design High-Efficiency III-V Nanowire/Si Two-Junction Solar Cell.

    PubMed

    Wang, Y; Zhang, Y; Zhang, D; He, S; Li, X

    2015-12-01

    In this paper, we report the electrical simulation results of a proposed GaInP nanowire (NW)/Si two-junction solar cell. The NW physical dimensions are determined for optimized solar energy absorption and current matching between each subcell. Two key factors (minority carrier lifetime, surface recombination velocity) affecting power conversion efficiency (PCE) of the solar cell are highlighted, and a practical guideline to design high-efficiency two-junction solar cell is thus provided. Considering the practical surface and bulk defects in GaInP semiconductor, a promising PCE of 27.5 % can be obtained. The results depict the usefulness of integrating NWs to construct high-efficiency multi-junction III-V solar cells.

  4. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  5. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  6. Simulation study on discrete charge effects of SiNW biosensors according to bound target position using a 3D TCAD simulator.

    PubMed

    Chung, In-Young; Jang, Hyeri; Lee, Jieun; Moon, Hyunggeun; Seo, Sung Min; Kim, Dae Hwan

    2012-02-17

    We introduce a simulation method for the biosensor environment which treats the semiconductor and the electrolyte region together, using the well-established semiconductor 3D TCAD simulator tool. Using this simulation method, we conduct electrostatic simulations of SiNW biosensors with a more realistic target charge model where the target is described as a charged cube, randomly located across the nanowire surface, and analyze the Coulomb effect on the SiNW FET according to the position and distribution of the target charges. The simulation results show the considerable variation in the SiNW current according to the bound target positions, and also the dependence of conductance modulation on the polarity of target charges. This simulation method and the results can be utilized for analysis of the properties and behavior of the biosensor device, such as the sensing limit or the sensing resolution.

  7. Exact comprehensive equations for the photon management properties of silicon nanowire

    PubMed Central

    Li, Yingfeng; Li, Meicheng; Li, Ruike; Fu, Pengfei; Wang, Tai; Luo, Younan; Mbengue, Joseph Michel; Trevor, Mwenya

    2016-01-01

    Unique photon management (PM) properties of silicon nanowire (SiNW) make it an attractive building block for a host of nanowire photonic devices including photodetectors, chemical and gas sensors, waveguides, optical switches, solar cells, and lasers. However, the lack of efficient equations for the quantitative estimation of the SiNW’s PM properties limits the rational design of such devices. Herein, we establish comprehensive equations to evaluate several important performance features for the PM properties of SiNW, based on theoretical simulations. Firstly, the relationships between the resonant wavelengths (RW), where SiNW can harvest light most effectively, and the size of SiNW are formulized. Then, equations for the light-harvesting efficiency at RW, which determines the single-frequency performance limit of SiNW-based photonic devices, are established. Finally, equations for the light-harvesting efficiency of SiNW in full-spectrum, which are of great significance in photovoltaics, are established. Furthermore, using these equations, we have derived four extra formulas to estimate the optimal size of SiNW in light-harvesting. These equations can reproduce majority of the reported experimental and theoretical results with only ~5% error deviations. Our study fills up a gap in quantitatively predicting the SiNW’s PM properties, which will contribute significantly to its practical applications. PMID:27103087

  8. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    NASA Astrophysics Data System (ADS)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  9. Improved Performance by SiO2 Hollow Nanospheres for Silver Nanowire-Based Flexible Transparent Conductive Films.

    PubMed

    Zhang, Liwen; Zhang, Longjiang; Qiu, Yejun; Ji, Yang; Liu, Ya; Liu, Hong; Li, Guangji; Guo, Qiuquan

    2016-10-12

    Flexible transparent conductive films (TCFs) have attracted tremendous interest thanks to the rapid development of portable/flexible/wearable electronics. TCFs on the basis of silver nanowires (AgNWs) with excellent performance are becoming an efficient alternative to replace the brittle transparent metal oxide. In this study, a promising method was developed by introducing SiO 2 hollow nanospheres (SiO 2 -HNSs) into the film to significantly improve the performance of AgNW-based TCFs. Since SiO 2 -HNSs have opposite charges to AgNWs, the strong attraction had promoted a uniform distribution of AgNWs and made the distance between AgNWs closer, which could decrease the contact resistance greatly. The introduction of SiO 2 layer remarkably enhanced the transmission of visible light and the conductivity. In addition, the TCFs constructed by AgNWs and SiO 2 -HNSs showed much higher thermal stability and adhesive force than those by only AgNWs. As an example, the transmission of AgNW/SiO 2 -HNS-coated poly(ethylene terephthalate) (PET) could increase about 14.3% in comparison to AgNW-coated PET. Typically, a AgNW/SiO 2 -HNS-based TCF with a sheet resistance of about 33 Ω/sq and transmittance of about 98.0% (excluding substrate) could be obtained with excellent flexibility, adhesion, and thermal stability. At last some devices were fabricated.

  10. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  11. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  12. High performance Si nanowire field-effect-transistors based on a CMOS inverter with tunable threshold voltage.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Sohn, Jung Inn; Cha, Seung Nam; Whang, Dongmok; Kim, Jong Min; Kang, Dae Joon

    2014-05-21

    We successfully fabricated nanowire-based complementary metal-oxide semiconductor (NWCMOS) inverter devices by utilizing n- and p-type Si nanowire field-effect-transistors (NWFETs) via a low-temperature fabrication processing technique. We demonstrate that NWCMOS inverter devices can be operated at less than 1 V, a significantly lower voltage than that of typical thin-film based complementary metal-oxide semiconductor (CMOS) inverter devices. This low-voltage operation was accomplished by controlling the threshold voltage of the n-type Si NWFETs through effective management of the nanowire (NW) doping concentration, while realizing high voltage gain (>10) and ultra-low static power dissipation (≤3 pW) for high-performance digital inverter devices. This result offers a viable means of fabricating high-performance, low-operation voltage, and high-density digital logic circuits using a low-temperature fabrication processing technique suitable for next-generation flexible electronics.

  13. Precise and selective sensing of DNA-DNA hybridization by graphene/Si-nanowires diode-type biosensors.

    PubMed

    Kim, Jungkil; Park, Shin-Young; Kim, Sung; Lee, Dae Hun; Kim, Ju Hwan; Kim, Jong Min; Kang, Hee; Han, Joong-Soo; Park, Jun Woo; Lee, Hosun; Choi, Suk-Ho

    2016-08-18

    Single-Si-nanowire (NW)-based DNA sensors have been recently developed, but their sensitivity is very limited because of high noise signals, originating from small source-drain current of the single Si NW. Here, we demonstrate that chemical-vapor-deposition-grown large-scale graphene/surface-modified vertical-Si-NW-arrays junctions can be utilized as diode-type biosensors for highly-sensitive and -selective detection of specific oligonucleotides. For this, a twenty-seven-base-long synthetic oligonucleotide, which is a fragment of human DENND2D promoter sequence, is first decorated as a probe on the surface of vertical Si-NW arrays, and then the complementary oligonucleotide is hybridized to the probe. This hybridization gives rise to a doping effect on the surface of Si NWs, resulting in the increase of the current in the biosensor. The current of the biosensor increases from 19 to 120% as the concentration of the target DNA varies from 0.1 to 500 nM. In contrast, such biosensing does not come into play by the use of the oligonucleotide with incompatible or mismatched sequences. Similar results are observed from photoluminescence microscopic images and spectra. The biosensors show very-uniform current changes with standard deviations ranging ~1 to ~10% by ten-times endurance tests. These results are very promising for their applications in accurate, selective, and stable biosensing.

  14. High-performance silicon nanowire bipolar phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  15. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    NASA Astrophysics Data System (ADS)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  16. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  17. C@SiNW/TiO2 Core-Shell Nanoarrays with Sandwiched Carbon Passivation Layer as High Efficiency Photoelectrode for Water Splitting

    PubMed Central

    Devarapalli, Rami Reddy; Debgupta, Joyashish; Pillai, Vijayamohanan K.; Shelke, Manjusha V.

    2014-01-01

    One-dimensional heterostructure nanoarrays are efficiently promising as high performance electrodes for photo electrochemical (PEC) water splitting applications, wherein it is highly desirable for the electrode to have a broad light absorption, efficient charge separation and redox properties as well as defect free surface with high area suitable for fast interfacial charge transfer. We present highly active and unique photoelectrode for solar H2 production, consisting of silicon nanowires (SiNWs)/TiO2 core-shell structures. SiNWs are passivated to reduce defect sites and protected against oxidation in air or water by forming very thin carbon layer sandwiched between SiNW and TiO2 surfaces. This carbon layer decreases recombination rates and also enhances the interfacial charge transfer between the silicon and TiO2. A systematic investigation of the role of SiNW length and TiO2 thickness on photocurrent reveals enhanced photocurrent density up to 5.97 mA/cm2 at 1.0 V vs.NHE by using C@SiNW/TiO2 nanoarrays with photo electrochemical efficiency of 1.17%. PMID:24810865

  18. Facile synthesis of silicon nanowire-nanopillar superhydrophobic structures

    NASA Astrophysics Data System (ADS)

    Roy, Abhijit; Satpati, Biswarup

    2018-04-01

    We have used metal assisted chemical etching (MACE) method to produce silicon (Si) nanowire-nanopillar array. Nanowire-nanopillar combined structures show higher degree of hydrophobicity compared to its nanowire (Si-NW) counterparts. The rate of etching is depended on initial metal deposition. The structural analysis was carried out using scanning electron microscopy (SEM) in combination with transmission electron microscopy (TEM) to determine different parameters like etching direction, crystallinity etc.

  19. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties

    NASA Astrophysics Data System (ADS)

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-10-01

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors.

  20. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties.

    PubMed

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-10-12

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors.

  1. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties

    PubMed Central

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-01-01

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors. PMID:26456769

  2. Multiple double cross-section transmission electron microscope sample preparation of specific sub-10 nm diameter Si nanowire devices.

    PubMed

    Gignac, Lynne M; Mittal, Surbhi; Bangsaruntip, Sarunya; Cohen, Guy M; Sleight, Jeffrey W

    2011-12-01

    The ability to prepare multiple cross-section transmission electron microscope (XTEM) samples from one XTEM sample of specific sub-10 nm features was demonstrated. Sub-10 nm diameter Si nanowire (NW) devices were initially cross-sectioned using a dual-beam focused ion beam system in a direction running parallel to the device channel. From this XTEM sample, both low- and high-resolution transmission electron microscope (TEM) images were obtained from six separate, specific site Si NW devices. The XTEM sample was then re-sectioned in four separate locations in a direction perpendicular to the device channel: 90° from the original XTEM sample direction. Three of the four XTEM samples were successfully sectioned in the gate region of the device. From these three samples, low- and high-resolution TEM images of the Si NW were taken and measurements of the NW diameters were obtained. This technique demonstrated the ability to obtain high-resolution TEM images in directions 90° from one another of multiple, specific sub-10 nm features that were spaced 1.1 μm apart.

  3. Single Schottky junction FETs based on Si:P nanowires with axially graded doping

    NASA Astrophysics Data System (ADS)

    Barreda, Jorge; Keiper, Timothy; Zhang, Mei; Xiong, Peng

    2015-03-01

    Si nanowires (NWs) with a systematic axial increase in phosphorus doping have been synthesized via a vapor-liquid-solid method. Silane and phosphine precursor gases are utilized for the growth and doping, respectively. The phosphorous doping profile is controlled by the flow ratio of the precursor gases. After the as-grown product is ultrasonically agitated into a solution, the Si NWs are dispersed on a SiO2 substrate with a highly doped Si back gate. Individual NWs are identified for the fabrication of field-effect transistors (FETs) with multiple Cr/Ag contacts along the NW. Two-probe and four-probe measurements are taken systematically under vacuum conditions at room temperature and the contribution from each contact and each NW section between adjacent contacts is determined. The graded doping level, produced by a systematic reduction in dopant density along the length of the NWs, is manifested in the regular increases in the channel and contact resistances. Our Si NWs facilitate the fabrication of asymmetric FETs with one ohmic and one Schottky contact. A significant increase in gate modulation is obtained due to the single Schottky-barrier contact. Characterization details and the applicability for sensing purposes will be discussed.

  4. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  5. Nanowire Photonic Systems

    DTIC Science & Technology

    2009-12-22

    b) From top to bottom, (i) AFM topograph of the p-i-n SiNW, (ii) plot of EFM phase-shift vs . position recorded along the nanowire axis and (iii...c) Current vs . applied voltage curve for a typical SiNW p-i-n junction at room temperature. (d) Current vs . applied reverse voltage data of a p-i...incident laser power. Iph vs . laser power (Figure 3c) measured at 22, 20 and 18 V show linear dependences with slopes of 1.16, 0.94 and 0.72 nA/μW

  6. Simultaneous Detection of α-Fetoprotein and Carcinoembryonic Antigen Based on Si Nanowire Field-Effect Transistors.

    PubMed

    Zhu, Kuiyu; Zhang, Ye; Li, Zengyao; Zhou, Fan; Feng, Kang; Dou, Huiqiang; Wang, Tong

    2015-08-05

    Primary hepatic carcinoma (PHC) is one of the most common malignancies worldwide, resulting in death within six to 20 months. The survival rate can be improved by effective treatments when diagnosed at an early stage. The α-fetoprotein (AFP) and carcinoembryonic antigen (CEA) have been identified as markers that are expressed at higher levels in PHC patients. In this study, we employed silicon nanowire field-effect transistors (SiNW-FETs) with polydimethylsiloxane (PDMS) microfluidic channels to simultaneously detect AFP and CEA in desalted human serum. Dual-channel PDMS was first utilized for the selective modification of AFP and CEA antibodies on SiNWs, while single-channel PDMS offers faster and more sensitive detection of AFP and CEA in serum. During the SiNW modification process, 0.1% BSA was utilized to minimize nonspecific protein binding from serum. The linear dynamic ranges for the AFP and CEA detection were measured to be 500 fg/mL to 50 ng/mL and 50 fg/mL to 10 ng/mL, respectively. Our work demonstrates the promising potential of fabricated SiNW-FETs as a direct detection kit for multiple tumor markers in serum; therefore, it provides a chance for early stage diagnose and, hence, more effective treatments for PHC patients.

  7. Capping of rare earth silicide nanowires on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Appelfeller, Stephan; Franz, Martin; Kubicki, Milan

    The capping of Tb and Dy silicide nanowires grown on Si(001) was studied using scanning tunneling microscopy and cross-sectional high-resolution transmission electron microscopy. Several nanometers thick amorphous Si films deposited at room temperature allow an even capping, while the nanowires maintain their original structural properties. Subsequent recrystallization by thermal annealing leads to more compact nanowire structures and to troughs in the Si layer above the nanowires, which may even reach down to the nanowires in the case of thin Si films, as well as to V-shaped stacking faults forming along (111) lattice planes. This behavior is related to strain duemore » to the lattice mismatch between the Si overlayer and the nanowires.« less

  8. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  9. Fabrication and gas sensing properties of vertically aligned Si nanowires

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Kang, Sung Yong; Choi, Sun-Woo; Kwon, Yong Jung; Choi, Myung Sik; Bang, Jae Hoon; Kim, Sang Sub; Kim, Hyoun Woo

    2018-01-01

    In this study, a peculiar configuration for a gas sensor consisting of vertically aligned silicon nanowires (VA-Si NWs) synthesized by metal-assisted chemical etching (MACE) is reported. Si NWs were prepared via a facile MACE method and subsequent thermal annealing. Etching was performed by generation of silver nanoparticles (Ag NPs) and subsequent etching in HF/H2O2 aqueous solution; the growth conditions were optimized by changing the process parameters. Highly vertically oriented arrays of Si NWs with a straight-line morphology were obtained, and a top-top electrode configuration was applied. The VA-Si NW gas sensor showed good sensing performance, and the VA-Si NWs exhibited a remarkable response (Rg/Ra = 11.5 ∼ 17.1) to H2 gas (10-50 ppm) at 100 °C which was the optimal working temperature. The formation mechanism and gas sensing mechanism of VA-Si NWs are described. The obtained results can suggest new approaches to making inexpensive, versatile, and portable sensors based on Si NWs having a novel top-top electrode structure that are fully compatible with well-developed Si technologies.

  10. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  11. Structure evolution and electrical transport property of Si nanowire

    NASA Astrophysics Data System (ADS)

    Wang, Y.; Li, Q. Q.; Dong, J. C.; He, Y. Z.; Li, H.

    2015-02-01

    Various optimized Si and its alloy nanowires, from a monoatomic chain to helical and multishell coaxial cylinder, have been obtained. Results reveal that the structure of the Si nanowires transforms as the radii of the carbon nanotubes increase, despite of the chirality of the CNTs. We also calculate the physical properties, such as density of states, transmission functions, current-voltage (I-V) characteristics, and conductance spectra (G-V) of optimized nanowires and alloy nanowires sandwiched between two gold contacts. Interestingly, compared with the pure Si nanowires, the conductance of the alloy nanowires is even lower.

  12. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  13. Photoelectrocatalytic reduction of CO2 to methanol over a photosystem II-enhanced Cu foam/Si-nanowire system.

    PubMed

    Lian, Zichao; Pan, Donglai; Wang, Wenchao; Zhang, Dieqing; Li, Guisheng; Li, Hexing

    2017-10-01

    A solar-light double illumination photoelectrocatalytic cell (SLDIPEC) was fabricated for autonomous CO 2 reduction and O 2 evolution with the aid of photosystem II (PS-II, an efficient light-driven water-oxidized enzyme from nature) and utilized in a photoanode solution. The proposed SLPEC system was composed of Cu foam as the photoanode and p-Si nanowires (Si-NW) as the photocathode. Under solar irradiation, it exhibited a super-photoelectrocatalytic performance for CO 2 conversion to methanol, with a high evolution rate (41.94mmol/hr), owing to fast electron transfer from PS-II to Cu foam. Electrons were subsequently trapped by Si-NW through an external circuit via bias voltage (0.5V), and a suitable conduction band potential of Si (-0.6eV) allowed CO 2 to be easily reduced to CH 3 OH at the photocathode. The constructed Z-scheme between Cu foam and Si-NW can allow the SLDIPEC system to reduce CO 2 (8.03mmol/hr) in the absence of bias voltage. This approach makes full use of the energy band mismatch of the photoanode and photocathode to design a highly efficient device for solving environmental issues and producing clean energy. Copyright © 2017. Published by Elsevier B.V.

  14. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  15. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  16. Highly flexible, nonflammable and free-standing SiC nanowire paper

    NASA Astrophysics Data System (ADS)

    Chen, Jianjun; Liao, Xin; Wang, Mingming; Liu, Zhaoxiang; Zhang, Judong; Ding, Lijuan; Gao, Li; Li, Ye

    2015-03-01

    Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber reinforced ceramic composites. Herein, free-standing SiC nanowire paper consisting of ultralong single-crystalline SiC nanowires was prepared through a facile vacuum filtration approach. The ultralong SiC nanowires were synthesized by a sol-gel and carbothermal reduction method. The flexible paper composed of SiC nanowires is ~100 nm in width and up to several hundreds of micrometers in length. The nanowires are intertwisted with each other to form a three-dimensional network-like structure. SiC nanowire paper exhibits high flexibility and strong mechanical stability. The refractory performance and thermal stability of SiC nanowire paper were also investigated. The paper not only exhibits excellent nonflammability in fire, but also remains well preserved without visible damage when it is heated in an electric oven at a high temperature (1000 °C) for 3 h. With its high flexibility, excellent nonflammability, and high thermal stability, the free-standing SiC nanowire paper may have the potential to improve the ablation resistance of high temperature ceramic composites.Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber

  17. Enhanced photoemission from glancing angle deposited SiOx-TiO2 axial heterostructure nanowire arrays

    NASA Astrophysics Data System (ADS)

    Dhar, J. C.; Mondal, A.; Singh, N. K.; Chattopadhyay, K. K.

    2013-05-01

    The glancing angle deposition technique has been employed to synthesize SiOx-TiO2 heterostructure nanowire (NW) arrays on indium tin oxide (ITO) coated glass substrate. A field emission gun scanning electron microscopic image shows that the average diameter of the NWs is ˜50 nm. Transmission electron microscopy images show the formation of heterostructure NWs, which consist of ˜180 nm SiOx and ˜210 nm long TiO2. The selected-area electron diffraction shows the amorphous nature of the synthesized NWs, which was also confirmed by X-ray diffraction method. The main band absorption edges at 3.5 eV were found for both the SiOx-TiO2 and TiO2 NW arrays on ITO coated glass plate from optical absorption measurement. Ti3+ defect related sub-band gap transition at 2.5 eV was observed for TiO2 NWs, whereas heterostructure NWs revealed the SiOx optical band gap related transition at ˜2.2 eV. Two fold improved photon absorption as well as five times photoluminescence emission enhancement were observed for the SiOx-TiO2 multilayer NWs compared to TiO2 NWs.

  18. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    PubMed

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  19. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  20. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  1. Manganese silicide nanowires on Si(001).

    PubMed

    Liu, H J; Owen, J H G; Miki, K; Renner, Ch

    2011-05-04

    A method for promoting the growth of manganese silicide nanowires on Si(001) at 450 °C is described. The anisotropic surface stress generated by bismuth nanolines blocks the formation of embedded structures and stabilizes the nucleation of manganese silicide islands which grow in a preferred direction, forming nanowires with a band gap of approximately 0.6 eV, matching the reported band gap of MnSi(1.7). This method may also provide a means to form silicide nanowires of other metals where they do not otherwise form. © 2011 IOP Publishing Ltd

  2. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  3. Label-Free Direct Detection of miRNAs with Poly-Silicon Nanowire Biosensors

    PubMed Central

    Gong, Changguo; Qi, Jiming; Xiao, Han; Jiang, Bin; Zhao, Yulan

    2015-01-01

    Background The diagnostic and prognostic value of microRNAs (miRNAs) in a variety of diseases is promising. The novel silicon nanowire (SiNW) biosensors have advantages in molecular detection because of their high sensitivity and fast response. In this study, poly-crystalline silicon nanowire field-effect transistor (poly-SiNW FET) device was developed to achieve specific and ultrasensitive detection of miRNAs without labeling and amplification. Methods The poly-SiNW FET was fabricated by a top–down Complementary Metal Oxide Semiconductor (CMOS) wafer fabrication based technique. Single strand DNA (ssDNA) probe was bind to the surface of the poly-SiNW device which was silanated and aldehyde-modified. By comparing the difference of resistance value before and after ssDNA and miRNA hybridization, poly-SiNW device can be used to detect standard and real miRNA samples. Results Poly-SiNW device with different structures (different line width and different pitch) was applied to detect standard Let-7b sample with a detection limitation of 1 fM. One-base mismatched sequence could be distinguished meanwhile. Furthermore, these poly-SiNW arrays can detect snRNA U6 in total RNA samples extracted from HepG2 cells with a detection limitation of 0.2 μg/mL. In general, structures with pitch showed better results than those without pitch in detection of both Let-7b and snRNA U6. Moreover, structures with smaller pitch showed better detection efficacy. Conclusion Our findings suggest that poly-SiNW arrays could detect standard and real miRNA sample without labeling or amplification. Poly-SiNW biosensor device is promising for miRNA detection. PMID:26709827

  4. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  5. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  6. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  7. Ultralow-power non-volatile memory cells based on P(VDF-TrFE) ferroelectric-gate CMOS silicon nanowire channel field-effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2015-07-21

    Nanowire-based ferroelectric-complementary metal-oxide-semiconductor (NW FeCMOS) nonvolatile memory devices were successfully fabricated by utilizing single n- and p-type Si nanowire ferroelectric-gate field effect transistors (NW FeFETs) as individual memory cells. In addition to having the advantages of single channel n- and p-type Si NW FeFET memory, Si NW FeCMOS memory devices exhibit a direct readout voltage and ultralow power consumption. The reading state power consumption of this device is less than 0.1 pW, which is more than 10(5) times lower than the ON-state power consumption of single-channel ferroelectric memory. This result implies that Si NW FeCMOS memory devices are well suited for use in non-volatile memory chips in modern portable electronic devices, especially where low power consumption is critical for energy conservation and long-term use.

  8. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  9. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  10. The kinetic friction of ZnO nanowires on amorphous SiO2 and SiN substrates

    NASA Astrophysics Data System (ADS)

    Roy, Aditi; Xie, Hongtao; Wang, Shiliang; Huang, Han

    2016-12-01

    ZnO nanowires were bent on amorphous SiO2 and SiN substrates in an ambient atmosphere using optical nanomanipulation. The kinetic friction between the nanowires and substrate was determined from the bent shape of the nanowires. The kinetic friction force per unit area, i.e. frictional shear stress, for the ZnO/SiO2 and ZnO/SiN nanowire/substrate systems being measured were 1.05 ± 0.28 and 2.08 ± 0.33 MPa, respectively. The surface roughness and the Hamaker constant of SiO2 and SiN substrates had significant effect on the frictional stresses.

  11. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    PubMed

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  12. Scaling and Graphical Transport-Map Analysis of Ambipolar Schottky-Barrier Thin-Film Transistors Based on a Parallel Array of Si Nanowires.

    PubMed

    Jeon, Dae-Young; Pregl, Sebastian; Park, So Jeong; Baraban, Larysa; Cuniberti, Gianaurelio; Mikolajick, Thomas; Weber, Walter M

    2015-07-08

    Si nanowire (Si-NW) based thin-film transistors (TFTs) have been considered as a promising candidate for next-generation flexible and wearable electronics as well as sensor applications with high performance. Here, we have fabricated ambipolar Schottky-barrier (SB) TFTs consisting of a parallel array of Si-NWs and performed an in-depth study related to their electrical performance and operation mechanism through several electrical parameters extracted from the channel length scaling based method. Especially, the newly suggested current-voltage (I-V) contour map clearly elucidates the unique operation mechanism of the ambipolar SB-TFTs, governed by Schottky-junction between NiSi2 and Si-NW. Further, it reveals for the first-time in SB based FETs the important internal electrostatic coupling between the channel and externally applied voltages. This work provides helpful information for the realization of practical circuits with ambipolar SB-TFTs that can be transferred to different substrate technologies and applications.

  13. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  14. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  15. Ab-initio study of the segregation and electronic properties of neutral and charged B and P dopants in Si and Si/SiO{sub 2} nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schoeters, Bob, E-mail: bob.schoeters@uantwerpen.be; IMEC, Kapeldreef 75, B-3001 Leuven; Leenaerts, Ortwin, E-mail: ortwin.leenaerts@uantwerpen.be

    We perform first-principles calculations to investigate the preferred positions of B and P dopants, both neutral and in their preferred charge state, in Si and Si/SiO{sub 2} core-shell nanowires (NWs). In order to understand the observed trends in the formation energy, we isolate the different effects that determine these formation energies. By making the distinction between the unrelaxed and the relaxed formation energy, we separate the impact of the relaxation from that of the chemical environment. The unrelaxed formation energies are determined by three effects: (i) the effect of strain caused by size mismatch between the dopant and the hostmore » atoms, (ii) the local position of the band edges, and (iii) a screening effect. In the case of the SiNW (Si/SiO{sub 2} NW), these effects result in an increase of the formation energy away from the center (interface). The effect of relaxation depends on the relative size mismatch between the dopant and host atoms. A large size mismatch causes substantial relaxation that reduces the formation energy considerably, with the relaxation being more pronounced towards the edge of the wires. These effects explain the surface segregation of the B dopants in a SiNW, since the atomic relaxation induces a continuous drop of the formation energy towards the edge. However, for the P dopants, the formation energy starts to rise when moving from the center but drops to a minimum just next to the surface, indicating a different type of behavior. It also explains that the preferential location for B dopants in Si/SiO{sub 2} core-shell NWs is inside the oxide shell just next to the interface, whereas the P dopants prefer the positions next to the interface inside the Si core, which is in agreement with recent experiments. These preferred locations have an important impact on the electronic properties of these core-shell NWs. Our simulations indicate the possibility of hole gas formation when B segregates into the oxide shell.« less

  16. Recovery Based Nanowire Field-Effect Transistor Detection of Pathogenic Avian Influenza DNA

    NASA Astrophysics Data System (ADS)

    Lin, Chih-Heng; Chu, Chia-Jung; Teng, Kang-Ning; Su, Yi-Jr; Chen, Chii-Dong; Tsai, Li-Chu; Yang, Yuh-Shyong

    2012-02-01

    Fast and accurate diagnosis is critical in infectious disease surveillance and management. We proposed a DNA recovery system that can easily be adapted to DNA chip or DNA biosensor for fast identification and confirmation of target DNA. This method was based on the re-hybridization of DNA target with a recovery DNA to free the DNA probe. Functionalized silicon nanowire field-effect transistor (SiNW FET) was demonstrated to monitor such specific DNA-DNA interaction using high pathogenic strain virus hemagglutinin 1 (H1) DNA of avian influenza (AI) as target. Specific electric changes were observed in real-time for AI virus DNA sensing and device recovery when nanowire surface of SiNW FET was modified with complementary captured DNA probe. The recovery based SiNW FET biosensor can be further developed for fast identification and further confirmation of a variety of influenza virus strains and other infectious diseases.

  17. Si-H induced synthesis of Si/Cu2O nanowire arrays for photoelectrochemical water splitting

    NASA Astrophysics Data System (ADS)

    Zhang, Shaoyang; She, Guangwei; Li, Shengyang; Mu, Lixuan; Shi, Wensheng

    2018-01-01

    We report a facile and low-cost method to synthesize Si/Cu2O heterojunction nanowire arrays, without SiOx, at the Si/Cu2O interface. The reductive Si-H bonds on the surface of Si nanowires plays a key role in situ by reducing Cu(II) ions to Cu2O nanocubes and avoiding the SiOx interface layer. Different pH values would vary the electrochemical potential of reactions and as a result, different products would be formed. Utilized as a photoanode for water splitting, Si/Cu2O nanowire arrays exhibit good photoelectrochemical performance.

  18. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  19. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    PubMed

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  20. High efficiency silicon solar cell based on asymmetric nanowire.

    PubMed

    Ko, Myung-Dong; Rim, Taiuk; Kim, Kihyun; Meyyappan, M; Baek, Chang-Ki

    2015-07-08

    Improving the efficiency of solar cells through novel materials and devices is critical to realize the full potential of solar energy to meet the growing worldwide energy demands. We present here a highly efficient radial p-n junction silicon solar cell using an asymmetric nanowire structure with a shorter bottom core diameter than at the top. A maximum short circuit current density of 27.5 mA/cm(2) and an efficiency of 7.53% were realized without anti-reflection coating. Changing the silicon nanowire (SiNW) structure from conventional symmetric to asymmetric nature improves the efficiency due to increased short circuit current density. From numerical simulation and measurement of the optical characteristics, the total reflection on the sidewalls is seen to increase the light trapping path and charge carrier generation in the radial junction of the asymmetric SiNW, yielding high external quantum efficiency and short circuit current density. The proposed asymmetric structure has great potential to effectively improve the efficiency of the SiNW solar cells.

  1. Subeutectic Synthesis of Epitaxial Si-NWs with Diverse Catalysts Using a Novel Si Precursor

    PubMed Central

    2010-01-01

    The applicability of a novel silicon precursor with respect to reasonable nanowire (NW) growth rates, feasibility of epitaxial NW growth and versatility with respect to diverse catalysts was investigated. Epitaxial growth of Si-NWs was achieved using octochlorotrisilane (OCTS) as Si precursor and Au as catalyst. In contrast to the synthesis approach with SiCl4 as precursor, OCTS provides Si without the addition of H2. By optimizing the growth conditions, effective NW synthesis is shown for alternative catalysts, in particular, Cu, Ag, Ni, and Pt with the latter two being compatible to complementary metal-oxide-semiconductor technology. As for these catalysts, the growth temperatures are lower than the lowest liquid eutectic; we suggest that the catalyst particle is in the solid state during NW growth and that a solid-phase diffusion process, either in the bulk, on the surface, or both, must be responsible for NW nucleation. PMID:20843058

  2. Helical coil buckling mechanism for a stiff nanowire on an elastomeric substrate

    NASA Astrophysics Data System (ADS)

    Chen, Youlong; Liu, Yilun; Yan, Yuan; Zhu, Yong; Chen, Xi

    2016-10-01

    When a stiff nanowire is deposited on a compliant soft substrate, it may buckle into a helical coil form when the system is compressed. Using theoretical and finite element method (FEM) analyses, the detailed three-dimensional coil buckling mechanism for a silicon nanowire (SiNW) on a polydimethylsiloxane (PDMS) substrate is studied. A continuum mechanics approach based on the minimization of the strain energy in the SiNW and elastomeric substrate is developed. Due to the helical buckling, the bending strain in SiNW is significantly reduced and the maximum local strain is almost uniformly distributed along SiNW. Based on the theoretical model, the energy landscape for different buckling modes of SiNW on PDMS substrate is given, which shows that both the in-plane and out-of-plane buckling modes have the local minimum potential energy, whereas the helical buckling model has the global minimum potential energy. Furthermore, the helical buckling spacing and amplitudes are deduced, taking into account the influences of the elastic properties and dimensions of SiNWs. These features are verified by systematic FEM simulations and parallel experiments. As the effective compressive strain in elastomeric substrate increases, the buckling profile evolves from a vertical ellipse to a lateral ellipse, and then approaches to a circle when the effective compressive strain is larger than 30%. The study may shed useful insights on the design and optimization of high-performance stretchable electronics and 3D complex nano-structures.

  3. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  4. Synthesis and structural property of Si nanosheets connected to Si nanowires using MnCl2/Si powder source

    NASA Astrophysics Data System (ADS)

    Meng, Erchao; Ueki, Akiko; Meng, Xiang; Suzuki, Hiroaki; Itahara, Hiroshi; Tatsuoka, Hirokazu

    2016-08-01

    Si nanosheets connected to Si nanowires were synthesized using a MnCl2/Si powder source with an Au catalyst. The synthesis method has benefits in terms of avoiding conventionally used air-sensitive SiH4 or SiCl4. The existence of the Si nanosheets connected to the Si<111> nanowires, like sprouts or leaves with petioles, was observed, and the surface of the nanosheets was Si{111}. The nanosheets were grown in the growth direction of <211> perpendicular to that of the Si nanowires. It was evident from these structural features of the nanosheets that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes, which do not appear for Si bulk crystals, of the Si(111) nanosheets obtained by high resolution transmission electron microscopy was clearly explained due to the extra diffraction spots that arose by the reciprocal lattice streaking effect.

  5. Dewetting process of Au films on SiO2 nanowires: Activation energy evaluation

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Grimaldi, M. G.

    2015-05-01

    SiO2 nanowires gain scientific and technological interest in application fields ranging from nano-electronics, optics and photonics to bio-sensing. Furthermore, the SiO2 nanowires chemical and physical properties, and so their performances in devices, can be enhanced if decorated by metal nanoparticles (such Au) due to local plasmonic effects. In the present paper, we propose a simple, low-cost and high-throughput three-steps methodology for the mass-production of Au nanoparticles coated SiO2 nanowires. It is based on (1) production of the SiO2 nanowires on Si surface by solid state reaction of an Au film with the Si substrate at high temperature; (2) sputtering deposition of Au on the SiO2 nanowires to obtain the nanowires coated by an Au film; and (3) furnace annealing processes to induce the Au film dewetting on the SiO2 nanowires surface. Using scanning electron microscopy analyses, we followed the change of the Au nanoparticles mean versus the annealing time extracting values for the characteristic activation energy of the dewetting process of the Au film on the SiO2 nanowires surface. Such a study can allow the tuning of the nanowires/nanoparticles sizes for desired technological applications.

  6. Absorption enhancement in non-coplanar silver nanowire networks

    NASA Astrophysics Data System (ADS)

    He, Zhihui; Zhou, Zhiping; Ren, Xincheng; Bai, Shaomin; Li, Hongjian; Cao, Dongmei; Li, Gang; Cao, Guangtao

    2018-07-01

    We propose non-coplanar silver nanowire (AgNW) networks placed on a SiO2 layer. A notable absorption peak is observed in our proposed structure, and compared with the absorption of coplanar periodic AgNW networks and periodic AgNW gratings, the absorption performance of the non-coplanar AgNW networks demonstrates obvious advantages. It could be determined that the absorption ratio in this non-coplanar AgNW networks can reach 95%. In addition, several parameters that have important effects on the absorption of the non-coplanar AgNW networks are discussed in detail. Our research may provide guidance for the fundamental exploration of plasmonic absorption device applications.

  7. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    PubMed

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  8. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    PubMed

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  9. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  10. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  11. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    PubMed

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  12. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching

    PubMed Central

    2014-01-01

    Abstract Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm−2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS 61.46.Km; 78.55.-m; 78.67.Lt PMID:24521284

  13. Silicon nanowires as field-effect transducers for biosensor development: a review.

    PubMed

    Noor, M Omair; Krull, Ulrich J

    2014-05-12

    The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top-down or bottom-up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top-down and bottom-up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors. Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  15. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction.

    PubMed

    Hsu, Hsun-Feng; Huang, Wan-Ru; Chen, Ting-Hsuan; Wu, Hwang-Yuan; Chen, Chun-An

    2013-05-10

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.

  16. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction

    PubMed Central

    2013-01-01

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation. PMID:23663726

  17. In situ TEM observation of preferential amorphization in single crystal Si nanowire

    NASA Astrophysics Data System (ADS)

    Su, Jiangbin; Zhu, Xianfang

    2018-06-01

    The nanoinstability of a single crystal Si nanowire under electron beam irradiation was in situ investigated at room temperature by the transmission electron microscopy technique. It was observed that the Si nanowire amorphized preferentially from the surface towards the center, with the increasing of the electron dose. In contrast, in the center of the Si nanowire the amorphization seemed much more difficult, being accompanied by the rotation of crystal grains and the compression of d-spacing. Such a preferential amorphization, which is athermally induced by the electron beam irradiation, can be well accounted for by our proposed concepts of the nanocurvature effect and the energetic beam-induced athermal activation effect, while the classical knock-on mechanism and the electron beam heating effect seem inadequate to explain these processes. Furthermore, the findings revealed the difference of amorphization between a Si nanowire and a Si film under electron beam irradiation. Also, the findings have important implications for the nanoinstability and nanoprocessing of future Si nanowire-based devices.

  18. In situ TEM observation of preferential amorphization in single crystal Si nanowire.

    PubMed

    Su, Jiangbin; Zhu, Xianfang

    2018-06-08

    The nanoinstability of a single crystal Si nanowire under electron beam irradiation was in situ investigated at room temperature by the transmission electron microscopy technique. It was observed that the Si nanowire amorphized preferentially from the surface towards the center, with the increasing of the electron dose. In contrast, in the center of the Si nanowire the amorphization seemed much more difficult, being accompanied by the rotation of crystal grains and the compression of d-spacing. Such a preferential amorphization, which is athermally induced by the electron beam irradiation, can be well accounted for by our proposed concepts of the nanocurvature effect and the energetic beam-induced athermal activation effect, while the classical knock-on mechanism and the electron beam heating effect seem inadequate to explain these processes. Furthermore, the findings revealed the difference of amorphization between a Si nanowire and a Si film under electron beam irradiation. Also, the findings have important implications for the nanoinstability and nanoprocessing of future Si nanowire-based devices.

  19. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  20. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  1. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  2. Surface physics of semiconducting nanowires

    NASA Astrophysics Data System (ADS)

    Amato, Michele; Rurali, Riccardo

    2016-02-01

    Semiconducting nanowires (NWs) are firm candidates for novel nanoelectronic devices and a fruitful playground for fundamental physics. Ultra-thin nanowires, with diameters below 10 nm, present exotic quantum effects due to the confinement of the wave functions, e.g. widening of the electronic band-gap, deepening of the dopant states. However, although several reports of sub-10 nm wires exist to date, the most common NWs have diameters that range from 20 to 200 nm, where these quantum effects are absent or play a very minor role. Yet, the research activity on this field is very intense and these materials still promise to provide an important paradigm shift for the design of emerging electronic devices and different kinds of applications. A legitimate question is then: what makes a nanowire different from bulk systems? The answer is certainly the large surface-to-volume ratio. In this article we discuss the most salient features of surface physics and chemistry in group-IV semiconducting nanowires, focusing mostly on Si NWs. First we review the state-of-the-art of NW growth to achieve a smooth and controlled surface morphology. Next we discuss the importance of a proper surface passivation and its role on the NW electronic properties. Finally, stressing the importance of a large surface-to-volume ratio and emphasizing the fact that in a NW the surface is where most of the action takes place, we discuss molecular sensing and molecular doping.

  3. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  4. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  5. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  6. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  7. SbSI Nanosensors: from Gel to Single Nanowire Devices

    NASA Astrophysics Data System (ADS)

    Mistewicz, Krystian; Nowak, Marian; Paszkiewicz, Regina; Guiseppi-Elie, Anthony

    2017-02-01

    The gas-sensing properties of antimony sulfoiodide (SbSI) nanosensors have been tested for humidity and carbon dioxide in nitrogen. The presented low-power SbSI nanosensors have operated at relatively low temperature and have not required heating system for recovery. Functionality of sonochemically prepared SbSI nanosensors made of xerogel as well as single nanowires has been compared. In the latter case, small amount of SbSI nanowires has been aligned in electric field and bonded ultrasonically to Au microelectrodes. The current and photocurrent responses of SbSI nanosensors have been investigated as function of relative humidity. Mechanism of light-induced desorption of H2O from SbSI nanowires' surface has been discussed. SbSI nanosensors have been tested for concentrations from 51 to 106 ppm of CO2 in N2, exhibiting a low detection limit of 40(31) ppm. The current response sensitivity has shown a tendency to decrease with increasing CO2 concentration. The experimental results have been explained taking into account proton-transfer process and Grotthuss' chain reaction, as well as electronic theory of adsorption and catalysis on semiconductors.

  8. Ultrafast Carbon Dioxide Sorption Kinetics Using Lithium Silicate Nanowires.

    PubMed

    Nambo, Apolo; He, Juan; Nguyen, Tu Quang; Atla, Veerendra; Druffel, Thad; Sunkara, Mahendra

    2017-06-14

    In this paper, the Li 4 SiO 4 nanowires (NWs) were shown to be promising for CO 2 capture with ultrafast kinetics. Specifically, the nanowire powders exhibited an uptake of 0.35 g g -1 of CO 2 at an ultrafast adsorption rate of 0.22 g g -1 min -1 at 650-700 °C. Lithium silicate (Li 4 SiO 4 ) nanowires and nanopowders were synthesized using a "solvo-plasma" technique involving plasma oxidation of silicon precursors mixed with lithium hydroxide. The kinetic parameter values (k) extracted from sorption kinetics obtained using NW powders are 1 order of magnitude higher than those previously reported for the Li 4 SiO 4 -CO 2 reaction system. The time scales for CO 2 sorption using nanowires are approximately 3 min and two orders magnitude faster compared to those obtained using lithium silicate powders with spherical morphologies and aggregates. Furthermore, Li 4 SiO 4 nanowire powders showed reversibility through sorption-desorption cycles indicating their suitability for CO 2 capture applications. All of the morphologies of Li 4 SiO 4 powders exhibited a double exponential behavior in the adsorption kinetics indicating two distinct time constants for kinetic and the mass transfer limited regimes.

  9. Unique features of laterally aligned GeSi nanowires self-assembled on the vicinal Si (001) surface misoriented toward the [100] direction

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Vastola, Guglielmo; Zhang, Yong-Wei; Ren, Qijun; Fan, Yongliang; Zhong, Zhenyang

    2015-03-01

    We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth mechanism during heteroepitaxial growth, but also pave a prominent way to fabricate and meanwhile modulate laterally aligned and dislocation-free NWs.We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth

  10. A High-Efficiency Si Nanowire Array/Perovskite Hybrid Solar Cell.

    PubMed

    Yan, Xin; Zhang, Chen; Wang, Jiamin; Zhang, Xia; Ren, Xiaomin

    2017-12-01

    A low-cost Si nanowire array/perovskite hybrid solar cell is proposed and simulated. The solar cell consists of a Si p-i-n nanowire array filled with CH 3 NH 3 PbI 3 , in which both the nanowires and perovskite absorb the incident light while the nanowires act as the channels for transporting photo-generated electrons and holes. The hybrid structure has a high absorption efficiency in a broad wavelength range of 300~800 nm. A large short-circuit current density of 28.8 mA/cm 2 and remarkable conversion efficiency of 13.3% are obtained at a thin absorber thickness of 1.6 μm, which are comparable to the best results of III-V nanowire solar cells.

  11. Dependence of Morphology of SiOx Nanowires on the Supersaturation of Au-Si Alloy Liquid Droplets Formed on the Au-Coated Si Substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Han; Li, Ji-Xue; Jin, Ai-Zi; Zhang, Ze

    2001-11-01

    A thermodynamic theory about the dependence of morphology of SiOx nanowires on the super-saturation of alloy liquid droplets has been proposed on the basis of the vapour-liquid-solid growth mechanism and has been supported experimentally. By changing the Si concentration in the Au-Si liquid droplets formed on the Au-coated Si substrate, firework-, tulip- and bud-shaped SiOx nanowires were synthesized by a thermal evaporation method and distributed concentrically around some void defects in the Si substrate. Voids were formed underneath the surface of the Si substrate during the thermal evaporation at 850°C and resulted in the Si-concentration deficient thus different saturation of Au-Si droplets. Electron microscopy analysis showed that the nanowires had an amorphous structure and were terminated by Au-Si particles.

  12. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  13. Cross-sectional aspect ratio modulated electronic properties in Si/Ge core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nuo; Lu, Ning; Yao, Yong-Xin

    2013-02-28

    Electronic structures of (4, n) and (m, 4) (the NW has m layers parallel to the {1 1 1} facet and n layers parallel to {1 1 0}) Si/Ge core/shell nanowires (NWs) along the [1 1 2] direction with cross-sectional aspect ratio (m/n) from 0.36 to 2.25 are studied by first-principles calculations. An indirect to direct band gap transition is observed as m/n decreases, and the critical values of m/n and diameter for the transition are also estimated. The size of the band gap also depends on the aspect ratio. These results suggest that m/n plays an important role inmore » modulating the electronic properties of the NWs.« less

  14. Functionalization of silicon nanowires by conductive and non-conductive polymers

    NASA Astrophysics Data System (ADS)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  15. In situ control of synchronous germanide/silicide reactions with Ge/Si core/shell nanowires to monitor formation and strain evolution in abrupt 2.7 nm channel length

    DOE PAGES

    Chen, Renjie; Nguyen, Binh-Minh; Tang, Wei; ...

    2017-05-22

    The metal-semiconductor interface in self-aligned contact formation can determine the overall performance of nanoscale devices. This interfacial morphology is predicted and well researched in homogenous semiconductor nanowires (NWs) but was not pursued in heterostructured core/shell nanowires. Here, we found here that the solid-state reactions between Ni and Ge/Si core/shell nanowires resulted in a protruded and a leading NiSiy segment into the channel. A single Ni 2Ge/NiSi y to Ge/Si core/shell interface was achieved by the selective shell removal near the Ni source/drain contact areas. In using in situ transmission electron microscopy, we measured the growth rate and anisotropic strain evolutionmore » in ultra-short channels. We also found elevated compressive strains near the interface between the compound contact and the NW and relatively lower strains near the center of the channel which increased exponentially below the 10 nm channel length to exceed 10% strain at ~3 nm lengths. These compressive strains are expected to result in a non-homogeneous energy band structure in Ge/Si core/shell NWs below 10 nm and potentially benefit their transistor performance.« less

  16. In situ control of synchronous germanide/silicide reactions with Ge/Si core/shell nanowires to monitor formation and strain evolution in abrupt 2.7 nm channel length

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Renjie; Nguyen, Binh-Minh; Tang, Wei

    The metal-semiconductor interface in self-aligned contact formation can determine the overall performance of nanoscale devices. This interfacial morphology is predicted and well researched in homogenous semiconductor nanowires (NWs) but was not pursued in heterostructured core/shell nanowires. Here, we found here that the solid-state reactions between Ni and Ge/Si core/shell nanowires resulted in a protruded and a leading NiSiy segment into the channel. A single Ni 2Ge/NiSi y to Ge/Si core/shell interface was achieved by the selective shell removal near the Ni source/drain contact areas. In using in situ transmission electron microscopy, we measured the growth rate and anisotropic strain evolutionmore » in ultra-short channels. We also found elevated compressive strains near the interface between the compound contact and the NW and relatively lower strains near the center of the channel which increased exponentially below the 10 nm channel length to exceed 10% strain at ~3 nm lengths. These compressive strains are expected to result in a non-homogeneous energy band structure in Ge/Si core/shell NWs below 10 nm and potentially benefit their transistor performance.« less

  17. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  18. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  19. Electrical properties of sub-100 nm SiGe nanowires

    NASA Astrophysics Data System (ADS)

    Hamawandi, B.; Noroozi, M.; Jayakumar, G.; Ergül, A.; Zahmatkesh, K.; Toprak, M. S.; Radamson, H. H.

    2016-10-01

    In this study, the electrical properties of SiGe nanowires in terms of process and fabrication integrity, measurement reliability, width scaling, and doping levels were investigated. Nanowires were fabricated on SiGe-on oxide (SGOI) wafers with thickness of 52 nm and Ge content of 47%. The first group of SiGe wires was initially formed by using conventional I-line lithography and then their size was longitudinally reduced by cutting with a focused ion beam (FIB) to any desired nanometer range down to 60 nm. The other nanowire group was manufactured directly to a chosen nanometer level by using sidewall transfer lithography (STL). It has been shown that the FIB fabrication process allows manipulation of the line width and doping level of nanowires using Ga atoms. The resistance of wires thinned by FIB was 10 times lower than STL wires which shows the possible dependency of electrical behavior on fabrication method. Project support by the Swedish Foundation for Strategic Research “SSF” (No. EM-011-0002) and the Scientific and Technological Research Council of Turkey (No. TÜBİTAK).

  20. Photoconductivity, pH Sensitivity, Noise, and Channel Length Effects in Si Nanowire FET Sensors

    NASA Astrophysics Data System (ADS)

    Gasparyan, Ferdinand; Zadorozhnyi, Ihor; Khondkaryan, Hrant; Arakelyan, Armen; Vitusevich, Svetlana

    2018-03-01

    Silicon nanowire (NW) field-effect transistor (FET) sensors of various lengths were fabricated. Transport properties of Si NW FET sensors were investigated involving noise spectroscopy and current-voltage (I-V) characterization. The static I-V dependencies demonstrate the high quality of fabricated silicon FETs without leakage current. Transport and noise properties of NW FET structures were investigated under different light illumination conditions, as well as in sensor configuration in an aqueous solution with different pH values. Furthermore, we studied channel length effects on the photoconductivity, noise, and pH sensitivity. The magnitude of the channel current is approximately inversely proportional to the length of the current channel, and the pH sensitivity increases with the increase of channel length approaching the Nernst limit value of 59.5 mV/pH. We demonstrate that dominant 1/f-noise can be screened by the generation-recombination plateau at certain pH of the solution or external optical excitation. The characteristic frequency of the generation-recombination noise component decreases with increasing of illumination power. Moreover, it is shown that the measured value of the slope of 1/f-noise spectral density dependence on the current channel length is 2.7 which is close to the theoretically predicted value of 3.

  1. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    nanowires grown in the AAO membranes was then compared to the resistivity of silicon nanowires grown on Si and measured using single wire four-point measurements. It was determined that the undoped silicon nanowires grown in AAO have a lower resistivity compared to nanowires grown on Si substrates. This indicates the presence of an unintentional acceptor. The resistivity of the silicon nanowires was found to change as the dopant/SiH4 ratio was varied during growth. The growth and doping conditions developed from this study were then used to fabricate p-type SiNW arrays on the AAO coated glass substrates. The final investigation in this thesis focused on the development of a process for radial coating of an n-type Si layer on the p-type Si nanowires. While prior studies demonstrated the fabrication of polycrystalline n-type Si shell layers on Si nanowires, an epitaxial n-type Si shell layer is ultimately of interest to obtain a high quality p-n interface. Initial n-type Si thin film deposition studies were carried out on sapphire substrates using SiH 4 as the silicon precursor to investigate the effect of growth conditions on thickness uniformity, growth rate and doping level. High growth temperatures (>900°C) are generally desired for achieving epitaxial growth; however, gas phase depletion of the SiH4 source along the length of the reactor resulted in poor thickness uniformity. To improve the uniformity, the substrate was shifted closer to the gas inlet at higher temperatures (950°C) and the total flow of gas through the reactor was increased to 200 sccm. A series of n-type doping experiments were also carried out. Hall measurements indicated n-type behavior and four-point measurements yielded a change in resistivity based on the PH3/SiH4 ratio. Pre-coating sample preparation was determined to be important for achieving a high quality Si shell layer. Since Au can diffuse down the sides of the nanowire during sample cooldown after growth, the Au tips were etched away

  2. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  3. Engineering Graphene Quantum Dots for Enhanced Ultraviolet and Visible Light p-Si Nanowire-Based Photodetector.

    PubMed

    Mihalache, Iuliana; Radoi, Antonio; Pascu, Razvan; Romanitan, Cosmin; Vasile, Eugenia; Kusko, Mihaela

    2017-08-30

    In this work, a significant improvement of the classical silicon nanowire (SiNW)-based photodetector was achieved through the realization of core-shell structures using newly designed GQD PEI s via simple solution processing. The poly(ethyleneimine) (PEI)-assisted synthesis successfully tuned both optical and electrical properties of graphene quantum dots (GQDs) to fulfill the requirements for strong yellow photoluminescence emission along with large band gap formation and the introduction of electronic states inside the band gap. The fabrication of a GQD PEI -based device was followed by systematic structural and photoelectronic investigation. Thus, the GQD PEI /SiNW photodetector exhibited a large photocurrent to dark current ratio (I ph /I dark up to ∼0.9 × 10 2 under 4 V bias) and a remarkable improvement of the external quantum efficiency values that far exceed 100%. In this frame, GQD PEI s demonstrate the ability to arbitrate both charge-carrier photogeneration and transport inside a heterojunction, leading to simultaneous attendance of various mechanisms: (i) efficient suppression of the dark current governed by the type I alignment in energy levels, (ii) charge photomultiplication determined by the presence of the PEI-induced electron trap levels, and (iii) broadband ultraviolet-to-visible downconversion effects.

  4. Spontaneous emission inhibition of telecom-band quantum disks inside single nanowire on different substrates.

    PubMed

    Birowosuto, M D; Zhang, G; Yokoo, A; Takiguchi, M; Notomi, M

    2014-05-19

    We investigate the inhibited spontaneous emission of telecom-band InAs quantum disks (Qdisks) in InP nanowires (NWs). We have evaluated how the inhibition is affected by different disk diameter and thickness. We also compared the inhibition in standing InP NWs and those NWs laying on silica (SiO(2)), and silicon (Si) substrates. We found that the inhibition is altered when we put the NW on the high-refractive-index materials of Si. Experimentally, the inhibition factor ζ of the Qdisk emission at 1,500 nm decreases from 4.6 to 2.5 for NW on SiO(2) and Si substrates, respectively. Those inhibitions are even much smaller than that of 6.4 of the standing NW. The inhibition factors well agree with those calculated from the coupling of the Qdisk to the fundamental guided mode and the continuum of radiative modes. Our observation can be useful for the integration of the NW as light sources in the photonic nanodevices.

  5. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.

    PubMed

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei

    2015-11-16

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.

  6. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire

    PubMed Central

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C.; Luo, Tengfei

    2015-01-01

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics. PMID:26568511

  7. Nanoparticle-density-dependent field emission of surface-decorated SiC nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Qizheng; School of Materials and Chemical Engineering, Ningbo University of Technology, Ningbo City 315016; State Key Lab of New Fine Ceramics and Fine Processing, Tsinghua University, Beijing City 100084

    2016-08-22

    Increasing the electron emission site density of nanostructured emitters with limited field screening effects is one of the key issues for improving the field emission (FE) properties. In this work, we reported the Au-nanoparticles-density-dependent field emission behaviors of surface-decorated SiC nanowires. The Au nanoparticles (AuNPs) decorated around the surface of the SiC nanowires were achieved via an ion sputtering technique, by which the densities of the isolated AuNPs could be adjusted by controlling the fixed sputtering times. The measured FE characteristics demonstrated that the turn-on fields of the SiC nanowires were tuned to be of 2.06, 1.14, and 3.35 V/μm withmore » the increase of the decorated AuNPs densities, suggesting that a suitable decorated AuNPs density could render the SiC nanowires with totally excellent FE performances by increasing the emission sites and limiting the field screening effects.« less

  8. Negative Photoconductance in Heavily Doped Si Nanowire Field-Effect Transistors.

    PubMed

    Baek, Eunhye; Rim, Taiuk; Schütt, Julian; Baek, Chang-Ki; Kim, Kihyun; Baraban, Larysa; Cuniberti, Gianaurelio

    2017-11-08

    We report the first observation of negative photoconductance (NPC) in n- and p-doped Si nanowire field-effect transistors (FETs) and demonstrate the strong influence of doping concentrations on the nonconventional optical switching of the devices. Furthermore, we show that the NPC of Si nanowire FETs is dependent on the wavelength of visible light due to the phonon-assisted excitation to multiple conduction bands with different band gap energies that would be a distinct optoelectronic property of indirect band gap semiconductor. We attribute the main driving force of NPC in Si nanowire FETs to the photogenerated hot electrons trapping by dopants ions and interfacial states. Finally, comparing back- and top-gate modulation, we derive the mechanisms of the transition between negative and positive photoconductance regimes in nanowire devices. The transition is decided by the competition between the light-induced interfacial trapping and the recombination of mobile carriers, which is dependent on the light intensity and the doping concentration.

  9. Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires

    NASA Astrophysics Data System (ADS)

    Kagimura, R.; Nunes, R. W.; Chacham, H.

    2007-01-01

    We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.

  10. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  11. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along theirmore » edges.« less

  12. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  13. Fabrication of vertical nanowire resonators for aerosol exposure assessment

    NASA Astrophysics Data System (ADS)

    Merzsch, Stephan; Wasisto, Hutomo Suryo; Stranz, Andrej; Hinze, Peter; Weimann, Thomas; Peiner, Erwin; Waag, Andreas

    2013-05-01

    Vertical silicon nanowire (SiNW) resonators are designed and fabricated in order to assess exposure to aerosol nanoparticles (NPs). To realize SiNW arrays, nanolithography and inductively coupled plasma (ICP) deep reactive ion etching (DRIE) at cryogenic temperature are utilized in a top-down fabrication of SiNW arrays which have high aspect ratios (i.e., up to 34). For nanolithography process, a resist film thickness of 350 nm is applied in a vacuum contact mode to serve as a mask. A pattern including various diameters and distances for creating pillars is used (i.e., 400 nm up to 5 μm). In dry etching process, the etch rate is set high of 1.5 μm/min to avoid underetching. The etch profiles of Si wires can be controlled aiming to have either perpendicularly, negatively or positively profiled sidewalls by adjusting the etching parameters (e.g., temperature and oxygen content). Moreover, to further miniaturize the wire, multiple sacrificial thermal oxidations and subsequent oxide stripping are used yielding SiNW arrays of 650 nm in diameter and 40 μm in length. In the resonant frequency test, a piezoelectric shear actuator is integrated with the SiNWs inside a scanning electron microscope (SEM) chamber. The observation of the SiNW deflections are performed and viewed from the topside of the SiNWs to reduce the measurement redundancy. Having a high deflection of ~10 μm during its resonant frequency of 452 kHz and a low mass of 31 pg, the proposed SiNW is potential for assisting the development of a portable aerosol resonant sensor.

  14. Gamma ray irradiated silicon nanowires: An effective model to investigate defects at the interface of Si/SiOx

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Kui; Zhao, Yi; Liu, Liangbin

    2014-01-20

    The effect of gamma ray irradiation on silicon nanowires was investigated. Here, an additional defect emerged in the gamma-ray-irradiated silicon nanowires and was confirmed with electron spin resonance spectra. {sup 29}Si nuclear magnetic resonance spectroscopy showed that irradiation doses had influence on the Q{sup 4} unit structure. This phenomenon indicated that the unique core/shell structure of silicon nanowires might contribute to induce metastable defects under gamma ray irradiation, which served as a satisfactory model to investigate defects at the interface of Si/SiOx.

  15. Fullerene C60 coated silicon nanowires as anode materials for lithium secondary batteries.

    PubMed

    Arie, Arenst Andreas; Lee, Joong Kee

    2012-04-01

    A Fullerene C60 film was introduced as a coating layer for silicon nanowires (Si NWs) by a plasma assisted thermal evaporation technique. The morphology and structural characteristics of the materials were studied by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). SEM observations showed that the shape of the nanowire structure was maintained after the C60 coating and the XPS analysis confirmed the presence of the carbon coating layer. The electrochemical characteristics of C60 coated Si NWs as anode materials were examined by charge-discharge tests and electrochemical impedance measurements. With the C60 film coating, Si NW electrodes exhibited a higher initial coulombic efficiency of 77% and a higher specific capacity of 2020 mA h g(-1) after the 30th cycle at a current density of 100 microA cm(-2) with cut-off voltage between 0-1.5 V. These improved electrochemical characteristics are attributed to the presence of the C60 coating layer which suppresses side reaction with the electrolyte and maintains the structural integrity of the Si NW electrodes during cycle tests.

  16. Artificial sensing intelligence with silicon nanowires for ultraselective detection in the gas phase.

    PubMed

    Wang, Bin; Cancilla, John C; Torrecilla, Jose S; Haick, Hossam

    2014-02-12

    The use of molecularly modified Si nanowire field effect transistors (SiNW FETs) for selective detection in the liquid phase has been successfully demonstrated. In contrast, selective detection of chemical species in the gas phase has been rather limited. In this paper, we show that the application of artificial intelligence on deliberately controlled SiNW FET device parameters can provide high selectivity toward specific volatile organic compounds (VOCs). The obtained selectivity allows identifying VOCs in both single-component and multicomponent environments as well as estimating the constituent VOC concentrations. The effect of the structural properties (functional group and/or chain length) of the molecular modifications on the accuracy of VOC detection is presented and discussed. The reported results have the potential to serve as a launching pad for the use of SiNW FET sensors in real-world counteracting conditions and/or applications.

  17. Reflectance and fast polarization dynamics of GaN/Si nanowire ensemble.

    PubMed

    Korona, Krzysztof Piotr; Zytkiewicz, Zbigniew R; Sobanska, Marta; Sosada, Florentyna; Dróżdż, Piotr Andrzej; Klosek, Kamil; Tchutchulashvili, Giorgi

    2018-06-25

    Optical phenomena in high-quality GaN nanowires (NWs) ensemble grown on Si substrate have been studied by reflectance and time-resolved luminescence. Such NWs form a structure that acts as a virtual layer that specifically reflects and polarizes light and can be characterized by an effective refractive index. In fact we have found that the NW ensembles of high NW density (high filling fraction) behave rather like a layer of effective medium described by Maxwell Garnett approximation. Moreover, light extinction and strong depolarization are observed that we assign to scattering and interference of light inside the NW ensemble. The wavelength range of high extinction and depolarization correlates well with transverse localization wavelength estimated for such ensemble of NWs, so we suppose that these effects are due to Anderson localization of light. We also report results of time-resolved measurements of polarization of individual emission centers including free and bound excitons (D0XA, 3.47 eV), inversion domain boundaries (IDB, 3.45eV) and stacking faults (SF, 3.42 eV). The emission of the D0XA and SF lines is polarized perpendicular to GaN c-axis while the 3.45 eV line is polarized along the c-axis what supports hypothesis that this line is emitted from IDBs. Time-dependent depolarization of luminescence is observed during the first 0.1 ns after excitation and is interpreted as the result of interaction of the emission centers with hot particles existing during short time after excitation. . © 2018 IOP Publishing Ltd.

  18. Vertical nanowire heterojunction devices based on a clean Si/Ge interface.

    PubMed

    Chen, Lin; Fung, Wayne Y; Lu, Wei

    2013-01-01

    Different vertical nanowire heterojunction devices were fabricated and tested based on vertical Ge nanowires grown epitaxially at low temperatures on (111) Si substrates with a sharp and clean Si/Ge interface. The nearly ideal Si/Ge heterojuctions with controlled and abrupt doping profiles were verified through material analysis and electrical characterizations. In the nSi/pGe heterojunction diode, an ideality factor of 1.16, subpicoampere reverse saturation current, and rectifying ratio of 10(6) were obtained, while the n+Si/p+Ge structure leads to Esaki tunnel diodes with a high peak tunneling current of 4.57 kA/cm(2) and negative differential resistance at room temperature. The large valence band discontinuity between the Ge and Si in the nanowire heterojunctions was further verified in the p+Si/pGe structure, which shows a rectifying behavior instead of an Ohmic contact and raises an important issue in making Ohmic contacts to heterogeneously integrated materials. A raised Si/Ge structure was further developed using a self-aligned etch process, allowing greater freedom in device design for applications such as the tunneling field-effect transistor (TFET). All measurement data can be well-explained and fitted with theoretical models with known bulk properties, suggesting that the Si/Ge nanowire system offers a very clean heterojunction interface with low defect density, and holds great potential as a platform for future high-density and high-performance electronics.

  19. Photocurrent enhancement of SiNW-FETs by integrating protein-shelled CdSe quantum dots

    NASA Astrophysics Data System (ADS)

    Moh, Sang Hyun; Kulkarni, Atul; San, Boi Hoa; Lee, Jeong Hun; Kim, Doyoun; Park, Kwang Su; Lee, Min Ho; Kim, Taesung; Kim, Kyeong Kyu

    2016-01-01

    We proposed a new strategy to increase the photoresponsivity of silicon NW field-effect transistors (FETs) by integrating CdSe quantum dots (QDs) using protein shells (PSs). CdSe QDs were synthesized using ClpP, a bacterial protease, as protein shells to control the size and stability of QD and to facilitate the mounting of QDs on SiNWs. The photocurrent of SiNW-FETs in response to light at a wavelength of 480 nm was enhanced by a factor of 6.5 after integrating CdSe QDs because of the coupling of the optical properties of SiNWs and QDs. As a result, the photoresponsivity to 480 nm light reached up to 3.1 × 106, the highest value compared to other SiNW-based devices in the visible light range.We proposed a new strategy to increase the photoresponsivity of silicon NW field-effect transistors (FETs) by integrating CdSe quantum dots (QDs) using protein shells (PSs). CdSe QDs were synthesized using ClpP, a bacterial protease, as protein shells to control the size and stability of QD and to facilitate the mounting of QDs on SiNWs. The photocurrent of SiNW-FETs in response to light at a wavelength of 480 nm was enhanced by a factor of 6.5 after integrating CdSe QDs because of the coupling of the optical properties of SiNWs and QDs. As a result, the photoresponsivity to 480 nm light reached up to 3.1 × 106, the highest value compared to other SiNW-based devices in the visible light range. Electronic supplementary information (ESI) available: Materials and methods. See DOI: 10.1039/c5nr07901b

  20. Electrical characteristics of silicon nanowire CMOS inverters under illumination.

    PubMed

    Yoo, Jeuk; Kim, Yoonjoong; Lim, Doohyeok; Kim, Sangsig

    2018-02-05

    In this study, we examine the electrical characteristics of complementary metal-oxide-semiconductor (CMOS) inverters with silicon nanowire (SiNW) channels on transparent substrates under illumination. The electrical characteristics vary with the wavelength and power of light due to the variation in the generation rates of the electric-hole pairs. Compared to conventional optoelectronic devices that sense the on/off states by the variation in the current, our device achieves the sensing of the on/off states with more precision by using the voltage variation induced by the wavelength or intensity of light. The device was fabricated on transparent substrates to maximize the light absorption using conventional CMOS technologies. The key difference between our SiNW CMOS inverters and conventional optoelectronic devices is the ability to control the flow of charge carriers more effectively. The improved sensitivity accomplished with the use of SiNW CMOS inverters allows better control of the on/off states.

  1. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  2. Ultra-sensitive and selective detection of mercury ion (Hg2+) using free-standing silicon nanowire sensors

    NASA Astrophysics Data System (ADS)

    Jin, Yan; Gao, Anran; Jin, Qinghui; Li, Tie; Wang, Yuelin; Zhao, Jianlong

    2018-04-01

    In this paper, ultra-sensitive and highly selective Hg2+ detection in aqueous solutions was studied by free-standing silicon nanowire (SiNW) sensors. The all-around surface of SiNW arrays was functionalized with (3-Mercaptopropyl)trimethoxysilane serving as Hg2+ sensitive layer. Due to effective electrostatic control provided by the free-standing structure, a detection limit as low as 1 ppt was obtained. A linear relationship (R 2 = 0.9838) between log(CHg2+ ) and a device current change from 1 ppt to 5 ppm was observed. Furthermore, the developed SiNW sensor exhibited great selectivity for Hg2+ over other heavy metal ions, including Cd2+. Given the extraordinary ability for real-time Hg2+ detection, the small size and low cost of the SiNW device, it is expected to be a potential candidate in field detection of environmentally toxic mercury.

  3. Characterization of electrical properties in axial Si-Ge nanowire heterojunctions using off-axis electron holography and atom-probe tomography

    DOE PAGES

    Gan, Zhaofeng; Perea, Daniel E.; Yoo, Jinkyoung; ...

    2016-09-13

    Doped Si-Ge nanowire (NW) heterojunctions were grown using the vapor-liquid-solid method with AuGa and Au catalyst particles. Transmission electron microscopy and off-axis electron holography (EH) were used to characterize the nanostructure and to measure the electrostatic potential profile across the junction resulting from electrically active dopants, while atom-probe tomography (APT) was used to determine the Si, Ge and total (active and inactive) dopant concentration profiles. A comparison of the measured potential profile with simulations indicated that Ga dopants unintentionally introduced during AuGa catalyst growth were electronically inactive despite APT results that showed considerable amounts of Ga in the Si region.more » 10% P in Ge and 100% B in Si were estimated to be activated, which was corroborated by in situ electron-holography biasing experiments. This combination of EH, APT, in situ biasing and simulations allows a better knowledge and understanding of the electrically active dopant distributions in NWs.« less

  4. Electrical characterization of strained and unstrained silicon nanowires with nickel silicide contacts.

    PubMed

    Habicht, S; Zhao, Q T; Feste, S F; Knoll, L; Trellenkamp, S; Ghyselen, B; Mantl, S

    2010-03-12

    We present electrical characterization of nickel monosilicide (NiSi) contacts formed on strained and unstrained silicon nanowires (NWs), which were fabricated by top-down processing of initially As(+) implanted and activated strained and unstrained silicon-on-insulator (SOI) substrates. The resistivity of doped Si NWs and the contact resistivity of the NiSi to Si NW contacts are studied as functions of the As(+) ion implantation dose and the cross-sectional area of the wires. Strained silicon NWs show lower resistivity for all doping concentrations due to their enhanced electron mobility compared to the unstrained case. An increase in resistivity with decreasing cross section of the NWs was observed for all implantation doses. This is ascribed to the occurrence of dopant deactivation. Comparing the silicidation of uniaxially tensile strained and unstrained Si NWs shows no difference in silicidation speed and in contact resistivity between NiSi/Si NW. Contact resistivities as low as 1.2 x 10(-8) Omega cm(-2) were obtained for NiSi contacts to both strained and unstrained Si NWs. Compared to planar contacts, the NiSi/Si NW contact resistivity is two orders of magnitude lower.

  5. Effects of silicon nanowire morphology on optical properties and hybrid solar cell performance

    NASA Astrophysics Data System (ADS)

    Syu, Hong-Jhang; Shiu, Shu-Chia; Hung, Yung-Jr; Lee, San-Liang; Lin, Ching-Fuh

    2012-10-01

    Silicon nanowire (SiNW) arrays are widespread applied on hybrid photovoltaic devices because SiNW arrays can substitute the pyramid texture and anti-reflection coating due to its strong light trapping. Also, SiNWs can be prepared through a cost-efficient process of metal-assisted chemical etching. However, though longer SiNW arrays have lower reflectance, the top of long SiNWs aggregate together to make junction synthesis difficult for SiNW/organic hybrid solar cell. To control and analyze the effect of SiNW array morphology on hybrid solar cells, here we change the metal deposition condition for metal-assisted chemical etching to obtain different SiNW array morphologies. The experiment was separated to two groups, by depositing metal, say, Ag, before etching (BE) or during etching (DE). For group BE, Ag was deposited on n-type Si (n-Si) wafers by thermal evaporation; then etched by H2O2 and HF. For group DE, n-Si was etched by Ag+ and HF directly. Ag was deposited on n-Si during etching process. Afterwards, residual Ag and SiO2 were removed by HNO3 and buffered HF, successively; then Ti and Ag were evaporated on the bottom of Si to be a cathode. Finally, SiNWs were stuck on the poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) that was spincoated on the ITO coated glass to form SiNW/organic heterojunction. The results show that group BE has reflectance lower than that in group DE in solar spectrum. However, group BE has smaller power conversion efficiency (PCE) of 8.65% and short-circuit current density (Jsc) of 24.94 mA/cm2 than group DE of PCE of 9.47% and Jsc of 26.81 mA/cm2.

  6. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    PubMed Central

    2010-01-01

    We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively. PMID:21076699

  7. Fabrication of Coaxial Si1- x Ge x Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    NASA Astrophysics Data System (ADS)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-10-01

    We report on bifurcate reactions on the surface of well-aligned Si1- x Ge x nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1- x Ge x nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1- x Ge x or SiO2/Si1- x Ge x coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  8. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    PubMed

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  9. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  10. Contact inspection of Si nanowire with SEM voltage contrast

    NASA Astrophysics Data System (ADS)

    Ohashi, Takeyoshi; Yamaguchi, Atsuko; Hasumi, Kazuhisa; Ikota, Masami; Lorusso, Gian; Horiguchi, Naoto

    2018-03-01

    A methodology to evaluate the electrical contact between nanowire (NW) and source/drain (SD) in NW FETs was investigated with SEM voltage contrast (VC). The electrical defects were robustly detected by VC. The validity of the inspection result was verified by TEM physical observations. Moreover, estimation of the parasitic resistance and capacitance was achieved from the quantitative analysis of VC images which were acquired with different scan conditions of electron beam (EB). A model considering the dynamics of EB-induce charging was proposed to calculate the VC. The resistance and capacitance can be determined by comparing the model-based VC with experimentally obtained VC. Quantitative estimation of resistance and capacitance would be valuable not only for more accurate inspection, but also for identification of the defect point.

  11. Theoretical prediction of novel ultrafine nanowires formed by Si12C12 cage-like clusters

    NASA Astrophysics Data System (ADS)

    Yong, Yongliang; Song, Bin; He, Pimo

    2014-02-01

    Using density functional theory calculations, we predict that novel SiC ultrafine nanowires can be produced via the coalescence of stable Si12C12 clusters. For the isolated Si12C12 clusters, we find that the cage-like structure with a distinct segregation between Si and C atoms is energetically more favourable than the fullerene-like structure with alternating Si-C bonds. Via the coalescence of Si12C12 clusters, three novel stable nanowires have been characterised. The band structure reveals that these nanowires are semiconductors with narrow gap, indicating that they may be used as infrared detectors and thermoelectrics.

  12. Ballistic One-Dimensional InAs Nanowire Cross-Junction Interconnects.

    PubMed

    Gooth, Johannes; Borg, Mattias; Schmid, Heinz; Schaller, Vanessa; Wirths, Stephan; Moselund, Kirsten; Luisier, Mathieu; Karg, Siegfried; Riel, Heike

    2017-04-12

    Coherent interconnection of quantum bits remains an ongoing challenge in quantum information technology. Envisioned hardware to achieve this goal is based on semiconductor nanowire (NW) circuits, comprising individual NW devices that are linked through ballistic interconnects. However, maintaining the sensitive ballistic conduction and confinement conditions across NW intersections is a nontrivial problem. Here, we go beyond the characterization of a single NW device and demonstrate ballistic one-dimensional (1D) quantum transport in InAs NW cross-junctions, monolithically integrated on Si. Characteristic 1D conductance plateaus are resolved in field-effect measurements across up to four NW-junctions in series. The 1D ballistic transport and sub-band splitting is preserved for both crossing-directions. We show that the 1D modes of a single injection terminal can be distributed into multiple NW branches. We believe that NW cross-junctions are well-suited as cross-directional communication links for the reliable transfer of quantum information as required for quantum computational systems.

  13. High-performance silicon nanowire field-effect transistor with silicided contacts

    NASA Astrophysics Data System (ADS)

    Rosaz, G.; Salem, B.; Pauc, N.; Gentile, P.; Potié, A.; Solanki, A.; Baron, T.

    2011-08-01

    Undoped silicon nanowire (Si NW) field-effect transistors (FETs) with a back-gate configuration have been fabricated and characterized. A thick (200 nm) Si3N4 layer was used as a gate insulator and a p++ silicon substrate as a back gate. Si NWs have been grown by the chemical vapour deposition method using the vapour-liquid-solid mechanism and gold as a catalyst. Metallic contacts have been deposited using Ni/Al (80 nm/120 nm) and characterized before and after an optimized annealing step at 400 °C, which resulted in a great decrease in the contact resistance due to the newly formed nickel silicide/Si interface at source and drain. These optimized devices show a good hole mobility of around 200 cm2 V-1 s-1, in the same range as the bulk material, with a good ON current density of about 28 kA cm-2. Finally, hysteretic behaviour of NW channel conductance is discussed to explain the importance of NW surface passivation.

  14. Ten-gram scale SiC@SiO2 nanowires: high-yield synthesis towards industrialization, in situ growth mechanism and their peculiar photoluminescence and electromagnetic wave absorption properties.

    PubMed

    Li, Z J; Yu, H Y; Song, G Y; Zhao, J; Zhang, H; Zhang, M; Meng, A L; Li, Q D

    2017-02-01

    SiC@SiO 2 nanowires, as a functional nanocomposite, have attracted widespread attention due to their fascinating performance and broad application prospect. However, the low-cost, high yield preparation of large-scale SiC@SiO 2 nanowires is still a bottleneck, which hinders their industrial application. Herein, a carbothermal reduction strategy has been developed to synthesize SiC@SiO 2 nanowires, which breaks through the handicap of the traditional growth pattern that uses the aid of a substrate. Systematic characterization results illustrate that the yield of the as-obtained products greatly depends on the heating rate, and ten-gram scale SiC@SiO 2 nanowires (∼27.2 g) composed of a cubic β-SiC core and homogeneous amorphous SiO 2 coating are achieved under the optimum process parameters. The in situ mechanisms of expansion-insertion-growth and inhibition of expansion-package-obstruction are proposed to rationally interpret the growth process of SiC@SiO 2 nanowires and the effect of various heating rates, respectively. Furthermore, the SiC@SiO 2 nanowires display violet-blue photoluminescence and electromagnetic wave absorption properties. This study not only provides some beneficial suggestions for the commercial production of SiC@SiO 2 nanowires, but also reveals promising applications of SiC@SiO 2 nanowires in the optical and electromagnetic shielding fields. Moreover, the developed novel in situ growth mechanism enriches the growth theory of one-dimension nanomaterials and offers inspiration for their industrial-scale production.

  15. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  16. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  17. Strong polarization-dependent terahertz modulation of aligned Ag nanowires on Si substrate.

    PubMed

    Lee, Gyuseok; Maeng, Inhee; Kang, Chul; Oh, Myoung-Kyu; Kee, Chul-Sik

    2018-05-14

    Optically tunable, strong polarization-dependent transmission of terahertz pulses through aligned Ag nanowires on a Si substrate is demonstrated. Terahertz pulses primarily pass through the Ag nanowires and the transmittance is weakly dependent on the angle between the direction of polarization of the terahertz pulse and the direction of nanowire alignment. However, the transmission of a terahertz pulse through optically excited materials strongly depends on the polarization direction. The extinction ratio increases as the power of the pumping laser increases. The enhanced polarization dependency is explained by the redistribution of photocarriers, which accelerates the sintering effect along the direction of alignment of the Ag nanowires. The photocarrier redistribution effect is examined by the enhancement of terahertz emission from the sample. Oblique metal nanowires on Si could be utilized for designing optically tunable terahertz polarization modulators.

  18. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  19. Structure, morphology, and photoluminescence of porous Si nanowires: effect of different chemical treatments

    PubMed Central

    2013-01-01

    The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material. PMID:24025542

  20. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  1. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  2. Graphene quantum dots modified silicon nanowire array for ultrasensitive detection in the gas phase

    NASA Astrophysics Data System (ADS)

    Li, T. Y.; Duan, C. Y.; Zhu, Y. X.; Chen, Y. F.; Wang, Y.

    2017-03-01

    Si nanostructure-based gas detectors have attracted much attention due to their huge surface areas, relatively high carrier mobility, maneuverability for surface functionalization and compatibility to modern electronic industry. However, the unstable surface of Si, especially for the nanostructures in a corrosive atmosphere, hinders their sensitivity and reproducibility when used for detection in the gas phase. In this study, we proposed a novel strategy to fabricate a Si-based gas detector by using the vertically aligned Si nanowire (SiNW) array as a skeleton and platform, and decorated chemically inert graphene quantum dots (GQDs) to protect the SiNWs from oxidation and promote the carriers’ interaction with the analytes. The radial core-shell structures of the GQDs/SiNW array were then assembled into a resistor-based gas detection system and evaluated by using nitrogen dioxide (NO2) as the model analyte. Compared to the bare SiNW array, our novel sensor exhibited ultrahigh sensitivity for detecting trace amounts of NO2 with the concentration as low as 10 ppm in room temperature and an immensely reduced recovery time, which is of significant importance for their practical application. Meanwhile, strikingly, reproducibility and stability could also be achieved by showing no sensitivity decline after storing the GQDs/SiNW array in air for two weeks. Our results demonstrate that protecting the surface of the SiNW array with chemically inert GQDs is a feasible strategy to realize ultrasensitive detection in the gas phase.

  3. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Zhuomin

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiativemore » properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be

  4. Synthesis and electrical characterization of intrinsic and in situ doped Si nanowires using a novel precursor

    PubMed Central

    Molnar, Wolfgang; Wojcik, Tomasz; Pongratz, Peter; Auner, Norbert; Bauch, Christian; Bertagnolli, Emmerich

    2012-01-01

    Summary Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes SinCl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation. PMID:23019552

  5. Broadband High Efficiency Fractal-Like and Diverse Geometry Silicon Nanowire Arrays for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    AL-Zoubi, Omar H.

    Solar energy has many advantages over conventional sources of energy. It is abundant, clean and sustainable. One way to convert solar energy directly into electrical energy is by using the photovoltaic solar cells (PVSC). Despite PVSC are becoming economically competitive, they still have high cost and low light to electricity conversion efficiency. Therefore, increasing the efficiency and reducing the cost are key elements for producing economically more competitive PVSC that would have significant impact on energy market and saving environment. A significant percentage of the PVSC cost is due to the materials cost. For that, thin films PVSC have been proposed which offer the benefits of the low amount of material and fabrication costs. Regrettably, thin film PVSC show poor light to electricity conversion efficiency because of many factors especially the high optical losses. To enhance conversion efficiency, numerous techniques have been proposed to reduce the optical losses and to enhance the absorption of light in thin film PVSC. One promising technique is the nanowire (NW) arrays in general and the silicon nanowire (SiNW) arrays in particular. The purpose of this research is to introduce vertically aligned SiNW arrays with enhanced and broadband absorption covering the entire solar spectrum while simultaneously reducing the amount of material used. To this end, we apply new concept for designing SiNW arrays based on employing diversity of physical dimensions, especially radial diversity within certain lattice configurations. In order to study the interaction of light with SiNW arrays and compute their optical properties, electromagnetic numerical modeling is used. A commercial numerical electromagnetic solver software package, high frequency structure simulation (HFSS), is utilized to model the SiNW arrays and to study their optical properties. We studied different geometries factors that affect the optical properties of SiNW arrays. Based on this study, we

  6. Modification of SiO2 nanowires with metallic nanocrystals from supercritical CO2.

    PubMed

    Ye, Xiang-Rong; Zhang, Hai-Feng; Lin, Yuehe; Wang, Lai-Sheng; Wai, Chien M

    2004-01-01

    Through hydrogen reduction of metal precursors in supercritical CO2, Cu, and Pd, nanocrystals were deposited onto SiO2 nanowires to form different types of nanostructured materials, including nanocrystal-nanowire, spherical aggregation-nanowire, shell-nanowire composites, and "mesoporous" metals supported by the framework of nanowires. This supercritical fluid deposition technique is an attractive approach for modifying nanowires because of its generality and simplicity; the modified nanowires could be useful as catalysts and for further fabrication of multifunctional composites.

  7. Quantifying surface roughness effects on phonon transport in silicon nanowires.

    PubMed

    Lim, Jongwoo; Hippalgaonkar, Kedar; Andrews, Sean C; Majumdar, Arun; Yang, Peidong

    2012-05-09

    Although it has been qualitatively demonstrated that surface roughness can reduce the thermal conductivity of crystalline Si nanowires (SiNWs), the underlying reasons remain unknown and warrant quantitative studies and analysis. In this work, vapor-liquid-solid (VLS) grown SiNWs were controllably roughened and then thoroughly characterized with transmission electron microscopy to obtain detailed surface profiles. Once the roughness information (root-mean-square, σ, correlation length, L, and power spectra) was extracted from the surface profile of a specific SiNW, the thermal conductivity of the same SiNW was measured. The thermal conductivity correlated well with the power spectra of surface roughness, which varies as a power law in the 1-100 nm length scale range. These results suggest a new realm of phonon scattering from rough interfaces, which restricts phonon transport below the Casimir limit. Insights gained from this study can help develop a more concrete theoretical understanding of phonon-surface roughness interactions as well as aid the design of next generation thermoelectric devices.

  8. Realization of radial p-n junction silicon nanowire solar cell based on low-temperature and shallow phosphorus doping

    NASA Astrophysics Data System (ADS)

    Dong, Gangqiang; Liu, Fengzhen; Liu, Jing; Zhang, Hailong; Zhu, Meifang

    2013-12-01

    A radial p-n junction solar cell based on vertically free-standing silicon nanowire (SiNW) array is realized using a novel low-temperature and shallow phosphorus doping technique. The SiNW arrays with excellent light trapping property were fabricated by metal-assisted chemical etching technique. The shallow phosphorus doping process was carried out in a hot wire chemical vapor disposition chamber with a low substrate temperature of 250°C and H2-diluted PH3 as the doping gas. Auger electron spectroscopy and Hall effect measurements prove the formation of a shallow p-n junction with P atom surface concentration of above 1020 cm-3 and a junction depth of less than 10 nm. A short circuit current density of 37.13 mA/cm2 is achieved for the radial p-n junction SiNW solar cell, which is enhanced by 7.75% compared with the axial p-n junction SiNW solar cell. The quantum efficiency spectra show that radial transport based on the shallow phosphorus doping of SiNW array improves the carrier collection property and then enhances the blue wavelength region response. The novel shallow doping technique provides great potential in the fabrication of high-efficiency SiNW solar cells.

  9. Enhancement of Si solar cell efficiency using ZnO nanowires with various diameters

    NASA Astrophysics Data System (ADS)

    Gholizadeh, A.; Reyhani, A.; Parvin, P.; Mortazavi, S. Z.; Mehrabi, M.

    2018-01-01

    Here, Zinc Oxide nanowires are synthesized using thermal chemical vapor deposition of a Zn granulate source and used to enhance a significant Si-solar cell efficiency with simple and low cost method. The nanowires are grown in various O2 flow rates. Those affect the shape, yield, structure and the quality of ZnO nanowires according to scanning electron microscopy and x-ray diffraction analyses. This delineates that the ZnO nanostructure is dependent on the synthesis conditions. The photoluminescence spectroscopy of ZnO indicates optical emission at the Ultra-Violet and blue-green regions whose intensity varies as a function of diameter of ZnO nano-wires. The optical property of ZnO layer is measured by UV-visible and diffuse reflection spectroscopy that demonstrate high absorbance at 280-550 nm. Furthermore, the photovoltaic characterization of ZnO nanowires is investigated based on the drop casting on Si-solar cell. The ZnO nanowires with various diameters demonstrate different effects on the efficiency of Si-solar cells. We have shown that the reduction of the spectral reflectance and down-shifting process as well as the reduction of photon trapping are essential parameters on the efficiency of Si-solar cells. However, the latter is dominated here. In fact, the trapped photons during the electron-hole generation are dominant due to lessening the absorption rate in ZnO nano-wires. The results indicate that the mean diameters reduction of ZnO nanowires is also essential to improve the fill factor. The external and internal quantum efficiency analyses attest the efficiency improvement over the blue region which is related to the key parameters above.

  10. SiC Nanowires Synthesized by Rapidly Heating a Mixture of SiO and Arc-Discharge Plasma Pretreated Carbon Black.

    PubMed

    Wang, Feng-Lei; Zhang, Li-Ying; Zhang, Ya-Fei

    2008-11-22

    SiC nanowires have been synthesized at 1,600 degrees C by using a simple and low-cost method in a high-frequency induction furnace. The commercial SiO powder and the arc-discharge plasma pretreated carbon black were mixed and used as the source materials. The heating-up and reaction time is less than half an hour. It was found that most of the nanowires have core-shell SiC/SiO(2) nanostructures. The nucleation, precipitation, and growth processes were discussed in terms of the oxide-assisted cluster-solid mechanism.

  11. SiC Nanowires Synthesized by Rapidly Heating a Mixture of SiO and Arc-Discharge Plasma Pretreated Carbon Black

    PubMed Central

    2009-01-01

    SiC nanowires have been synthesized at 1,600 °C by using a simple and low-cost method in a high-frequency induction furnace. The commercial SiO powder and the arc-discharge plasma pretreated carbon black were mixed and used as the source materials. The heating-up and reaction time is less than half an hour. It was found that most of the nanowires have core-shell SiC/SiO2nanostructures. The nucleation, precipitation, and growth processes were discussed in terms of the oxide-assisted cluster-solid mechanism. PMID:20596456

  12. Mesoscopic Ni particles and nanowires by pulsed electrodeposition into porous Si

    NASA Astrophysics Data System (ADS)

    Michelakaki, E.; Valalaki, K.; G. Nassiopoulou, A.

    2013-04-01

    We report in this article on the formation of mesoscopic Ni particles and filling of continuous Ni nanowires into porous Si layers of thickness in the range of 0.5-4 μm with anisotropic vertical pores of average diameter in the range of 30-45 nm using pulsed electrodeposition from a Ni salt solution. The effect of pulse duration, number of pulses, and total process time on pore filling was investigated for porous Si with different porosities and porous Si layer thicknesses in the above thickness range. Scanning and transmission electron microscopy were used to characterize the samples. It was found that pore filling starts with Ni nucleation and nanoparticle formation at different points of the pore walls along the whole pore length and continues with nanoparticle coalescence to form continuous Ni nanowires that completely fill the pores. The mechanism involved in pore filling is particle nucleation and diffusion-controlled growth of Ni nanoparticles that coalesce to nanowires. From the beginning of the process, a metal film starts to form on the porous Si surface, and its thickness increases with increasing the process time. However, the presence of this film does not impede further pore filling and nanowire formation into the pores. This supports further the diffusion-controlled growth mechanism. Finally, it was demonstrated that full pore filling and continuous Ni nanowire formation were also achieved under direct current electrodeposition, and the results are quite similar to those obtained with pulsed electrodeposition when the same total deposition time is used in both cases.

  13. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  14. Applying contact to individual silicon nanowires using a dielectrophoresis (DEP)-based technique

    NASA Astrophysics Data System (ADS)

    Leiterer, Christian; Broenstrup, Gerald; Jahr, Norbert; Urban, Matthias; Arnold, Cornelia; Christiansen, Silke; Fritzsche, Wolfgang

    2013-05-01

    One major challenge for the technological use of nanostructures is the control of their electrical and optoelectronic properties. For that purpose, extensive research into the electrical characterization and therefore a fast and reliable way of contacting these structures are needed. Here, we report on a new, dielectrophoresis (DEP)-based technique, which enables to apply sufficient and reliable contact to individual nanostructures, like semiconducting nanowires (NW), easily and without the need for lithography. The DEP contacting technique presented in this article can be done without high-tech equipment and monitored in situ with an optical microscope. In the presented experiments, individual SiNWs are trapped and subsequently welded between two photolithographically pre-patterned electrodes by applying varying AC voltages to the electrodes. To proof the quality of these contacts, I-V curves, photoresponse and photoconductivity of a single SiNW were measured. Furthermore, the measured photoconductivity in dependence on the wavelength of illuminated light and was compared with calculations predicting the absorption spectra of an individual SiNW.

  15. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  16. Strain and stability of ultrathin Ge layers in Si/Ge/Si axial heterojunction nanowires

    DOE PAGES

    Ross, Frances M.; Stach, Eric A.; Wen, Cheng -Yen; ...

    2015-02-05

    The abrupt heterointerfaces in the Si/Ge materials system presents useful possibilities for electronic device engineering because the band structure can be affected by strain induced by the lattice mismatch. In planar layers, heterointerfaces with abrupt composition changes are difficult to realize without introducing misfit dislocations. However, in catalytically grown nanowires, abrupt heterointerfaces can be fabricated by appropriate choice of the catalyst. Here we grow nanowires containing Si/Ge and Si/Ge/Si structures respectively with sub-1nm thick Ge "quantum wells" and we measure the interfacial strain fields using geometric phase analysis. Narrow Ge layers show radial strains of several percent, with a correspondingmore » dilation in the axial direction. Si/Ge interfaces show lattice rotation and curvature of the lattice planes. We conclude that high strains can be achieved, compared to what is possible in planar layers. In addition, we study the stability of these heterostructures under heating and electron beam irradiation. The strain and composition gradients are supposed to the cause of the instability for interdiffusion.« less

  17. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  18. Synthesis, fabrication and characterization of Ge/Si axial nanowire heterostructure tunnel FETs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Picraux, Samuel T; Dayeh, Shadi A

    2010-01-01

    Axial Ge/Si heterostructure nanowires allow energy band-edge engineering along the axis of the nanowire, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two advances in the area of heterostructure nanowires and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure nanowires with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these nanowires for high-on currents and suppressed ambipolar behavior. Initial prototype devices resulted in a current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5}more » I{sub on}/I{sub off} ratios. These results demonstrate the potential of such asymmetric heterostructures (both in the semiconductor channel and metal-semiconductor barrier heights) for low-power and high performance electronics.« less

  19. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  20. Strong light absorption capability directed by structured profile of vertical Si nanowires

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2017-11-01

    Si nanowire arrays (SiNWAs) with random fractal geometry was fabricated using fast, mask-less, non-lithographic and facile approach by incorporating metal assisted electroless etching of n-type Si (111) substrates. The FESEM images demonstrate the formation of nano-porous surfaces that provide effective path for the incoming light to get trapped into the cavity of nanowires. The length of NWs increases from ∼1 to 10 μm with increase in the etching time having a diameter in the range of ∼25-82 nm. A transformation from zero to first order kinetics after a prolonged etching has been determined. The synthesized SiNWAs show high light trapping properties, including a maximum photon absorption across the entire visible and near IR range below the band gap of Si. The SiNWAs etched for 15 min exhibit extremely low specular and total reflectance of ∼0.2% and 4.5%, respectively over a broadband of wavelength. The reduction in the reflection loss is accompanied with the gradient of refractive index from air to Si substrate as well as due to the sub-wavelength structures, which manifests the light scattering effect. The COMSOL multiphysics simulation has been performed to study the high broadband light absorption capability in terms of the strong localized light field confinement by varying the length of the nanowire. Moreover, the SiNWs induces the dewetting ability at the solid/liquid interface and enhances the superhydrophobicity. Furthermore, a maximum length scale of 100-200 nm manifests a strong heterogeneity along the planar section of the surface of SiNWs. The study thus provides an insight on the light propagation into the random fractal geometries of Si nanowires. These outstanding properties should contribute to the structural optimization of various optoelectronic and photonic devices.

  1. Ultralight, Recoverable, and High-Temperature-Resistant SiC Nanowire Aerogel.

    PubMed

    Su, Lei; Wang, Hongjie; Niu, Min; Fan, Xingyu; Ma, Mingbo; Shi, Zhongqi; Guo, Sheng-Wu

    2018-04-24

    Ultralight ceramic aerogels with the property combination of recoverable compressibility and excellent high-temperature stability are attractive for use in harsh environments. However, conventional ceramic aerogels are usually constructed by oxide ceramic nanoparticles, and their practical applications have always been limited by the brittle nature of ceramics and volume shrinkage at high temperature. Silicon carbide (SiC) nanowire offers the integrated properties of elasticity and flexibility of one-dimensional (1D) nanomaterials and superior high-temperature thermal and chemical stability of SiC ceramics, which makes it a promising building block for compressible ceramic nanowire aerogels (NWAs). Here, we report the fabrication and properties of a highly porous three-dimensional (3D) SiC NWA assembled by a large number of interweaving 3C-SiC nanowires of 20-50 nm diameter and tens to hundreds of micrometers in length. The SiC NWA possesses ultralow density (∼5 mg cm -3 ), excellent mechanical properties of large recoverable compression strain (>70%) and fatigue resistance, refractory property, oxidation and high-temperature resistance, and thermal insulating property (0.026 W m -1 K -1 at room temperature in N 2 ). When used as absorbents, the SiC NWAs exhibit an adsorption selectivity of low-viscosity organic solvents with high absorption capacity (130-237 g g -1 ). The successful fabrication of such an attractive material may provide promising perspectives to the design and fabrication of other compressible and multifunctional ceramic NWAs.

  2. A facile fluorescent sensor based on silicon nanowires for dithionite

    NASA Astrophysics Data System (ADS)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  3. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  4. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  5. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  6. Engineering in-plane silicon nanowire springs for highly stretchable electronics

    NASA Astrophysics Data System (ADS)

    Xue, Zhaoguo; Dong, Taige; Zhu, Zhimin; Zhao, Yaolong; Sun, Ying; Yu, Linwei

    2018-01-01

    Crystalline silicon (c-Si) is unambiguously the most important semiconductor that underpins the development of modern microelectronics and optoelectronics, though the rigid and brittle nature of bulk c-Si makes it difficult to implement directly for stretchable applications. Fortunately, the one-dimensional (1D) geometry, or the line-shape, of Si nanowire (SiNW) can be engineered into elastic springs, which indicates an exciting opportunity to fabricate highly stretchable 1D c-Si channels. The implementation of such line-shape-engineering strategy demands both a tiny diameter of the SiNWs, in order to accommodate the strains under large stretching, and a precise growth location, orientation and path control to facilitate device integration. In this review, we will first introduce the recent progresses of an in-plane self-assembly growth of SiNW springs, via a new in-plane solid-liquid-solid (IPSLS) mechanism, where mono-like but elastic SiNW springs are produced by surface-running metal droplets that absorb amorphous Si thin film as precursor. Then, the critical growth control and engineering parameters, the mechanical properties of the SiNW springs and the prospects of developing c-Si based stretchable electronics, will be addressed. This efficient line-shape-engineering strategy of SiNW springs, accomplished via a low temperature batch-manufacturing, holds a strong promise to extend the legend of modern Si technology into the emerging stretchable electronic applications, where the high carrier mobility, excellent stability and established doping and passivation controls of c-Si can be well inherited. Project supported by the National Basic Research 973 Program (No. 2014CB921101), the National Natural Science Foundation of China (No. 61674075), the National Key Research and Development Program of China (No. 2017YFA0205003), the Jiangsu Excellent Young Scholar Program (No. BK20160020), the Scientific and Technological Support Program in Jiangsu Province (No. BE

  7. Nanoparticle-Enhanced Silver-Nanowire Plasmonic Electrodes for High-Performance Organic Optoelectronic Devices.

    PubMed

    Kim, Taehyo; Kang, Saewon; Heo, Jungwoo; Cho, Seungse; Kim, Jae Won; Choe, Ayoung; Walker, Bright; Shanker, Ravi; Ko, Hyunhyub; Kim, Jin Young

    2018-05-21

    Improved performance in plasmonic organic solar cells (OSCs) and organic light-emitting diodes (OLEDs) via strong plasmon-coupling effects generated by aligned silver nanowire (AgNW) transparent electrodes decorated with core-shell silver-silica nanoparticles (Ag@SiO 2 NPs) is demonstrated. NP-enhanced plasmonic AgNW (Ag@SiO 2 NP-AgNW) electrodes enable substantially enhanced radiative emission and light absorption efficiency due to strong hybridized plasmon coupling between localized surface plasmons (LSPs) and propagating surface plasmon polaritons (SPPs) modes, which leads to improved device performance in organic optoelectronic devices (OODs). The discrete dipole approximation (DDA) calculation of the electric field verifies a strongly enhanced plasmon-coupling effect caused by decorating core-shell Ag@SiO 2 NPs onto the AgNWs. Notably, an electroluminescence efficiency of 25.33 cd A -1 (at 3.2 V) and a power efficiency of 25.14 lm W -1 (3.0 V) in OLEDs, as well as a power conversion efficiency (PCE) value of 9.19% in OSCs are achieved using hybrid Ag@SiO 2 NP-AgNW films. These are the highest values reported to date for optoelectronic devices based on AgNW electrodes. This work provides a new design platform to fabricate high-performance OODs, which can be further explored in various plasmonic and optoelectronic devices. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Cytocompatibility and cellular internalization mechanisms of SiC/SiO2 nanowires.

    PubMed

    Cacchioli, A; Ravanetti, F; Alinovi, R; Pinelli, S; Rossi, F; Negri, M; Bedogni, E; Campanini, M; Galetti, M; Goldoni, M; Lagonegro, P; Alfieri, R; Bigi, F; Salviati, G

    2014-08-13

    First evidence of in vitro cytocompatibility of SiC/SiO2 core-shell nanowires is reported. Different internalization mechanisms by adenocarcinomic alveolar basal epithelial cells, monocytic cell line derived from an acute monocytic leukemia, breast cancer cells, and normal human dermal fibroblasts are shown. The internalization occurs mainly for macropinocytosis and sporadically by direct penetration in all cell models considered, whereas it occurred for phagocytosis only in monocytic leukemia cells. The cytocompatibility of the nanowires is proved by the analysis of cell proliferation, cell cycle progression, and oxidative stress on the cells treated with NWs as compared to controls. Reactive oxygen species generation was detected as an early event that then quickly run out with a rapid decrease only in adenocarcinomic alveolar basal epithelial and human dermal fibroblasts cells. In all the cell lines, the intracellular presence of NWs induce the same molecular events but to a different extent: peroxidation of membrane lipids and oxidation of proteins. The NWs do not elicit either midterm (72 h) or long-term (10 days) cytotoxic activity leading to irreversible cellular damages or death. Our results are important in view of a possible use of SiC/SiO2 core-shell structures acting as biomolecule-delivery vectors or intracellular electrodes.

  9. One-dimensional Si/Ge nanowires and their heterostructures for multifunctional applications—a review

    NASA Astrophysics Data System (ADS)

    Ray, Samit K.; Katiyar, Ajit K.; Raychaudhuri, Arup K.

    2017-03-01

    Remarkable progress has been made in the field of one-dimensional semiconductor nanostructures for electronic and photonic devices. Group-IV semiconductors and their heterostructures have dominated the years of success in microelectronic industry. However their use in photonic devices is limited since they exhibit poor optical activity due to indirect band gap nature of Si and Ge. Reducing their dimensions below a characteristic length scale of various fundamental parameters like exciton Bohr radius, phonon mean free path, critical size of magnetic domains, exciton diffusion length etc result in the significant modification of bulk properties. In particular, light emission from Si/Ge nanowires due to quantum confinement, strain induced band structure modification and impurity doping may lead to the integration of photonic components with mature silicon CMOS technology in near future. Several promising applications based on Si and Ge nanowires have already been well established and studied, while others are now at the early demonstration stage. The control over various forms of energy and carrier transport through the unconstrained dimension makes Si and Ge nanowires a promising platform to manufacture advanced solid-state devices. This review presents the progress of the research with emphasis on their potential application of Si/Ge nanowires and their heterostructures for electronic, photonic, sensing and energy devices.

  10. Preparation and characterization of CdS/Si coaxial nanowires

    NASA Astrophysics Data System (ADS)

    Fu, X. L.; Li, L. H.; Tang, W. H.

    2006-04-01

    CdS/Si coaxial nanowires were fabricated via a simple one-step thermal evaporation of CdS powder in mass scale. Their crystallinities, general morphologies and detailed microstructures were characterized by using X-ray diffraction, scanning electron microscope, transmission electron microscope and Raman spectra. The CdS core crystallizes in a hexagonal wurtzite structure with lattice constants of a=0.4140 nm and c=0.6719 nm, and the Si shell is amorphous. Five Raman peaks from the CdS core were observed. They are 1LO at 305 cm -1, 2LO at 601 cm -1, A 1-TO at 212 cm -1, E 1-TO at 234 cm -1, and E 2 at 252 cm -1. Photoluminescence measurements show that the nanowires have two emission bands around 510 and 590 nm, which originate from the intrinsic transitions of CdS cores and the amorphous Si shells, respectively.

  11. Tip-Enhanced Photoinduced Electron Transfer and Ionization on Vertical Silicon Nanowires.

    PubMed

    Chen, Xiaoming; Wang, Tao; Lin, Leimiao; Wo, Fangjie; Liu, Yaqin; Liang, Xiao; Ye, Hui; Wu, Jianmin

    2018-05-02

    Nanostructured semiconductors are one of the most potent candidates for matrix-free laser desorption/ionization mass spectrometric (LDI-MS) analysis of low-molecular-weight molecules. Herein, the enhanced photoinduced electron transfer and LDI on the tip of a vertical silicon nanowire (SiNW) array were investigated. Theoretical simulation and LDI detection of indigo and isatin molecules in negative ion mode revealed that the electric field can be enhanced on the tip end of SiNWs, thereby promoting the energy and electron transfer to the analytes adsorbed on the tip of SiNWs. On the basis of this finding, a tip-contact sampling method coupled with LDI-MS detection was established. In this strategy, the tip of SiNWs can be regarded as microextraction heads for the sampling of molecules when they come in contact with analytes. Impression of skin, tissue, and pericarp on the vertical SiNW array can effectively transfer endogenous metabolites or exogenous substances onto the tip. Upon laser irradiation, the adsorbed molecules on the SiNW tip can be efficiently ionized and detected in negative ion mode because of the tip-enhanced electron transfer and LDI effect. We believe this work may significantly expand the application of LDI-MS in various fields.

  12. Growth strategies to control tapering in Ge nanowires

    NASA Astrophysics Data System (ADS)

    Periwal, P.; Baron, T.; Gentile, P.; Salem, B.; Bassani, F.

    2014-04-01

    We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs). Ge NWs were grown on Si (111) substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  13. Improved performance of flexible amorphous silicon solar cells with silver nanowires

    NASA Astrophysics Data System (ADS)

    Chen, Y. R.; Li, Z. Q.; Chen, X. H.; Liu, C.; Ye, X. J.; Wang, Z. B.; Sun, Z.; Huang, S. M.

    2012-12-01

    A novel hybrid electrode structure using Ag nanowires (NWs) to create surface plasmons to enhance light trapping is designed and applied on the front surface of hydrogenated amorphous silicon (a-Si:H) solar cells on steel substrates, targeting broad-band absorption enhancements. Ag NWs were synthesized using a soft and self-seeding process. The produced Ag NWs were deposited on indium tin oxide (ITO) glass substrates or the ITO layers of the as-prepared flexible a-Si:H solar cells to form Ag NW-ITO hybrid electrodes. The Ag NW-ITO hybrid electrodes were optimized to achieve maximum optical enhancement using surface plasmons and obtain good electrical contacts in cells. Finite-element electromagnetic simulations confirmed that the presence of the Ag NWs resulted in increased electromagnetic fields within the a-Si:H layer. Compared to the cell with conventional ITO electrode, the measured quantum efficiency of the best performing a-Si:H cell shows an obvious enhancement in the wavelength range from 330 nm to 600 nm. The cell based on the optimized Ag NW-ITO demonstrates an increase about 4% in short-circuit current density and over 6% in power conversion efficiency under AM 1.5 illumination.

  14. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  15. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  16. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  17. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    PubMed

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  18. Reversible Strain-Induced Electron-Hole Recombination in Silicon Nanowires Observed with Femtosecond Pump-Probe Microscopy

    DTIC Science & Technology

    2014-01-01

    devices with indirect-bandgap materials such as silicon . KEYWORDS: Ultrafast imaging , strained nanomaterials, spectroscopy Lattice strain produced by...photogenerated charge cloud as a result of carrier diffusion . Normalized carrier profiles, generated by integrating the images along the direction normal to the...To test this idea, Figure 2. Charge carrier diffusion in a Si NW locally strained by a bending deformation (A) SEM image of a bent Si nanowire ∼100

  19. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  20. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  1. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  2. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  3. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    PubMed

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  4. Self-transducing silicon nanowire electromechanical systems at room temperature.

    PubMed

    He, Rongrui; Feng, X L; Roukes, M L; Yang, Peidong

    2008-06-01

    Electronic readout of the motions of genuinely nanoscale mechanical devices at room temperature imposes an important challenge for the integration and application of nanoelectromechanical systems (NEMS). Here, we report the first experiments on piezoresistively transduced very high frequency Si nanowire (SiNW) resonators with on-chip electronic actuation at room temperature. We have demonstrated that, for very thin (~90 nm down to ~30 nm) SiNWs, their time-varying strain can be exploited for self-transducing the devices' resonant motions at frequencies as high as approximately 100 MHz. The strain of wire elongation, which is only second-order in doubly clamped structures, enables efficient displacement transducer because of the enhanced piezoresistance effect in these SiNWs. This intrinsically integrated transducer is uniquely suited for a class of very thin wires and beams where metallization and multilayer complex patterning on devices become impractical. The 30 nm thin SiNW NEMS offer exceptional mass sensitivities in the subzeptogram range. This demonstration makes it promising to advance toward NEMS sensors based on ultrathin and even molecular-scale SiNWs, and their monolithic integration with microelectronics on the same chip.

  5. Ultra-thin g-C{sub 3}N{sub 4} nanosheets wrapped silicon nanowire array for improved chemical stability and enhanced photoresponse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Beibei; Yu, Hongtao; Quan, Xie, E-mail: quanxie@dlut.edu.cn

    2014-11-15

    Highlights: • g-C{sub 3}N{sub 4}, as an oxygen free and metal free protective material for Si, was proposed. • g-C{sub 3}N{sub 4} nanosheets wrapped Si nanowire array was synthesized. • SiNW/g-C{sub 3}N{sub 4} exhibited enhancement of photoelectrochemical stability and photocurrent. - Abstract: In order to inhibit the oxidation of Si materials in aqueous solution, Si nanowire array was wrapped by ultra-thin g-C{sub 3}N{sub 4} nanosheets via an electrophoresis process. Scanning electron microscopy and transmission electron microscopy images showed that g-C{sub 3}N{sub 4} nanosheets were evenly distributed on the surface of Si nanowire array. X-ray diffraction patterns indicated that Si nanowiremore » array/g-C{sub 3}N{sub 4} nanosheets were composed of Si (4 0 0 crystal plane) and g-C{sub 3}N{sub 4} (0 0 2 and 1 0 0 crystal planes). The cyclic voltammetry curves revealed that the corrosion of Si nanowire array was restrained under the protection of g-C{sub 3}N{sub 4} nanosheets. Furthermore, the photocurrent density of Si nanowire array/g-C{sub 3}N{sub 4} nanosheets increased by nearly 3 times compared to that of bare Si nanowire array due to the effective charge separation caused by the built-in electric field at the interface. This work will facilitate the applications of Si materials in aqueous solution, such as solar energy harvest and photocatalytic pollution control.« less

  6. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    PubMed

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  7. Ultrahigh-Speed Electrically Injected 1.55 micrometer Quantum Dot Microtube and Nanowire Lasers on Si

    DTIC Science & Technology

    2015-08-30

    Ultrahigh-Speed Electrically Injected 1.55 um Quantum Dot Microtube and Nanowire Lasers on Si In this report, we describe the progress made in rolled...up InP-based tube lasers and in the growth and characterization of III-nitride nanowire structures on Si. We report on the demonstration of...injected AlGaN nanowire lasers that can operate in the UV-AII (315-340 nm), UV-B (280-315nm), and UV-C (200-280 nm). The views, opinions and/or findings

  8. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  9. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks.

    PubMed

    Cao, Anping; Shan, Meixia; Paltrinieri, Laura; Evers, Wiel H; Chu, Liangyong; Poltorak, Lukasz; Klootwijk, Johan H; Seoane, Beatriz; Gascon, Jorge; Sudhölter, Ernst J R; de Smet, Louis C P M

    2018-04-19

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  10. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  11. Isolation and Identification of Post-Transcriptional Gene Silencing-Related Micro-RNAs by Functionalized Silicon Nanowire Field-effect Transistor

    NASA Astrophysics Data System (ADS)

    Chen, Kuan-I.; Pan, Chien-Yuan; Li, Keng-Hui; Huang, Ying-Chih; Lu, Chia-Wei; Tang, Chuan-Yi; Su, Ya-Wen; Tseng, Ling-Wei; Tseng, Kun-Chang; Lin, Chi-Yun; Chen, Chii-Dong; Lin, Shih-Shun; Chen, Yit-Tsong

    2015-11-01

    Many transcribed RNAs are non-coding RNAs, including microRNAs (miRNAs), which bind to complementary sequences on messenger RNAs to regulate the translation efficacy. Therefore, identifying the miRNAs expressed in cells/organisms aids in understanding genetic control in cells/organisms. In this report, we determined the binding of oligonucleotides to a receptor-modified silicon nanowire field-effect transistor (SiNW-FET) by monitoring the changes in conductance of the SiNW-FET. We first modified a SiNW-FET with a DNA probe to directly and selectively detect the complementary miRNA in cell lysates. This SiNW-FET device has 7-fold higher sensitivity than reverse transcription-quantitative polymerase chain reaction in detecting the corresponding miRNA. Next, we anchored viral p19 proteins, which bind the double-strand small RNAs (ds-sRNAs), on the SiNW-FET. By perfusing the device with synthesized ds-sRNAs of different pairing statuses, the dissociation constants revealed that the nucleotides at the 3‧-overhangs and pairings at the terminus are important for the interactions. After perfusing the total RNA mixture extracted from Nicotiana benthamiana across the device, this device could enrich the ds-sRNAs for sequence analysis. Finally, this bionanoelectronic SiNW-FET, which is able to isolate and identify the interacting protein-RNA, adds an additional tool in genomic technology for the future study of direct biomolecular interactions.

  12. Facet-selective nucleation and conformal epitaxy of Ge shells on Si nanowires

    DOE PAGES

    Nguyen, Binh -Minh; Swartzentruber, Brian; Ro, Yun Goo; ...

    2015-10-08

    Knowledge of nanoscale heteroepitaxy is continually evolving as advances in material synthesis reveal new mechanisms that have not been theoretically predicted and are different than what is known about planar structures. In addition to a wide range of potential applications, core/shell nanowire structures offer a useful template to investigate heteroepitaxy at the atomistic scale. We show that the growth of a Ge shell on a Si core can be tuned from the theoretically predicted island growth mode to a conformal, crystalline, and smooth shell by careful adjustment of growth parameters in a narrow growth window that has not been exploredmore » before. In the latter growth mode, Ge adatoms preferentially nucleate islands on the {113} facets of the Si core, which outgrow over the {220} facets. Islands on the low-energy {111} facets appear to have a nucleation delay compared to the {113} islands; however, they eventually coalesce to form a crystalline conformal shell. As a result, synthesis of epitaxial and conformal Si/Ge/Si core/multishell structures enables us to fabricate unique cylindrical ring nanowire field-effect transistors, which we demonstrate to have steeper on/off characteristics than conventional core/shell nanowire transistors.« less

  13. Rhodium Nanoparticle-mesoporous Silicon Nanowire Nanohybrids for Hydrogen Peroxide Detection with High Selectivity

    PubMed Central

    Song, Zhiqian; Chang, Hucheng; Zhu, Weiqin; Xu, Chenlong; Feng, Xinjian

    2015-01-01

    Developing nanostructured electrocatalysts, with low overpotential, high selectivity and activity has fundamental and technical importance in many fields. We report here rhodium nanoparticle and mesoporous silicon nanowire (RhNP@mSiNW) hybrids for hydrogen peroxide (H2O2) detection with high electrocatalytic activity and selectivity. By employing electrodes that loaded with RhNP@mSiNW nanohybrids, interference caused from both many electroactive substances and dissolved oxygen were eliminated by electrochemical assaying at an optimal potential of +75 mV. Furthermore, the electrodes exhibited a high detection sensitivity of 0.53 μA/mM and fast response (< 5 s). This high-performance nanohybrid electrocatalyst has great potential for future practical application in various oxidase-base biosensors. PMID:25588953

  14. Modelling of electron beam induced nanowire attraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bitzer, Lucas A.; Benson, Niels, E-mail: niels.benson@uni-due.de; Schmechel, Roland

    2016-04-14

    Scanning electron microscope (SEM) induced nanowire (NW) attraction or bundling is a well known effect, which is mainly ascribed to structural or material dependent properties. However, there have also been recent reports of electron beam induced nanowire bending by SEM imaging, which is not fully explained by the current models, especially when considering the electro-dynamic interaction between NWs. In this article, we contribute to the understanding of this phenomenon, by introducing an electro-dynamic model based on capacitor and Lorentz force interaction, where the active NW bending is stimulated by an electromagnetic force between individual wires. The model includes geometrical, electrical,more » and mechanical NW parameters, as well as the influence of the electron beam source parameters and is validated using in-situ observations of electron beam induced GaAs nanowire (NW) bending by SEM imaging.« less

  15. Nonpolar p-GaN/n-Si heterojunction diode characteristics: a comparison between ensemble and single nanowire devices

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, Sandip; Tyagi, A. K.

    2015-10-01

    The electrical and photodiode characteristics of ensemble and single p-GaN nanowire and n-Si heterojunction devices were studied. Ideality factor of the single nanowire p-GaN/n-Si device was found to be about three times lower compared to that of the ensemble nanowire device. Apart from the deep-level traps in p-GaN nanowires, defect states due to inhomogeneity in Mg dopants in the ensemble nanowire device are attributed to the origin of the high ideality factor. Photovoltaic mode of the ensemble nanowire device showed an improvement in the fill-factors up to 60% over the single nanowire device with fill-factors up to 30%. Responsivity of the single nanowire device in the photoconducting mode was found to be enhanced by five orders, at 470 nm. The enhanced photoresponse of the single nanowire device also confirms the photoconduction due to defect states in p-GaN nanowires.

  16. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    PubMed Central

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  17. Thermal conductivity of bulk and nanowire Mg₂Si xSn 1–x alloys from first principles

    DOE PAGES

    Li, Wu; Lindsay, L.; Broido, D. A.; ...

    2012-11-29

    The lattice thermal conductivity (κ) of the thermoelectric materials, Mg₂Si, Mg₂Sn, and their alloys, are calculated for bulk and nanowires, without adjustable parameters. We find good agreement with bulk experimental results. For large nanowire diameters, size effects are stronger for the alloy than for the pure compounds. For example, in 200 nm diameter nanowires κ is lower than its bulk value by 30%, 20%, and 20% for Mg₂Si₀.₆Sn₀.₄, Mg₂Si, and Mg₂Sn, respectively. For nanowires less than 20 nm thick, the relative decrease surpasses 50%, and it becomes larger in the pure compounds than in the alloy. At room temperature, κmore » of Mg₂Si xSn 1–x is less sensitive to nanostructuring size effects than Si xGe 1–x, but more sensitive than PbTe xSe 1–x. This suggests that further improvement of Mg₂Si xSn 1–x as a nontoxic thermoelectric may be possible.« less

  18. Hydrothermal synthesis and characterization of Si and Sr co-substituted hydroxyapatite nanowires using strontium containing calcium silicate as precursors.

    PubMed

    Zhang, Na; Zhai, Dong; Chen, Lei; Zou, Zhaoyong; Lin, Kaili; Chang, Jiang

    2014-04-01

    In the absence of any organic surfactants and solvents, the silicon (Si) and strontium (Sr) co-substituted hydroxyapatite [Ca10(PO4)6(OH)2, Si/Sr-HAp] nanowires were synthesized via hydrothermal treatment of the Sr-containing calcium silicate (Sr-CS) powders as the precursors in trisodium phosphate (Na3PO4) aqueous solution. The morphology, phase, chemical compositions, lattice constants and the degradability of the products were characterized. The Si/Sr-HAp nanowires with diameter of about 60nm and up to 2μm in length were obtained after hydrothermal treatment of the Sr-CS precursors. The Sr and Si substitution amount of the HAp nanowires could be well regulated by facile tailoring the Sr substitution level of the precursors and the reaction ratio of the precursor/solution, respectively. The SiO4 tetrahedra and Sr(2+) ions occupied the crystal sites of the HAp, and the lattice constants increased apparently with the increase of the substitution amount. EDS mapping also suggested the uniform distribution of Si and Sr in the synthetic nanowires. Moreover, the Si/Sr-substitution apparently improved the degradability of the HAp materials. Our study suggested that the precursor transformation method provided a facile approach to synthesize the Si/Sr co-substituted HAp nanowires with controllable substitution amount, and the synthetic Si/Sr-HAp nanowires might be used as bioactive materials for hard tissue regeneration applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Nucleation and growth mechanism of self-catalyzed InAs nanowires on silicon

    NASA Astrophysics Data System (ADS)

    Gomes, U. P.; Ercolani, D.; Zannier, V.; David, J.; Gemmi, M.; Beltram, F.; Sorba, L.

    2016-06-01

    We report on the nucleation and growth mechanism of self-catalyzed InAs nanowires (NWs) grown on Si (111) substrates by chemical beam epitaxy. Careful choices of the growth parameters lead to In-rich conditions such that the InAs NWs nucleate from an In droplet and grow by the vapor-liquid-solid mechanism while sustaining an In droplet at the tip. As the growth progresses, new NWs continue to nucleate on the Si (111) surface causing a spread in the NW size distribution. The observed behavior in NW nucleation and growth is described within a suitable existing theoretical model allowing us to extract relevant growth parameters. We argue that these results provide useful guidelines to rationally control the growth of self-catalyzed InAs NWs for various applications.

  20. Understanding the role of Si doping on surface charge and optical properties: Photoluminescence study of intrinsic and Si-doped InN nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, S.; Mi, Z.; Kibria, M. G.; Li, Q.; Wang, G. T.

    2012-06-01

    In the present work, the photoluminescence (PL) characteristics of intrinsic and Si-doped InN nanowires are studied in detail. For intrinsic InN nanowires, the emission is due to band-to-band carrier recombination with the peak energy at ˜0.64 eV (at 300 K) and may involve free-exciton emission at low temperatures. The PL spectra exhibit a strong dependence on optical excitation power and temperature, which can be well characterized by the presence of very low residual electron density and the absence or a negligible level of surface electron accumulation. In comparison, the emission of Si-doped InN nanowires is characterized by the presence of two distinct peaks located at ˜0.65 and ˜0.73-0.75 eV (at 300 K). Detailed studies further suggest that these low-energy and high-energy peaks can be ascribed to band-to-band carrier recombination in the relatively low-doped nanowire bulk region and Mahan exciton emission in the high-doped nanowire near-surface region, respectively; this is a natural consequence of dopant surface segregation. The resulting surface electron accumulation and Fermi-level pinning, due to the enhanced surface doping, are confirmed by angle-resolved x-ray photoelectron spectroscopy measurements on Si-doped InN nanowires, which is in direct contrast to the absence or a negligible level of surface electron accumulation in intrinsic InN nanowires. This work elucidates the role of charge-carrier concentration and distribution on the optical properties of InN nanowires.

  1. Tuning the morphology of self-assisted GaP nanowires

    NASA Astrophysics Data System (ADS)

    Leshchenko, E. D.; Kuyanov, P.; LaPierre, R. R.; Dubrovskii, V. G.

    2018-06-01

    Patterned arrays of self-assisted GaP nanowires (NWs) were grown on a Si substrate by gas source molecular beam epitaxy using various V/III flux ratios from 1–6, and various pitches from 360–1000 nm. As the V/III flux ratio was increased from 1–6, the NWs showed a change in morphology from outward tapering to straight, and eventually to inward tapering. The morphologies of the self-assisted GaP NWs are well described by a simple kinetic equation for the NW radius versus the position along the NW axis. The most important growth parameter that governs the NW morphology is the V/III flux ratio. Sharpened NWs with a stable radius equal to only 12 nm at a V/III flux of 6 were achieved, demonstrating their suitability for the insertion of quantum dots.

  2. Tuning the morphology of self-assisted GaP nanowires.

    PubMed

    Leshchenko, E D; Kuyanov, P; LaPierre, R R; Dubrovskii, V G

    2018-06-01

    Patterned arrays of self-assisted GaP nanowires (NWs) were grown on a Si substrate by gas source molecular beam epitaxy using various V/III flux ratios from 1-6, and various pitches from 360-1000 nm. As the V/III flux ratio was increased from 1-6, the NWs showed a change in morphology from outward tapering to straight, and eventually to inward tapering. The morphologies of the self-assisted GaP NWs are well described by a simple kinetic equation for the NW radius versus the position along the NW axis. The most important growth parameter that governs the NW morphology is the V/III flux ratio. Sharpened NWs with a stable radius equal to only 12 nm at a V/III flux of 6 were achieved, demonstrating their suitability for the insertion of quantum dots.

  3. Evident Enhancement of Photoelectrochemical Hydrogen Production by Electroless Deposition of M-B (M = Ni, Co) Catalysts on Silicon Nanowire Arrays.

    PubMed

    Yang, Yong; Wang, Mei; Zhang, Peili; Wang, Weihan; Han, Hongxian; Sun, Licheng

    2016-11-09

    Modification of p-type Si surface by active and stable earth-abundant electrocatalysts is an effective strategy to improve the sluggish kinetics for the hydrogen evolution reaction (HER) at p-Si/electrolyte interface and to develop highly efficient and low-cost photocathodes for hydrogen production from water. To this end, Si nanowire (Si-NW) array has been loaded with highly efficient electrocatalysts, M-B (M = Ni, Co), by facile and quick electroless plating to build M-B catalyst-modified Si nanowire-array-textured photocathodes for water reduction to H 2 . Compared with the bare Si-NW array, composite Si-NWs/M-B arrays display evidently enhanced photoelectrochemical (PEC) performance. The onset potential (V phon ) of cathodic photocurrent is positively shifted by 530-540 mV to 0.44-0.45 V vs RHE, and the short-circuit current density (J sc ) is up to 19.5 mA cm -2 in neutral buffer solution under simulated 1 sun illumination. Impressively, the half-cell photopower conversion efficiencies (η hc ) of the optimized Si-NWs/Co-B (2.53%) and Si-NWs/Ni-B (2.45%) are comparable to that of Si-NWs/Pt (2.46%). In terms of the large J sc , V phon , and η hc values, as well as the high Faradaic efficiency, Si-NWs/M-B electrodes are among the top performing Si photocathodes which are modified with HER electrocatalysts but have no buried solid/solid junction.

  4. Nanowire field-effect transistors for gas sensor applications

    NASA Astrophysics Data System (ADS)

    Constantinou, Marios

    Sensing BTEX (Benzene, Ethylbenzene, Toluene, Xylene) pollutants is of utmost importance to reduce health risk and ensure public safety. The lack of sensitivity and selectivity of the current gas sensors and the limited number of available technologies in the field of BTEX-sensing raises the demand for the development of high-performance gas sensors for BTEX applications. The scope of this thesis is the fabrication and characterisation of high-quality field-effect transistors (FETs), with functionalised silicon nanowires (SiNWs), for the selective sensing of benzene vs. other BTEX gases. This research addresses three main challenges in SiNW FET-sensor device development: i) controllable and reproducible assembly of high-quality SiNWs for FET sensor devices using the method of dielectrophoresis (DEP), ii) almost complete elimination of harmful hysteresis effect in the SiNW FET current-voltage characteristics induced by surface states using DMF solvent, iii) selective sensing of benzene with up to ppb range of sensitivity using calix[4]arene-derivatives. It is experimentally demonstrated that frequency-controlled DEP is a powerful tool for the selection and collection of semiconducting SiNWs with advanced electrical and morphological properties, from a poly-disperse as-synthesised NWs. The DEP assembly method also leads to a controllable and reproducible fabrication of high-quality NW-based FETs. The results highlight the superiority of DEP, performed at high signal frequencies (5-20 MHz) to selectively assemble only high-quality NWs which can respond to such high DEP frequencies. The SiNW FETs, with NWs collected at high DEP frequencies, have high mobility (≈50 cm2 V-1 s-1), low sub-threshold-swing (≈1.26 V/decade), high on-current (up to 3 mA) and high on/off ratio (106-107). The DEP NW selection is also demonstrated using an industrially scalable method, to allow establishing of NW response characteristics to different DEP frequencies in a very short time

  5. Effect of Si-doping on InAs nanowire transport and morphology

    NASA Astrophysics Data System (ADS)

    Wirths, S.; Weis, K.; Winden, A.; Sladek, K.; Volk, C.; Alagha, S.; Weirich, T. E.; von der Ahe, M.; Hardtdegen, H.; Lüth, H.; Demarina, N.; Grützmacher, D.; Schäpers, Th.

    2011-09-01

    The effect of Si-doping on the morphology, structure, and transport properties of nanowires was investigated. The nanowires were deposited by selective-area metal organic vapor phase epitaxy in an N2 ambient. It is observed that doping systematically affects the nanowire morphology but not the structure of the nanowires. However, the transport properties of the wires are greatly affected. Room-temperature four-terminal measurements show that with an increasing dopant supply the conductivity monotonously increases. For the highest doping level the conductivity is higher by a factor of 25 compared to only intrinsically doped reference nanowires. By means of back-gate field-effect transistor measurements it was confirmed that the doping results in an increased carrier concentration. Temperature dependent resistance measurements reveal, for lower doping concentrations, a thermally activated semiconductor-type increase of the conductivity. In contrast, the nanowires with the highest doping concentration show a metal-type decrease of the resistivity with decreasing temperature.

  6. Effects of lithium insertion on thermal conductivity of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Wen; Institute of High Performance Computing, A*STAR, Singapore, Singapore 138632; Zhang, Gang, E-mail: zhangg@ihpc.a-star.edu.sg

    2015-04-27

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reductionmore » in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.« less

  7. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g-1.

    PubMed

    Kim, Dongheun; Li, Nan; Sheehan, Chris J; Yoo, Jinkyoung

    2018-04-26

    Si/Ge core/shell nanowire heterostructures have been expected to provide high energy and power densities for lithium ion battery anodes due to the large capacity of Si and the high electrical and ionic conductivities of Ge. Although the battery anode performances of Si/Ge core/shell nanowire heterostructures have been characterized, the degradation of Si/Ge core/shell nanowire heterostructures has not been thoroughly investigated. Here we report the compositional and structural changes of the Si/Ge core/shell nanowire heterostructure over cycling of lithiation and delithiation at different charging rates. The Si/Ge core/shell nanowire heterostructure holds the core and shell structure at a charging rate of 0.8 A g-1 up to 50 cycles. On the other hand, compositional intermixing and loss of Si occur at a charging rate of 20 A g-1 within 50 cycles. The operation condition-dependent degradation provides a new aspect of materials research for the development of high performance lithium ion battery anodes with a long cycle life.

  8. Ultrafast photocarrier dynamics related to defect states of Si1-xGex nanowires measured by optical pump-THz probe spectroscopy.

    PubMed

    Bae, Jung Min; Lee, Woo-Jung; Jung, Seonghoon; Ma, Jin Won; Jeong, Kwang-Sik; Oh, Seung Hoon; Kim, Seongsin M; Suh, Dongchan; Song, Woobin; Kim, Sunjung; Park, Jaehun; Cho, Mann-Ho

    2017-06-14

    Slightly tapered Si 1-x Ge x nanowires (NWs) (x = 0.29-0.84) were synthesized via a vapor-liquid-solid procedure using Au as a catalyst. We measured the optically excited carrier dynamics of Si 1-x Ge x NWs as a function of Ge content using optical pump-THz probe spectroscopy. The measured -ΔT/T 0 signals of Si 1-x Ge x NWs were converted into conductivity in the THz region. We developed a fitting formula to apply to indirect semiconductors such as Si 1-x Ge x , which explains the temporal population of photo-excited carriers in the band structure and the relationship between the trapping time and the defect states on an ultrafast time scale. From the fitting results, we extracted intra- and inter-valley transition times and trapping times of electrons and holes of Si 1-x Ge x NWs as a function of Ge content. On the basis of theoretical reports, we suggest a physical model to interpret the trapping times related to the species of interface defect states located at the oxide/NW: substoichiometric oxide states of Si(Ge) 0+,1+,2+ , but not Si(Ge) 3+ , could function as defect states capturing photo-excited electrons or holes and could determine the different trapping times of electrons and holes depending on negatively or neutrally charged states.

  9. Nanowire NMOS Logic Inverter Characterization.

    PubMed

    Hashim, Yasir

    2016-06-01

    This study is the first to demonstrate characteristics optimization of nanowire N-Channel Metal Oxide Semiconductor (NW-MOS) logic inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. A computer-based model used to produce static characteristics of NW-NMOS logic inverter. In this research two circuit configuration of NW-NMOS inverter was studied, in first NW-NMOS circuit, the noise margin for (low input-high output) condition was very low. For second NMOS circuit gives excellent noise margins, and results indicate that optimization depends on applied voltage to the inverter. Increasing gate to source voltage with (2/1) nanowires ratio results better noise margins. Increasing of applied DC load transistor voltage tends to increasing in decreasing noise margins; decreasing this voltage will improve noise margins significantly.

  10. Fabricating nanowire devices on diverse substrates by simple transfer-printing methods.

    PubMed

    Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2010-06-01

    The fabrication of nanowire (NW) devices on diverse substrates is necessary for applications such as flexible electronics, conformable sensors, and transparent solar cells. Although NWs have been fabricated on plastic and glass by lithographic methods, the choice of device substrates is severely limited by the lithographic process temperature and substrate properties. Here we report three new transfer-printing methods for fabricating NW devices on diverse substrates including polydimethylsiloxane, Petri dishes, Kapton tapes, thermal release tapes, and many types of adhesive tapes. These transfer-printing methods rely on the differences in adhesion to transfer NWs, metal films, and devices from weakly adhesive donor substrates to more strongly adhesive receiver substrates. Electrical characterization of fabricated NW devices shows that reliable ohmic contacts are formed between NWs and electrodes. Moreover, we demonstrated that Si NW devices fabricated by the transfer-printing methods are robust piezoresistive stress sensors and temperature sensors with reliable performance.

  11. Silicon nanowires: electron holography studies of doped p-n junctions and biased Schottky barriers.

    PubMed

    He, Kai; Cho, Jeong-Hyun; Jung, Yeonwoong; Picraux, S Tom; Cumings, John

    2013-03-22

    We report an in situ examination of individual Si p-n junction nanowires (NWs) using off-axis electron holography (EH) during transmission electron microscopy. The SiNWs were synthesized by chemical vapor deposition with an axial dopant profile from n- to p-type, and then placed inside the transmission electron microscope as a cantilever geometry in contact with a movable Pt probe for in situ biasing measurements during simultaneous EH observations. The phase shift from EH indicates the potential shift between the p- and n-segments to be 1.03 ± 0.17 V due to the built-in voltage. The I-V characteristics of a single SiNW indicate the formation of a Schottky barrier between the NW tip and the movable Pt contact. EH observations show a strong concentration of electric field at this contact, preventing a change in the Si energy bands in the p-n junction region due to the applied bias.

  12. Two-dimensional self-organization of an ordered Au silicide nanowire network on a Si(110)-16 x 2 surface.

    PubMed

    Hong, Ie-Hong; Yen, Shang-Chieh; Lin, Fu-Shiang

    2009-08-17

    A well-ordered two-dimensional (2D) network consisting of two crossed Au silicide nanowire (NW) arrays is self-organized on a Si(110)-16 x 2 surface by the direct-current heating of approximately 1.5 monolayers of Au on the surface at 1100 K. Such a highly regular crossbar nanomesh exhibits both a perfect long-range spatial order and a high integration density over a mesoscopic area, and these two self-ordering crossed arrays of parallel-aligned NWs have distinctly different sizes and conductivities. NWs are fabricated with widths and pitches as small as approximately 2 and approximately 5 nm, respectively. The difference in the conductivities of two crossed-NW arrays opens up the possibility for their utilization in nanodevices of crossbar architecture. Scanning tunneling microscopy/spectroscopy studies show that the 2D self-organization of this perfect Au silicide nanomesh can be achieved through two different directional electromigrations of Au silicide NWs along different orientations of two nonorthogonal 16 x 2 domains, which are driven by the electrical field of direct-current heating. Prospects for this Au silicide nanomesh are also discussed.

  13. Scenarios of stable Vapor→Liquid Droplet→Solid Nanowire growth

    NASA Astrophysics Data System (ADS)

    Nebol`sin, Valery A.; Dunaev, Alexander I.; Tatarenkov, Alexander F.; Shmakova, Svetlana S.

    2016-09-01

    In the process of Nanowire (NW) growth under the Vapor→Liquid Droplet→Solid (VLS) scheme, the stages that reach the boundary of the crystallization front (the triple phase line (TPL)) under the droplet of the catalyst are either absorbed by the TPL, or accumulate ahead of it. It has been shown that, in the first case, TPL can release stages, which leads to a decrease in supersaturation necessary for NW growth. An equation has been derived, which defines the change in free surface energy of the three-phase system in the absorption (release) of a stage, being a function of the contact angle of the droplet, and the ratio between the phase conjugation angles interface at equilibrium shift in the boundary line. A thermodynamic model has been developed and three possible scenarios for sustainable NW growth: Non-Wetting, Wetting and Fully Wetting have been considered in accordance with the processes occurring at the interface of three phases. The results obtained for each scenario were used to analyze the polytypism of GaAs and InAs NW, the radial periodic instability of Si NW and the formation of "negative" NW.

  14. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Kotekar-Patil, D.; Nguyen, B.-M.; Yoo, J.; Dayeh, S. A.; Frolov, S. M.

    2017-09-01

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry-Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1-3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  15. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotekar-Patil, D.; Nguyen, B-M; Yoo, J.

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry–Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1–3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  16. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    DOE PAGES

    Kotekar-Patil, D.; Nguyen, B-M; Yoo, J.; ...

    2017-09-04

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry–Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1–3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  17. Mechanical behavior enhancement of ZnO nanowire by embedding different nanowires

    NASA Astrophysics Data System (ADS)

    Vazinishayan, Ali; Yang, Shuming; Lambada, Dasaradha Rao; Wang, Yiming

    2018-06-01

    In this work, we employed commercial finite element modeling (FEM) software package ABAQUS to analyze mechanical properties of ZnO nanowire before and after embedding with different kinds of nanowires, having different materials and cross-section models such as Au (circular), Ag (pentagonal) and Si (rectangular) using three point bending technique. The length and diameter of the ZnO nanowire were measured to be 12,280 nm and 103.2 nm, respectively. In addition, Au, Ag and Si nanowires were considered to have the length of 12,280 nm and the diameter of 27 nm. It was found that after embedding Si nanowire with rectangular cross-section into the ZnO nanowire, the distribution of Von Misses stresses criterion, displacement and strain were decreased than the other nanowires embedded. The highest stiffness, the elastic deformation and the high strength against brittle failure have been made by Si nanowire comparison to the Au and Ag nanowires, respectively.

  18. Charge instability in double quantum dots in Ge/Si core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Zarassi, Azarin; Su, Zhaoen; Schwenderling, Jens; Frolov, Sergey M.; Hocevar, Moïra; Nguyen, Binh-Minh; Yoo, Jinkyoung; Dayeh, Shadi A.

    Controlling dephasing times are of great challenge in the studies of spin qubit. Reported long spin coherence time and predicted strong spin-orbit interaction of holes in Ge/Si core/shell nanowires, as well as their weak coupling to very few nuclear spins of these group IV semiconductors, persuade electrical spin control. We have established Pauli spin blockade in gate-tunable quantum dots formed in these nanowires. The g-factor has been measured and evidence of spin-orbit interaction has been observed in the presence of magnetic field. However, electrical control of spins requires considerable stability in the double dot configuration, and imperfectly these dots suffer from poor stability. We report on fabrication modifications on Ge/Si core/shell nanowires, as well as measurement techniques to suppress the charge instabilities and ease the way to study spin-orbit coupling and resolve electric dipole spin resonance.

  19. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  20. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    PubMed

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  1. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    PubMed Central

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Myong Kim, Dong; Hwan Kim, Dae; Choi, Sung-Jin

    2015-01-01

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 105 times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 105 with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density. PMID:26197105

  2. Thermal conductivity of hexagonal Si and hexagonal Si nanowires from first-principles

    NASA Astrophysics Data System (ADS)

    Raya-Moreno, Martí; Aramberri, Hugo; Seijas-Bellido, Juan Antonio; Cartoixà, Xavier; Rurali, Riccardo

    2017-07-01

    We calculate the thermal conductivity, κ, of the recently synthesized hexagonal diamond (lonsdaleite) Si using first-principles calculations and solving the Boltzmann Transport Equation. We find values of κ which are around 40% lower than in the common cubic diamond polytype of Si. The trend is similar for [111] Si nanowires, with reductions of the thermal conductivity that are even larger than in the bulk in some diameter range. The Raman active modes are identified, and the role of mid-frequency optical phonons that arise as a consequence of the reduced symmetry of the hexagonal lattice is discussed. We also show briefly that popular classic potentials used in molecular dynamics might not be suited to describe hexagonal polytypes, discussing the case of the Tersoff potential.

  3. Light-emitting silicon nanowires obtained by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Irrera, Alessia; Josè Lo Faro, Maria; D'Andrea, Cristiano; Alessio Leonardi, Antonio; Artoni, Pietro; Fazio, Barbara; Picca, Rosaria Anna; Cioffi, Nicola; Trusso, Sebastiano; Franzò, Giorgia; Musumeci, Paolo; Priolo, Francesco; Iacona, Fabio

    2017-04-01

    This review reports on a new process for the synthesis of Si nanowires (NWs), based on the wet etching of Si substrates assisted by a thin metal film. The approach exploits the thickness-dependent morphology of the metal layers to define uncovered nanometric Si regions, which behave as precursor sites for the formation of very dense (up to 1 × 1012 NW cm-2) arrays of long (up to several μm) and ultrathin (diameter of 5-9 nm) NWs. Intense photoluminescence (PL) peaks, characterized by maxima in the 640-750 nm range and by an external quantum efficiency of 0.5%, are observed when the Si NWs are excited at room temperature. The spectra show a blueshift if the size of the NW is decreased, in agreement with the occurrence of quantum confinement effects. The same etching process can be used to obtain ultrathin Si/Ge NWs from a Si/Ge multi-quantum well. The Si/Ge NWs exhibit—in addition to the Si-related PL peak—a signal at about 1240 nm due to Ge nanostructures. The huge surface area of the Si NW arrays can be exploited for sensing and analytical applications. The dependence of the PL intensity on the chemical composition of the surface indeed suggests interesting perspectives for the detection of gaseous molecules. Moreover, Si NWs decorated with Ag nanoparticles can be effectively employed in the interference-free laser desorption-ionization mass spectrometry of low-molecular-weight analytes. A device based on conductive Si NWs, showing intense and stable electroluminescence at an excitation voltage as low as 2 V, is also presented. The unique features of the proposed synthesis (the process is cheap, fast, maskless and compatible with Si technology) and the unusual optical properties of the material open the route towards new and unexpected perspectives for semiconductor NWs in photonics.

  4. Low thermal emissivity surfaces using AgNW thin films

    NASA Astrophysics Data System (ADS)

    Pantoja, Elisa; Bhatt, Rajendra; Liu, Anping; Gupta, Mool C.

    2017-12-01

    The properties of silver nanowire (AgNW) films in the optical and infrared spectral regime offer an interesting opportunity for a broad range of applications that require low-emissivity coatings. This work reports a method to reduce the thermal emissivity of substrates by the formation of low-emissivity AgNW coating films from solution. The spectral emissivity was characterized by thermal imaging with an FLIR camera, followed by Fourier transform infrared spectroscopy. In a combined experimental and simulation study, we provide fundamental data of the transmittance, reflectance, haze, and emissivity of AgNW thin films. Emissivity values were finely tuned by modifying the concentration of the metal nanowires in the films. The simulation models based on the transfer matrix method developed for the AgNW thin films provided optical values that show a good agreement with the measurements.

  5. Life cycle environmental impact of high-capacity lithium ion battery with silicon nanowires anode for electric vehicles.

    PubMed

    Li, Bingbing; Gao, Xianfeng; Li, Jianyang; Yuan, Chris

    2014-01-01

    Although silicon nanowires (SiNW) have been widely studied as an ideal material for developing high-capacity lithium ion batteries (LIBs) for electric vehicles (EVs), little is known about the environmental impacts of such a new EV battery pack during its whole life cycle. This paper reports a life cycle assessment (LCA) of a high-capacity LIB pack using SiNW prepared via metal-assisted chemical etching as anode material. The LCA study is conducted based on the average U.S. driving and electricity supply conditions. Nanowastes and nanoparticle emissions from the SiNW synthesis are also characterized and reported. The LCA results show that over 50% of most characterized impacts are generated from the battery operations, while the battery anode with SiNW material contributes to around 15% of global warming potential and 10% of human toxicity potential. Overall the life cycle impacts of this new battery pack are moderately higher than those of conventional LIBs but could be actually comparable when considering the uncertainties and scale-up potential of the technology. These results are encouraging because they not only provide a solid base for sustainable development of next generation LIBs but also confirm that appropriate nanomanufacturing technologies could be used in sustainable product development.

  6. Dependence of performance of Si nanowire solar cells on geometry of the nanowires.

    PubMed

    Khan, Firoz; Baek, Seong-Ho; Kim, Jae Hyun

    2014-01-01

    The dependence of performance of silicon nanowires (SiNWs) solar cells on the growth condition of the SiNWs has been described. Metal-assisted electroless etching (MAE) technique has been used to grow SiNWs array. Different concentration of aqueous solution containing AgNO3 and HF for Ag deposition is used. The diameter and density of SiNWs are found to be dependent on concentration of solution used for Ag deposition. The diameter and density of SiNWs have been used to calculate the filling ratio of the SINWs arrays. The filling ratio is increased with increase in AgNO3 concentration, whereas it is decreased with increase in HF concentration. The minimum reflectance value achieved is ~1% for SiNWs of length of ~1.2 μ m in the wavelength range of 300-1000 nm. The performance and diode parameters strongly depend on the geometry of SiNWs. The maximum short circuit current density achieved is 35.6 mA/cm(2). The conversion efficiency of solar cell is 9.73% for SiNWs with length, diameter, and wire density of ~1.2 μ m, ~75 nm, and 90 μ m(-2), respectively.

  7. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  8. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  9. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  10. Self-limited growth of the CaF nanowire on the Si(5 5 12)-2 × 1 template

    NASA Astrophysics Data System (ADS)

    Kim, Hidong; Duvjir, Ganbat; Dugerjav, Otgonbayar; Li, Huiting; Motlak, Moaaed; Arvisbaatar, Amarmunkh; Seo, Jae M.

    2012-10-01

    The atomic structure and interfacial bonding of the ordered-and-isolated CaF nanowires on Si(5 5 12)-2 × 1 have been disclosed by scanning tunneling microscopy and synchrotron photoemission spectroscopy. Initially, CaF molecules dissociated from thermally deposited CaF2 molecules are adsorbed preferentially on the chain structures of Si(5 5 12)-2 × 1 held at 500 °C. With increasing CaF2 deposition amount, one-dimensional (1D) CaF nanowires composed of (113) and (111) facets are formed. The line density of these CaF nanowires increases as a function of deposition amount. Finally, at a submonolayer coverage, the surface is saturated with these 1D nanowires except for the (225) subunit, while the original period of Si(5 5 12)-2 × 1, 5.35 nm, is preserved. It has been deduced by the present studies that, owing to these preferential adsorption of CaF and facet-dependent growth of a CaF layer within a unit periodic length of Si(5 5 12)-2 × 1, such a self-limited growth of the CaF nanowire with a high aspect ratio becomes possible.

  11. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  12. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    NASA Astrophysics Data System (ADS)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  13. Epitaxial-Growth-Induced Junction Welding of Silver Nanowire Network Electrodes.

    PubMed

    Kang, Hyungseok; Song, Sol-Ji; Sul, Young Eun; An, Byeong-Seon; Yin, Zhenxing; Choi, Yongsuk; Pu, Lyongsun; Yang, Cheol-Woong; Kim, Youn Sang; Cho, Sung Min; Kim, Jung-Gu; Cho, Jeong Ho

    2018-05-22

    In this study, we developed a roll-to-roll Ag electroplating process for metallic nanowire electrodes using a galvanostatic mode. Electroplating is a low-cost and facile method for deposition of metal onto a target surface with precise control of both the composition and the thickness. Metallic nanowire networks [silver nanowires (AgNWs) and copper nanowires (CuNWs)] coated onto a polyethylene terephthalate (PET) film were immersed directly in an electroplating bath containing AgNO 3 . Solvated silver ions (Ag + ions) were deposited onto the nanowire surface through application of a constant current via an external circuit between the nanowire networks (cathode) and a Ag plate (anode). The amount of electroplated Ag was systematically controlled by changing both the applied current density and the electroplating time, which enabled precise control of the sheet resistance and optical transmittance of the metallic nanowire networks. The optimized Ag-electroplated AgNW (Ag-AgNW) films exhibited a sheet resistance of ∼19 Ω/sq at an optical transmittance of 90% (550 nm). A transmission electron microscopy study confirmed that Ag grew epitaxially on the AgNW surface, but a polycrystalline Ag structure was formed on the CuNW surface. The Ag-electroplated metallic nanowire electrodes were successfully applied to various electronic devices such as organic light-emitting diodes, triboelectric nanogenerators, and a resistive touch panel. The proposed roll-to-roll Ag electroplating process provides a simple, low-cost, and scalable method for the fabrication of enhanced transparent conductive electrode materials for next-generation electronic devices.

  14. Room-temperature photodetection dynamics of single GaN nanowires.

    PubMed

    González-Posada, F; Songmuang, R; Den Hertog, M; Monroy, E

    2012-01-11

    We report on the photocurrent behavior of single GaN n-i-n nanowires (NWs) grown by plasma-assisted molecular-beam epitaxy on Si(111). These structures present a photoconductive gain in the range of 10(5)-10(8) and an ultraviolet (350 nm) to visible (450 nm) responsivity ratio larger than 6 orders of magnitude. Polarized light couples with the NW geometry with a maximum photoresponse for polarization along the NW axis. The photocurrent scales sublinearly with optical power, following a I ~ P(β) law (β < 1) in the measured range with β increasing with the measuring frequency. The photocurrent time response remains in the millisecond range, which is in contrast to the persistent (hours) photoconductivity effects observed in two-dimensional photoconductors. The photocurrent is independent of the measuring atmosphere, either in the air or in vacuum. Results are interpreted taking into account the effect of surface states and the total depletion of the NW intrinsic region. © 2011 American Chemical Society

  15. Mode tunable p-type Si nanowire transistor based zero drive load logic inverter.

    PubMed

    Moon, Kyeong-Ju; Lee, Tae-Il; Lee, Sang-Hoon; Han, Young-Uk; Ham, Moon-Ho; Myoung, Jae-Min

    2012-07-25

    A design platform for a zero drive load logic inverter consisting of p-channel Si nanowire based transistors, which controlled their operating mode through an implantation into a gate dielectric layer was demonstrated. As a result, a nanowire based class D inverter having a 4.6 gain value at V(DD) of -20 V was successfully fabricated on a substrate.

  16. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  17. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  18. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    NASA Astrophysics Data System (ADS)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  19. Anomalous junctions characterized by Raman spectroscopy in Si{sub x}Ge{sub 1−x} nanowires with axially degraded components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Minggang, E-mail: xiamg@mail.xjtu.edu.cn; Department of Optical Information Science and Technology, School of Science, Xi'an Jiaotong University, 710049; Han, Jinyun

    2014-09-08

    The characterization of junctions in nanowires by high-resolution transmission electron microscopy with spherical aberration correction is tricky and tedious. Many disadvantages also exist, including rigorous sample preparation and structural damage inflicted by high-energy electrons. In this work, we present a simple, low-cost, and non-destructive Raman spectroscopy method of characterizing anomalous junctions in nanowires with axially degraded components. The Raman spectra of Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are studied in detail using a confocal micro-Raman spectrometer. Three Raman peaks (ν{sub Si–Si} = 490 cm{sup −1}, ν{sub Si–Ge} = 400 cm{sup −1}, and ν{sub Ge–Ge} = 284 cm{sup −1}) up-shift with increased Si content. This up-shift originates inmore » the bond compression induced by a confined effect on the radial direction of nanowire. The anomalous junctions in Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are then observed by Raman spectroscopy and verified by transmission electron microscopy energy-dispersive X-ray spectroscopy. The anomalous junctions of Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are due to the vortex flow of inlet SiH{sub 4} and GeH{sub 4} gas in their synthesis. The anomalous junctions can be used as raw materials for fabricating devices with special functions.« less

  20. Core-shell heterojunction of silicon nanowire arrays and carbon quantum dots for photovoltaic devices and self-driven photodetectors.

    PubMed

    Xie, Chao; Nie, Biao; Zeng, Longhui; Liang, Feng-Xia; Wang, Ming-Zheng; Luo, Linbao; Feng, Mei; Yu, Yongqiang; Wu, Chun-Yan; Wu, Yucheng; Yu, Shu-Hong

    2014-04-22

    Silicon nanostructure-based solar cells have lately intrigued intensive interest because of their promising potential in next-generation solar energy conversion devices. Herein, we report a silicon nanowire (SiNW) array/carbon quantum dot (CQD) core-shell heterojunction photovoltaic device by directly coating Ag-assisted chemical-etched SiNW arrays with CQDs. The heterojunction with a barrier height of 0.75 eV exhibited excellent rectifying behavior with a rectification ratio of 10(3) at ±0.8 V in the dark and power conversion efficiency (PCE) as high as 9.10% under AM 1.5G irradiation. It is believed that such a high PCE comes from the improved optical absorption as well as the optimized carrier transfer and collection capability. Furthermore, the heterojunction could function as a high-performance self-driven visible light photodetector operating in a wide switching wavelength with good stability, high sensitivity, and fast response speed. It is expected that the present SiNW array/CQD core-shell heterojunction device could find potential applications in future high-performance optoelectronic devices.

  1. On the structural and electronic properties of Ir-silicide nanowires on Si(001) surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fatima,; Hossain, Sehtab; Mohottige, Rasika

    Iridium (Ir) modified Silicon (Si) (001) surface is studied with Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Density Functional Theory (DFT). A model for Ir-silicide nanowires based on STM images and ab-initio calculations is proposed. According to our model, the Ir adatom is on the top of the substrate dimer row and directly binds to the dimer atoms. I-V curves measured at 77 K shows that the nanowires are metallic. DFT calculations confirm strong metallic nature of the nanowires.

  2. Tunable violet-blue emission from 3 C-SiC nanowires

    NASA Astrophysics Data System (ADS)

    Zhu, J.; Wu, H.; Chen, H. T.; Wu, X. L.; Xiong, X.

    2009-04-01

    Bulk quantities of straight and curled cubic silicon carbide nanowires (3 C-SiC NWs) are synthesized from the mixture of ZnS, Si, and C powders. The 3 C-SiC NWs are wrapped by amorphous SiO 2 shells with very thin thicknesses of less than 2.0 nm. The deionized water suspension of the as-made NWs shows a photoluminescence (PL) band centered at 548 nm, and a tunable violet-blue photoluminescence is observed as the excitation wavelength increases from 300 to 375 nm after the SiO 2 shell is removed. The PL band at 548 nm relates to the SiO 2 shell. Careful microstructural observation suggests that the tunable PL originates from the quantum confinement effect of 3 C-SiC nanocrystallites with sizes of several nm at the turning of the curled NWs.

  3. Time-resolved analysis of the white photoluminescence from chemically synthesized SiC{sub x}O{sub y} thin films and nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tabassum, Natasha; Nikas, Vasileios; Ford, Brian

    2016-07-25

    The study reported herein presents results on the room-temperature photoluminescence (PL) dynamics of chemically synthesized SiC{sub x}O{sub y≤1.6} (0.19 < x < 0.6) thin films and corresponding nanowire (NW) arrays. The PL decay transients of the SiC{sub x}O{sub y} films/NWs are characterized by fast luminescence decay lifetimes that span in the range of 350–950 ps, as determined from their deconvoluted PL decay spectra and their stretched-exponential recombination behavior. Complementary steady-state PL emission peak position studies for SiC{sub x}O{sub y} thin films with varying C content showed similar characteristics pertaining to the variation of their emission peak position with respect to the excitation photon energy.more » A nearly monotonic increase in the PL energy emission peak, before reaching an energy plateau, was observed with increasing excitation energy. This behavior suggests that band-tail states, related to C-Si/Si-O-C bonding, play a prominent role in the recombination of photo-generated carriers in SiC{sub x}O{sub y}. Furthermore, the PL lifetime behavior of the SiC{sub x}O{sub y} thin films and their NWs was analyzed with respect to their luminescence emission energy. An emission-energy-dependent lifetime was observed, as a result of the modulation of their band-tail states statistics with varying C content and with the reduced dimensionality of the NWs.« less

  4. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  5. Deformation mechanisms of bent Si nanowires governed by the sign and magnitude of strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lihua, E-mail: wlh@bjut.edu.cn, E-mail: xdhan@bjut.edu.cn, E-mail: j.zou@uq.edu.au; Materials Engineering, The University of Queensland, Brisbane, QLD 4072; Kong, Deli

    2016-04-11

    In this study, the deformation mechanisms of bent Si nanowires are investigated at the atomic scale with bending strain up to 12.8%. The sign and magnitude of the applied strain are found to govern their deformation mechanisms, in which the dislocation types (full or partial dislocations) can be affected by the sign (tensile or compressive) and magnitude of the applied strain. In the early stages of bending, plastic deformation is controlled by 60° full dislocations. As the bending increases, Lomer dislocations can be frequently observed. When the strain increases to a significant level, 90° partial dislocations induced from the tensilemore » surfaces of the bent nanowires are observed. This study provides a deeper understanding of the effect of the sign and magnitude of the bending strain on the deformation mechanisms in bent Si nanowires.« less

  6. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  7. Universal behavior of surface-dangling bonds in hydrogen-terminated Si, Ge, and Si/Ge nanowires.

    NASA Astrophysics Data System (ADS)

    Nunes, Ricardo; Kagimura, Ricardo; Chacham, Hélio

    2007-03-01

    We report an ab initio study of the electronic properties of surface dangling bond (SDB) states in hydrogen-terminated Si, Ge, and Si/Ge nanowires with diameters between 1 and 2 nm. We find that the charge transition levels ɛ(+/-) of SDB states are deep in the bandgap for Si wires, and shallow (near the valence band edge) for Ge wires. In both Si and Ge wires, the SDB states are localized. We also find that the SDB ɛ(+/-) levels behave as a ``universal" energy reference level among Si, Ge, and Si/Ge wires within a precision of 0.1 eV. By computing the average bewteen the electron affinity and ionization energy in the atomi limit of several atoms from the III, IV and V columns, we conjecture that the universality is a periodic-table atomic property.

  8. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm andmore » 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.« less

  9. ALD TiO2 coated silicon nanowires for lithium ion battery anodes with enhanced cycling stability and coulombic efficiency.

    PubMed

    Memarzadeh Lotfabad, Elmira; Kalisvaart, Peter; Cui, Kai; Kohandehghan, Alireza; Kupsta, Martin; Olsen, Brian; Mitlin, David

    2013-08-28

    We demonstrate that silicon nanowire (SiNW) Li-ion battery anodes that are conformally coated with TiO2 using atomic layer deposition (ALD) show a remarkable performance improvement. The coulombic efficiency is increased to ∼99%, among the highest ever reported for SiNWs, as compared to 95% for the baseline uncoated samples. The capacity retention after 100 cycles for the nanocomposite is twice as high as that of the baseline at 0.1 C (60% vs. 30%), and more than three times higher at 5 C (34% vs. 10%). We also demonstrate that the microstructure of the coatings is critically important for achieving this effect. Titanium dioxide coatings with an as-deposited anatase structure are nowhere near as effective as amorphous ones, the latter proving much more resistant to delamination from the SiNW core. We use TEM to demonstrate that upon lithiation the amorphous coating develops a highly dispersed nanostructure comprised of crystalline LiTiO2 and a secondary amorphous phase. Electron energy loss spectroscopy (EELS) combined with bulk and surface analytical techniques are employed to highlight the passivating effect of TiO2, which results in significantly fewer cycling-induced electrolyte decomposition products as compared to the bare nanowires.

  10. Monolithically Integrated High-β Nanowire Lasers on Silicon.

    PubMed

    Mayer, B; Janker, L; Loitsch, B; Treu, J; Kostenbader, T; Lichtmannecker, S; Reichert, T; Morkötter, S; Kaniber, M; Abstreiter, G; Gies, C; Koblmüller, G; Finley, J J

    2016-01-13

    Reliable technologies for the monolithic integration of lasers onto silicon represent the holy grail for chip-level optical interconnects. In this context, nanowires (NWs) fabricated using III-V semiconductors are of strong interest since they can be grown site-selectively on silicon using conventional epitaxial approaches. Their unique one-dimensional structure and high refractive index naturally facilitate low loss optical waveguiding and optical recirculation in the active NW-core region. However, lasing from NWs on silicon has not been achieved to date, due to the poor modal reflectivity at the NW-silicon interface. We demonstrate how, by inserting a tailored dielectric interlayer at the NW-Si interface, low-threshold single mode lasing can be achieved in vertical-cavity GaAs-AlGaAs core-shell NW lasers on silicon as measured at low temperature. By exploring the output characteristics along a detection direction parallel to the NW-axis, we measure very high spontaneous emission factors comparable to nanocavity lasers (β = 0.2) and achieve ultralow threshold pump energies ≤11 pJ/pulse. Analysis of the input-output characteristics of the NW lasers and the power dependence of the lasing emission line width demonstrate the potential for high pulsation rates ≥250 GHz. Such highly efficient nanolasers grown monolithically on silicon are highly promising for the realization of chip-level optical interconnects.

  11. Controlling the width of self-assembled dysprosium silicide nanowires on the Si(001) surface.

    PubMed

    Cui, Y; Chung, J; Nogami, J

    2012-02-01

    We present STM data that show that it is possible to use a metal induced 2 × 7 reconstruction of Si(001) to narrow the width distribution of Dy silicide nanowires. This behavior is distinct from the effect of the 7 × 7 reconstruction on the Si(111) surface, where the 7 × 7 serves as a static template and the deposited metal avoids the unit cell boundaries on the substrate. In this case, the 2 × 7 is a dynamic template, and the nanowires nucleate at anti-phase boundaries between 2 × 7 reconstruction domains.

  12. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  13. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    NASA Astrophysics Data System (ADS)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  14. Vertically Aligned and Interconnected SiC Nanowire Networks Leading to Significantly Enhanced Thermal Conductivity of Polymer Composites.

    PubMed

    Yao, Yimin; Zhu, Xiaodong; Zeng, Xiaoliang; Sun, Rong; Xu, Jian-Bin; Wong, Ching-Ping

    2018-03-21

    Efficient heat removal via thermal management materials has become one of the most critical challenges in the development of modern microelectronic devices. However, previously reported polymer composites exhibit limited enhancement of thermal conductivity, even when highly loaded with thermally conductive fillers, because of the lack of efficient heat transfer pathways. Herein, we report vertically aligned and interconnected SiC nanowire (SiCNW) networks as efficient fillers for polymer composites, achieving significantly enhanced thermal conductivity. The SiCNW networks are produced by freeze-casting nanowire aqueous suspensions followed by thermal sintering to consolidate the nanowire junctions, exhibiting a hierarchical architecture in which honeycomb-like SiCNW layers are aligned. The composite obtained by infiltrating SiCNW networks with epoxy resin, at a relatively low SiCNW loading of 2.17 vol %, represents a high through-plane thermal conductivity (1.67 W m -1 K -1 ) compared to the pure matrix, which is equivalent to a significant enhancement of 406.6% per 1 vol % loading. The orderly SiCNW network which can act as a macroscopic expressway for phonon transport is believed to be the main contributor for the excellent thermal performance. This strategy provides insights for the design of high-performance composites with potential to be used in advanced thermal management materials.

  15. Importance of the Debye Screening Length on Nanowire Field Effect Transistor Sensors

    PubMed Central

    Stern, Eric; Wagner, Robin; Sigworth, Fred J.; Breaker, Ronald; Fahmy, Tarek M.; Reed, Mark A.

    2009-01-01

    Nanowire field effect transistors (NW-FETs) can serve as ultrasensitive detectors for label-free reagents. The NW-FET sensing mechanism assumes a controlled modification in the local channel electric field created by the binding of charged molecules to the nanowire surface. Careful control of the solution Debye length is critical for unambiguous selective detection of macromolecules. Here we show the appropriate conditions under which the selective binding of macromolecules is accurately sensed with NW-FET sensors. PMID:17914853

  16. Importance of the Debye screening length on nanowire field effect transistor sensors.

    PubMed

    Stern, Eric; Wagner, Robin; Sigworth, Fred J; Breaker, Ronald; Fahmy, Tarek M; Reed, Mark A

    2007-11-01

    Nanowire field effect transistors (NW-FETs) can serve as ultrasensitive detectors for label-free reagents. The NW-FET sensing mechanism assumes a controlled modification in the local channel electric field created by the binding of charged molecules to the nanowire surface. Careful control of the solution Debye length is critical for unambiguous selective detection of macromolecules. Here we show the appropriate conditions under which the selective binding of macromolecules is accurately sensed with NW-FET sensors.

  17. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    PubMed

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  18. Fabrication of ordered NiO coated Si nanowire array films as electrodes for a high performance lithium ion battery.

    PubMed

    Qiu, M C; Yang, L W; Qi, X; Li, Jun; Zhong, J X

    2010-12-01

    Highly ordered NiO coated Si nanowire array films are fabricated as electrodes for a high performance lithium ion battery via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The structures and morphologies of as-prepared films are characterized by X-ray diffraction, scanning electron microscopy, and transmission electron microscopy. When the potential window versus lithium was controlled, the coated NiO can be selected to be electrochemically active to store and release Li+ ions, while highly conductive crystalline Si cores function as nothing more than a stable mechanical support and an efficient electrical conducting pathway. The hybrid nanowire array films exhibit superior cyclic stability and reversible capacity compared to that of NiO nanostructured films. Owing to the ease of large-scale fabrication and superior electrochemical performance, these hybrid nanowire array films will be promising anode materials for high performance lithium-ion batteries.

  19. Step-gate polysilicon nanowires field effect transistor compatible with CMOS technology for label-free DNA biosensor.

    PubMed

    Wenga, G; Jacques, E; Salaün, A-C; Rogel, R; Pichon, L; Geneste, F

    2013-02-15

    Currently, detection of DNA hybridization using fluorescence-based detection technique requires expensive optical systems and complex bioinformatics tools. Hence, the development of new low cost devices that enable direct and highly sensitive detection stimulates a lot of research efforts. Particularly, devices based on silicon nanowires are emerging as ultrasensitive electrical sensors for the direct detection of biological species thanks to their high surface to volume ratio. In this study, we propose innovative devices using step-gate polycrystalline silicon nanowire FET (poly-Si NW FETs), achieved with simple and low cost fabrication process, and used as ultrasensitive electronic sensor for DNA hybridization. The poly-SiNWs are synthesized using the sidewall spacer formation technique. The detailed fabrication procedure for a step-gate NWFET sensor is described in this paper. No-complementary and complementary DNA sequences were clearly discriminated and detection limit to 1 fM range is observed. This first result using this nano-device is promising for the development of low cost and ultrasensitive polysilicon nanowires based DNA sensors compatible with the CMOS technology. Copyright © 2012 Elsevier B.V. All rights reserved.

  20. Fabrication and characterization of high-K dielectric integrated silicon nanowire sensor for DNA sensing application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Jayakumar, Ganesh; Legallais, Maxime; Hellström, Per-Erik; Mouis, Mireille; Stambouli, Valérie; Ternon, Céline; Östling, Mikael

    2016-09-01

    1D silicon nanowires (SiNW) are attractive for charge based DNA sensing applications due to their small size and large surface to volume ratio. An ideal portable biosensor is expected to have repeatable and reliable sensitivity, selectivity, low production cost and small feature size. Instead of using tools such as e-beam that are capital and time intensive, we propose a low cost CMOS self-aligned-double-patterning I-line lithography process to fabricate 60 nm wide SiNW. DNA probes are grafted on a thin dielectric layer that is deposited on top of the SiNW surface. Here we used HfO2 instead of the usual SiO2. Indeed, compared to SiO2, HfO2 has been reported to have higher amount of OH groups on its surface leading to enhanced signal quality. We also report preliminary biosensor characterizations. After HfO2 functionalization and single-stranded DNA probe grafting onto the SiNWs, the sensors were first put in contact with fluorophore labelled complementary DNA targets in order to test the efficiency of DNA hybridization optically. Then, a sequence of hybridization, de-hybridization and re-hybridization steps was followed by Id-Vg measurements in order to measure the electrical response of the sensors to target DNA as well as recycling capability. After each step, SiNW devices exhibited a threshold voltage shift larger than device-to-device dispersion, showing that both complementary DNA hybridization and de-hybridization can be electrically detected. These results are very encouraging as they open new frontiers for heterogeneous integration of liquid interacting array of nano sensors with CMOS circuits to fabricate a complete lab on chip.

  1. Vapor-liquid-solid epitaxial growth of Si 1-xGe x alloy nanowires. Composition dependence on precursor reactivity and morphology control for vertical forests

    DOE PAGES

    Choi, S. G.; Manandhar, P.; Picraux, S. T.

    2015-07-07

    The growth of high-density group IV alloy nanowire forests is critical for exploiting their unique functionalities in many applications. Here, the compositional dependence on precursor reactivity and optimized conditions for vertical growth are studied for Si 1- x Ge x alloy nanowires grown by the vapor-liquid-solid method. The nanowire composition versus gas partial-pressure ratio for germane-silane and germane-disilane precursor combinations is obtained at 350°C over a wide composition range (0.05 ≤ x ≤ 0.98) and a generalized model to predict composition for alloy nanowires is developed based on the relative precursor partial pressures and reactivity ratio. In combination with germane,more » silane provides more precise compositional control at high Ge concentrations (x > 0.7), whereas disilane greatly increases the Si concentration for a given gas ratio and enables more precise alloy compositional control at small Ge concentrations (x < 0.3). Vertically oriented, non-kinking nanowire forest growth on Si (111) substrates is then discussed for silane/germane over a wide range of compositions, with temperature and precursor partial pressure optimized by monitoring the nanowire growth front using in-situ optical reflectance. For high Ge compositions (x ≈ 0.9), a “two-step” growth approach with nucleation at higher temperatures results in nanowires with high-density and uniform vertical orientation. Furthermore, increasing Si content (x ≈ 0.8), the optimal growth window is shifted to higher temperatures, which minimizes nanowire kinking morphologies. For Si-rich Si 1- x Ge x alloys (x ≈ 0.25), vertical nanowire growth is enhanced by single-step, higher-temperature growth at reduced pressures.« less

  2. Spin wave filtering and guiding in Permalloy/iron nanowires

    NASA Astrophysics Data System (ADS)

    Silvani, R.; Kostylev, M.; Adeyeye, A. O.; Gubbiotti, G.

    2018-03-01

    We have investigated the spin wave filtering and guiding properties of periodic array of single (Permalloy and Fe) and bi-layer (Py/Fe) nanowires (NWs) by means of Brillouin light scattering measurements and micromagnetic simulations. For all the nanowire arrays, the thickness of the layers is 10 nm while all NWs have the same width of 340 nm and edge-to-edge separation of 100 nm. Spin wave dispersion has been measured in the Damon-Eshbach configuration for wave vector either parallel or perpendicular to the nanowire length. This study reveals the filtering property of the spin waves when the wave vector is perpendicular to the NW length, with frequency ranges where the spin wave propagation is permitted separated by frequency band gaps, and the guiding property of NW when the wave vector is oriented parallel to the NW, with spin wave modes propagating in parallel channels in the central and edge regions of the NW. The measured dispersions were well reproduced by micromagnetic simulations, which also deliver the spatial profiles for the modes at zero wave vector. To reproduce the dispersion of the modes localized close to the NW edges, uniaxial anisotropy has been introduced. In the case of Permalloy/iron NWs, the obtained results have been compared with those for a 20 nm thick effective NW having average magnetic properties of the two materials.

  3. Demonstration of Confined Electron Gas and Steep-Slope Behavior in Delta-Doped GaAs-AlGaAs Core-Shell Nanowire Transistors.

    PubMed

    Morkötter, S; Jeon, N; Rudolph, D; Loitsch, B; Spirkoska, D; Hoffmann, E; Döblinger, M; Matich, S; Finley, J J; Lauhon, L J; Abstreiter, G; Koblmüller, G

    2015-05-13

    Strong surface and impurity scattering in III-V semiconductor-based nanowires (NW) degrade the performance of electronic devices, requiring refined concepts for controlling charge carrier conductivity. Here, we demonstrate remote Si delta (δ)-doping of radial GaAs-AlGaAs core-shell NWs that unambiguously exhibit a strongly confined electron gas with enhanced low-temperature field-effect mobilities up to 5 × 10(3) cm(2) V(-1) s(-1). The spatial separation between the high-mobility free electron gas at the NW core-shell interface and the Si dopants in the shell is directly verified by atom probe tomographic (APT) analysis, band-profile calculations, and transport characterization in advanced field-effect transistor (FET) geometries, demonstrating powerful control over the free electron gas density and conductivity. Multigated NW-FETs allow us to spatially resolve channel width- and crystal phase-dependent variations in electron gas density and mobility along single NW-FETs. Notably, dc output and transfer characteristics of these n-type depletion mode NW-FETs reveal excellent drain current saturation and record low subthreshold slopes of 70 mV/dec at on/off ratios >10(4)-10(5) at room temperature.

  4. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  5. Solution-processed highly conductive PEDOT:PSS/AgNW/GO transparent film for efficient organic-Si hybrid solar cells.

    PubMed

    Xu, Qiaojing; Song, Tao; Cui, Wei; Liu, Yuqiang; Xu, Weidong; Lee, Shuit-Tong; Sun, Baoquan

    2015-02-11

    Hybrid solar cells based on n-Si/poly(3,4-ethylenedioxythiophene):poly(styrene- sulfonate) (PEDOT:PSS) heterojunction promise to be a low cost photovoltaic technology by using simple device structure and easy fabrication process. However, due to the low conductivity of PEDOT:PSS, a metal grid deposited by vacuum evaporation method is still required to enhance the charge collection efficiency, which complicates the device fabrication process. Here, a solution-processed graphene oxide (GO)-welded silver nanowires (AgNWs) transparent conductive electrode (TCE) was employed to replace the vacuum deposited metal grid. A unique "sandwich" structure was developed by embedding an AgNW network between PEDOT:PSS and GO with a figure-of-merit of 8.6×10(-3) Ω(-1), which was even higher than that of sputtered indium tin oxide electrode (6.6×10(-3) Ω(-1)). A champion power conversion efficiency of 13.3% was achieved, because of the decreased series resistance of the TCEs as well as the enhanced built-in potential (Vbi) in the hybrid solar cells. The TCEs were obtained by facile low-temperature solution process method, which was compatible with cost-effective mass production technology.

  6. Confined Ultrathin Pd-Ce Nanowires with Outstanding Moisture and SO2 Tolerance in Methane Combustion.

    PubMed

    Peng, Honggen; Rao, Cheng; Zhang, Ning; Wang, Xiang; Liu, Wenming; Mao, Wenting; Han, Lu; Zhang, Pengfei; Dai, Sheng

    2018-05-22

    An efficient strategy (enhanced metal oxide interaction and core-shell confinement to inhibit the sintering of noble metal) is presented confined ultrathin Pd-CeO x nanowire (2.4 nm) catalysts for methane combustion, which enable CH 4 total oxidation at a low temperature of 350 °C, much lower than that of a commercial Pd/Al 2 O 3 catalyst (425 °C). Importantly, unexpected stability was observed even under harsh conditions (800 °C, water vapor, and SO 2 ), owing to the confinement and shielding effect of the porous silica shell together with the promotion of CeO 2 . Pd-CeO x solid solution nanowires (Pd-Ce NW) as cores and porous silica as shells (Pd-CeNW@SiO 2 ) were rationally prepared by a facile and direct self-assembly strategy for the first time. This strategy is expected to inspire more active and stable catalysts for use under severe conditions (vehicle emissions control, reforming, and water-gas shift reaction). © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Nanowire Aptasensors for Electrochemical Detection of Cell-Secreted Cytokines.

    PubMed

    Liu, Ying; Rahimian, Ali; Krylyuk, Sergiy; Vu, Tam; Crulhas, Bruno; Stybayeva, Gulnaz; Imanbekova, Meruyert; Shin, Dong-Sik; Davydov, Albert; Revzin, Alexander

    2017-11-22

    Cytokines are small proteins secreted by immune cells in response to pathogens/infections; therefore, these proteins can be used in diagnosing infectious diseases. For example, release of a cytokine interferon (IFN)-γ from T-cells is used for blood-based diagnosis of tuberculosis (TB). Our lab has previously developed an atpamer-based electrochemical biosensor for rapid and sensitive detection of IFN-γ. In this study, we explored the use of silicon nanowires (NWs) as a way to create nanostructured electrodes with enhanced sensitivity for IFN-γ. Si NWs were covered with gold and were further functionalized with thiolated aptamers specific for IFN-γ. Aptamer molecules were designed to form a hairpin and in addition to terminal thiol groups contained redox reporter molecules methylene blue. Binding of analyte to aptamer-modified NWs (termed here nanowire aptasensors) inhibited electron transfer from redox reporters to the electrode and caused electrochemical redox signal to decrease. In a series of experiments we demonstrate that NW aptasensors responded 3× faster and were 2× more sensitive to IFN-γ compared to standard flat electrodes. Most significantly, NW aptasensors allowed detection of IFN-γ from as few as 150 T-cells/mL while ELISA did not pick up signal from the same number of cells. One of the challenges faced by ELISA-based TB diagnostics is poor performance in patients whose T-cell numbers are low, typically HIV patients. Therefore, NW aptasensors developed here may be used in the future for more sensitive monitoring of IFN-γ responses in patients coinfected with HIV/TB.

  8. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  9. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  10. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  11. Preparation of SiC/SiO2 core-shell nanowires via molten salt mediated carbothermal reduction route

    NASA Astrophysics Data System (ADS)

    Zhang, Ju; Yan, Shuai; Jia, Quanli; Huang, Juntong; Lin, Liangxu; Zhang, Shaowei

    2016-06-01

    The growth of silicon carbide (SiC) crystal generally requires a high temperature, especially when low quality industrial wastes are used as the starting raw materials. In this work, SiC/SiO2 core-shell nanowires (NWs) were synthesized from low cost silica fume and sucrose via a molten salt mediated carbothermal reduction (CR) route. The molten salt was found to be effective in promoting the SiC growth and lowering the synthesis temperature. The resultant NWs exhibited a heterostructure composed of a 3C-SiC core of 100 nm in diameter and a 5-10 nm thick amorphous SiO2 shell layer. The photoluminescence spectrum of the achieved SiC NWs displayed a significant blue shift (a dominant luminescence at round 422 nm), which suggested that they were high quality and could be a promising candidate material for future optoelectronic applications.

  12. Solution-Processed Germanium Nanowire-Positioned Schottky Solar Cells

    DTIC Science & Technology

    2011-04-01

    nanowire (GeNW)-positioned Schottky solar cell was fabricated by a solution process. A GeNW-containing solution was spread out onto asymmetric metal ...177 mV and a short-circuit current of 19.2 nA. Schottky and ohmic contacts between a single GeNW and different metal electrodes were systematically...containing solution was spread out onto asymmetric metal electrodes to produce a rectifying current flow. Under one-sun illumination, the GeNW

  13. Room-temperature InP/InAsP Quantum Discs-in-Nanowire Infrared Photodetectors.

    PubMed

    Karimi, Mohammad; Jain, Vishal; Heurlin, Magnus; Nowzari, Ali; Hussain, Laiq; Lindgren, David; Stehr, Jan Eric; Buyanova, Irina A; Gustafsson, Anders; Samuelson, Lars; Borgström, Magnus T; Pettersson, Håkan

    2017-06-14

    The possibility to engineer nanowire heterostructures with large bandgap variations is particularly interesting for technologically important broadband photodetector applications. Here we report on a combined study of design, fabrication, and optoelectronic properties of infrared photodetectors comprising four million n + -i-n + InP nanowires periodically ordered in arrays. The nanowires were grown by metal-organic vapor phase epitaxy on InP substrates, with either a single or 20 InAsP quantum discs embedded in the i-segment. By Zn compensation of the residual n-dopants in the i-segment, the room-temperature dark current is strongly suppressed to a level of pA/NW at 1 V bias. The low dark current is manifested in the spectrally resolved photocurrent measurements, which reveal strong photocurrent contributions from the InAsP quantum discs at room temperature with a threshold wavelength of about 2.0 μm and a bias-tunable responsivity reaching 7 A/W@1.38 μm at 2 V bias. Two different processing schemes were implemented to study the effects of radial self-gating in the nanowires induced by the nanowire/SiO x /ITO wrap-gate geometry. Summarized, our results show that properly designed axial InP/InAsP nanowire heterostructures are promising candidates for broadband photodetectors.

  14. High density and taper-free boron doped Si{sub 1−x}Ge{sub x} nanowire via two-step growth process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Periwal, Priyanka; Salem, Bassem; Bassani, Franck

    2014-07-01

    The authors study Au catalyzed chemical vapor growth of Si{sub 1−x}Ge{sub x} alloyed nanowires in the presence of diborane, serving as a dopant precursor. Our experiments reveal that introduction of diborane has a significant effect on doping and morphology. Boron exposure poisons the Au catalyst surface, suppresses catalyst activity, and causes significantly tapered wires, as a result of conformal growth. The authors develop here a two-step method to obtain high density and taper-free boron doped Si{sub 1−x}Ge{sub x} alloy nanowires. The two-step process consists of: (1) growth of a small undoped Si{sub 1−x}Ge{sub x} section and (2) introduction of diboranemore » to form a boron doped Si{sub 1−x}Ge{sub x} section. The catalyst preparation step remarkably influences wire yield, quality and morphology. The authors show that dopant-ratio influences wire resistivity and morphology. Resistivity for high boron doped Si{sub 1−x}Ge{sub x} nanowire is 6 mΩ-cm. Four probe measurements show that it is possible to dope Si{sub 1−x}Ge{sub x} alloy nanowires with diborane.« less

  15. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates

    NASA Astrophysics Data System (ADS)

    Mahato, J. C.; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B. N.

    2017-10-01

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types—flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi2 and Si are A-type. In the ridged NWs CoSi2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  16. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  17. Optical properties of boron-group (V) hexagonal nanowires: DFT investigation

    NASA Astrophysics Data System (ADS)

    Santhibhushan, B.; Soni, Mahesh; Srivastava, Anurag

    2017-07-01

    The paper presents structural, electronic and optical properties of boron-group V hexagonal nanowires (h-NW) within the framework of density functional theory. The h-NW of boron-group V compounds with an analogous diameter of 12 Å have been designed in (1 1 1) plane. Stability analysis performed through formation energies reveal that, the stability of these structures decreases with increasing atomic number of the group V element. The band nature predicts that these nanowires are good electrical conductors. Optical behaviour of the nanowires has been analysed through absorption coefficient, reflectivity, refractive index, optical conductivity and electron energy loss spectrum (EELS), that are computed from the frequency-dependent complex dielectric function. The analysis reveals high reactivity of BP and BAs h-NWs to the incident light especially in the IR and visible ranges, and the optical transparency of BN h-NW in the visible and UV ranges.

  18. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  19. On the diffraction pattern of bundled rare-earth silicide nanowires on Si(0 0 1).

    PubMed

    Timmer, F; Bahlmann, J; Wollschläger, J

    2017-11-01

    Motivated by the complex diffraction pattern observed for bundled rare-earth silicide nanowires on the Si(0 0 1) surface, we investigate the influence of the width and the spacing distribution of the nanowires on the diffraction pattern. The diffraction pattern of the bundled rare-earth silicide nanowires is analyzed by the binary surface technique applying a kinematic approach to diffraction. Assuming a categorical distribution for the (individual) nanowire size and a Poisson distribution for the size of the spacing between adjacent nanowire-bundles, we are able to determine the parameters of these distributions and derive an expression for the distribution of the nanowire-bundle size. Additionally, the comparison of our simulations to the experimental diffraction pattern reveal that a (1  ×  1)-periodicity on top of the nanowires has to be assumed for a good match.

  20. Hierarchical Branched Vanadium Oxide Nanorod@Si Nanowire Architecture for High Performance Supercapacitors.

    PubMed

    Li, Zhaodong; Wang, Fei; Wang, Xudong

    2017-01-01

    Vanadium oxide (VO x ) nanorods are uniformly synthesized on dense Si nanowire arrays. This 3D hierarchical nanoarchitecture offers a novel high-performance supercapacitor electrode design with significantly improved specific capacitance and high-rate capability. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  2. Porphyrin conjugated SiC/SiOx nanowires for X-ray-excited photodynamic therapy.

    PubMed

    Rossi, F; Bedogni, E; Bigi, F; Rimoldi, T; Cristofolini, L; Pinelli, S; Alinovi, R; Negri, M; Dhanabalan, S C; Attolini, G; Fabbri, F; Goldoni, M; Mutti, A; Benecchi, G; Ghetti, C; Iannotta, S; Salviati, G

    2015-01-05

    The development of innovative nanosystems opens new perspectives for multidisciplinary applications at the frontier between materials science and nanomedicine. Here we present a novel hybrid nanosystem based on cytocompatible inorganic SiC/SiOx core/shell nanowires conjugated via click-chemistry procedures with an organic photosensitizer, a tetracarboxyphenyl porphyrin derivative. We show that this nanosystem is an efficient source of singlet oxygen for cell oxidative stress when irradiated with 6 MV X-Rays at low doses (0.4-2 Gy). The in-vitro clonogenic survival assay on lung adenocarcinoma cells shows that 12 days after irradiation at a dose of 2 Gy, the cell population is reduced by about 75% with respect to control cells. These results demonstrate that our approach is very efficient to enhance radiation therapy effects for cancer treatments.

  3. Porphyrin conjugated SiC/SiOx nanowires for X-ray-excited photodynamic therapy

    NASA Astrophysics Data System (ADS)

    Rossi, F.; Bedogni, E.; Bigi, F.; Rimoldi, T.; Cristofolini, L.; Pinelli, S.; Alinovi, R.; Negri, M.; Dhanabalan, S. C.; Attolini, G.; Fabbri, F.; Goldoni, M.; Mutti, A.; Benecchi, G.; Ghetti, C.; Iannotta, S.; Salviati, G.

    2015-01-01

    The development of innovative nanosystems opens new perspectives for multidisciplinary applications at the frontier between materials science and nanomedicine. Here we present a novel hybrid nanosystem based on cytocompatible inorganic SiC/SiOx core/shell nanowires conjugated via click-chemistry procedures with an organic photosensitizer, a tetracarboxyphenyl porphyrin derivative. We show that this nanosystem is an efficient source of singlet oxygen for cell oxidative stress when irradiated with 6 MV X-Rays at low doses (0.4-2 Gy). The in-vitro clonogenic survival assay on lung adenocarcinoma cells shows that 12 days after irradiation at a dose of 2 Gy, the cell population is reduced by about 75% with respect to control cells. These results demonstrate that our approach is very efficient to enhance radiation therapy effects for cancer treatments.

  4. Review on the dynamics of semiconductor nanowire lasers

    NASA Astrophysics Data System (ADS)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  5. Fabrication of nanowire electronics on nonconventional substrates by water-assisted transfer printing method

    NASA Astrophysics Data System (ADS)

    Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2015-06-01

    We report a simple, versatile, and wafer-scale water-assisted transfer printing method (WTP) that enables the transfer of nanowire devices onto diverse nonconventional substrates that were not easily accessible before, such as paper, plastics, tapes, glass, polydimethylsiloxane (PDMS), aluminum foil, and ultrathin polymer substrates. The WTP method relies on the phenomenon of water penetrating into the interface between Ni and SiO2. The transfer yield is nearly 100%, and the transferred devices, including NW resistors, diodes, and field effect transistors, maintain their original geometries and electronic properties with high fidelity.

  6. Thermoelectric properties of semiconductor nanowire networks

    DOE PAGES

    Roslyak, Oleksiy; Piryatinski, Andrei

    2016-03-28

    To examine the thermoelectric (TE) properties of a semiconductor nanowire (NW) network, we propose a theoretical approach mapping the TE network on a two-port network. In contrast to a conventional single-port (i.e., resistor)network model, our model allows for large scale calculations showing convergence of TE figure of merit, ZT, with an increasing number of junctions. Using this model, numerical simulations are performed for the Bi 2Te 3 branched nanowire (BNW) and Cayley tree NW (CTNW) network. We find that the phonon scattering at the network junctions plays a dominant role in enhancing the network ZT. Specifically, disordered BNW and CTNWmore » demonstrate an order of magnitude higher ZT enhancement compared to their ordered counterparts. Formation of preferential TE pathways in CTNW makes the network effectively behave as its BNW counterpart. In conclusion, we provide formalism for simulating large scale nanowire networks hinged upon experimentally measurable TE parameters of a single T-junction.« less

  7. III-nitride nanowire LEDs and diode lasers: monolithic light sources on (001) Si emitting in the 600-1300nm range

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2018-02-01

    GaN-based nanowire heterostructure arrays epitaxially grown on (001)Si substrates have unique properties and present the potential to realize useful devices. The active light-emitting region in the nanowire heterostructures are usually InGaN disks, whose composition can be varied to tune the emission wavelength. We have demonstrated light emitting diodes and edgeemitting diode lasers with power outputs 10mW with emission in the 600-1300nm wavelength range. These light sources are therefore useful for a variety of applications, including silicon photonics. Molecular beam epitaxial growth of the nanowire heterostructure arrays on (001)Si substrates and the characteristics of 1.3μm nanowire array edge emitting lasers, guided wave photodiodes and a monolithic photonic integrated circuit designed for 1.3μm operation are described.

  8. Cathodoluminescence study of one-dimensional free-standing widegap-semiconductor nanostructures: GaN nanotubes, Si3N4 nanobelts and ZnS/Si nanowires.

    PubMed

    Sekiguchi, Takashi; Hu, Junqing; Bando, Yoshio

    2004-01-01

    Luminescence properties of one-dimensional free-standing widegap-semiconductor nanostructures were characterized by means of cathodoluminescence (CL). GaN nanopipes, alpha-Si3N4 nanobelts and ZnS/Si nanowires were fabricated by a catalyst-free method, namely grown in an induction furnace from powders. After the observation of morphology by scanning electron microscopy as well as the confirmation of their crystal structures by transmission electron microscopy, their CL spectra and images were observed. The CL spectra mapping as well as the monochromatic CL imaging revealed the variation of the luminescence spectra of different nanowires as well as that along a single wire. These results revealed the optical features of nanostructures.

  9. Plateau-Rayleigh Crystal Growth of Nanowire Heterostructures: Strain-Modified Surface Chemistry and Morphological Control in One, Two, and Three Dimensions.

    PubMed

    Day, Robert W; Mankin, Max N; Lieber, Charles M

    2016-04-13

    One-dimensional (1D) structures offer unique opportunities for materials synthesis since crystal phases and morphologies that are difficult or impossible to achieve in macroscopic crystals can be synthesized as 1D nanowires (NWs). Recently, we demonstrated one such phenomenon unique to growth on a 1D substrate, termed Plateau-Rayleigh (P-R) crystal growth, where periodic shells develop along a NW core to form diameter-modulated NW homostructures with tunable morphologies. Here we report a novel extension of the P-R crystal growth concept with the synthesis of heterostructures in which Ge (Si) is deposited on Si (Ge) 1D cores to generate complex NW morphologies in 1, 2, or 3D. Depositing Ge on 50 nm Si cores with a constant GeH4 pressure yields a single set of periodic shells, while sequential variation of GeH4 pressure can yield multimodulated 1D NWs with two distinct sets of shell periodicities. P-R crystal growth on 30 nm cores also produces 2D loop structures, where Ge (Si) shells lie primarily on the outside (inside) of a highly curved Si (Ge) core. Systematic investigation of shell morphology as a function of growth time indicates that Ge shells grow in length along positive curvature Si cores faster than along straight Si cores by an order of magnitude. Short Ge deposition times reveal that shells develop on opposite sides of 50 and 100 nm Si cores to form straight 1D morphologies but that shells develop on the same side of 20 nm cores to produce 2D loop and 3D spring structures. These results suggest that strain mediates the formation of 2 and 3D morphologies by altering the NW's surface chemistry and that surface diffusion of heteroatoms on flexible freestanding 1D substrates can facilitate this strain-mediated mechanism.

  10. A new approach of the synthesis of SiO 2 nanowires by using bulk copper foils as catalyst

    DOE PAGES

    Gomez-Martinez, A.; Márquez, F.; Morant, C.

    2016-06-22

    In this paper, a novel procedure for the growth of SiO 2 nanowires (SiO 2NWs) directly from polycrystalline copper foils is reported. The single-step synthesis procedure consists of a thermal treatment at 900°C without the need for additional catalysts. As a result, nanowires with an average diameter of 100 nm are synthesized. A systematic study undertaken at different stages of the SiO 2NWs growth confirmed the generation of nucleation centers on the Cu surface, as well as revealed the existence of an intermediate gaseous SiO species at the synthesis temperature. Lastly, on the basis of these evidences, the vapor-liquid-solid (VLS)more » route has been proposed as the mechanism responsible for the growth.« less

  11. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces.

    PubMed

    Hong, Ie-Hong; Liao, Yung-Cheng; Tsai, Yung-Feng

    2013-11-05

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process.

  12. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces

    PubMed Central

    2013-01-01

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process. PMID:24188092

  13. Current-driven dynamics of skyrmions stabilized in MnSi nanowires revealed by topological Hall effect

    PubMed Central

    Liang, Dong; DeGrave, John P.; Stolt, Matthew J.; Tokura, Yoshinori; Jin, Song

    2015-01-01

    Skyrmions hold promise for next-generation magnetic storage as their nanoscale dimensions may enable high information storage density and their low threshold for current-driven motion may enable ultra-low energy consumption. Skyrmion-hosting nanowires not only serve as a natural platform for magnetic racetrack memory devices but also stabilize skyrmions. Here we use the topological Hall effect (THE) to study phase stability and current-driven dynamics of skyrmions in MnSi nanowires. THE is observed in an extended magnetic field-temperature window (15–30 K), suggesting stabilization of skyrmions in nanowires compared with the bulk. Furthermore, we show in nanowires that under the high current density of 108–109 A m−2, the THE decreases with increasing current densities, which demonstrates the current-driven motion of skyrmions generating the emergent electric field in the extended skyrmion phase region. These results open up the exploration of skyrmions in nanowires for fundamental physics and magnetic storage technologies. PMID:26400204

  14. A CMOS wireless biomolecular sensing system-on-chip based on polysilicon nanowire technology.

    PubMed

    Huang, C-W; Huang, Y-J; Yen, P-W; Tsai, H-H; Liao, H-H; Juang, Y-Z; Lu, S-S; Lin, C-T

    2013-11-21

    As developments of modern societies, an on-field and personalized diagnosis has become important for disease prevention and proper treatment. To address this need, in this work, a polysilicon nanowire (poly-Si NW) based biosensor system-on-chip (bio-SSoC) is designed and fabricated by a 0.35 μm 2-Poly-4-Metal (2P4M) complementary metal-oxide-semiconductor (CMOS) process provided by a commercialized semiconductor foundry. Because of the advantages of CMOS system-on-chip (SoC) technologies, the poly-Si NW biosensor is integrated with a chopper differential-difference amplifier (DDA) based analog-front-end (AFE), a successive approximation analog-to-digital converter (SAR ADC), and a microcontroller to have better sensing capabilities than a traditional Si NW discrete measuring system. In addition, an on-off key (OOK) wireless transceiver is also integrated to form a wireless bio-SSoC technology. This is pioneering work to harness the momentum of CMOS integrated technology into emerging bio-diagnosis technologies. This integrated technology is experimentally examined to have a label-free and low-concentration biomolecular detection for both Hepatitis B Virus DNA (10 fM) and cardiac troponin I protein (3.2 pM). Based on this work, the implemented wireless bio-SSoC has demonstrated a good biomolecular sensing characteristic and a potential for low-cost and mobile applications. As a consequence, this developed technology can be a promising candidate for on-field and personalized applications in biomedical diagnosis.

  15. Electrochemical properties of high-power supercapacitors using ordered NiO coated Si nanowire array electrodes

    NASA Astrophysics Data System (ADS)

    Lu, Fang; Qiu, Mengchun; Qi, Xiang; Yang, Liwen; Yin, Jinjie; Hao, Guolin; Feng, Xiang; Li, Jun; Zhong, Jianxin

    2011-08-01

    Highly ordered NiO coated Si nanowire arrays are fabricated as electrode materials for electrochemical supercapacitors (ES) via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The electrochemical tests reveal that the constructed electrode has superior electrical conductibility and more active sites per unit area for chemical reaction processes, thereby possessing good cycle stability, high specific capacity, and low internal resistance. The specific capacity is up to 787.5 F g-1 at a discharge current of 2.5 mA and decreases slightly with 4.039% loss after 500 cycles, while the equivalent internal resistance is ˜3.067 Ω. Owing to its favorable electrochemical performance, this ordered hybrid array nanostructure is a promising electrode material in future commercial ES.

  16. Effect of Two-Step Metal Organic Chemical Vapor Deposition Growth on Quality, Diameter and Density of InAs Nanowires on Si (111) Substrate

    NASA Astrophysics Data System (ADS)

    Yu, Hung Wei; Anandan, Deepak; Hsu, Ching Yi; Hung, Yu Chih; Su, Chun Jung; Wu, Chien Ting; Kakkerla, Ramesh Kumar; Ha, Minh Thien Huu; Huynh, Sa Hoang; Tu, Yung Yi; Chang, Edward Yi

    2018-02-01

    High-density (˜ 80/um2) vertical InAs nanowires (NWs) with small diameters (˜ 28 nm) were grown on bare Si (111) substrates by means of two-step metal organic chemical vapor deposition. There are two critical factors in the growth process: (1) a critical nucleation temperature for a specific In molar fraction (approximately 1.69 × 10-5 atm) is the key factor to reduce the size of the nuclei and hence the diameter of the InAs NWs, and (2) a critical V/III ratio during the 2nd step growth will greatly increase the density of the InAs NWs (from 45 μm-2 to 80 μm-2) and at the same time keep the diameter small. The high-resolution transmission electron microscopy and selected area diffraction patterns of InAs NWs grown on Si exhibit a Wurtzite structure and no stacking faults. The observed longitudinal optic peaks in the Raman spectra were explained in terms of the small surface charge region width due to the small NW diameter and the increase of the free electron concentration, which was consistent with the TCAD program simulation of small diameter (< 40 nm) InAs NWs.

  17. Comparison of mechanical characteristics of focused ion beam fabricated silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ina, Ginnosuke; Fujii, Tatsuya; Kozeki, Takahiro; Miura, Eri; Inoue, Shozo; Namazu, Takahiro

    2017-06-01

    In this study, we investigate the effects of focused ion beam (FIB)-induced damage and specimen size on the mechanical properties of Si nanowires (NWs) by a microelectromechanical system (MEMS)-based tensile testing technique. By an FIB fabrication technique, three types of Si NWs, which are as-FIB-fabricated, annealed, and FIB-implanted NWs, are prepared. A sacrificial-oxidized NW is also prepared to compare the mechanical properties of these FIB-based NWs. The quasi-static uniaxial tensile tests of all the NWs are conducted by scanning electron microscopy (SEM). The fabrication process and specimen size dependences on Young’s modulus and fracture strength are observed. Annealing is effective for improving the Young’s modulus of the FIB-damaged Si. Transmission electron microscopy (TEM) suggests that the mechanism behind the process dependence on the mechanical characteristics is related to the crystallinity of the FIB-damaged portion.

  18. Inhibition of quantum size effects from surface dangling bonds: The first principles study on different morphology SiC nanowires

    NASA Astrophysics Data System (ADS)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Fang, Xiao-Yong; Jia, Ya-Hui; Cao, Mao-Sheng

    2018-06-01

    In recent years, we investigated the structure and photoelectric properties of Silicon carbide nanowires (SiCNWs) with different morphologies and sizes by using the first-principle in density functional theory, and found a phenomenon that is opposite to quantum size effect, namely, the band gap of nanowires increases with the increase of the diameter. To reveal the nature of this phenomenon, we further carry out the passivation of SiCNWs. The results show that the hydrogenated SiCNWs are direct band gap semiconductors, and the band gap decreases with the diameter increasing, which indicates the dangling bonds of the SiCNWs suppress its quantum size effect. The optical properties of SiCNWs with different diameters before and after hydrogenated are compared, we found that these surface dangling bonds lead to spectral shift which is different with quantum size effect of SiCNWs. These results have potential scientific value to deepen the understanding of the photoelectric properties of SiCNWs and to promote the development of optoelectronic devices.

  19. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such asmore » ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be

  20. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  1. Ultrashort channel silicon nanowire transistors with nickel silicide source/drain contacts.

    PubMed

    Tang, Wei; Dayeh, Shadi A; Picraux, S Tom; Huang, Jian Yu; Tu, King-Ning

    2012-08-08

    We demonstrate the shortest transistor channel length (17 nm) fabricated on a vapor-liquid-solid (VLS) grown silicon nanowire (NW) by a controlled reaction with Ni leads on an in situ transmission electron microscope (TEM) heating stage at a moderate temperature of 400 °C. NiSi(2) is the leading phase, and the silicide-silicon interface is an atomically sharp type-A interface. At such channel lengths, high maximum on-currents of 890 (μA/μm) and a maximum transconductance of 430 (μS/μm) were obtained, which pushes forward the performance of bottom-up Si NW Schottky barrier field-effect transistors (SB-FETs). Through accurate control over the silicidation reaction, we provide a systematic study of channel length dependent carrier transport in a large number of SB-FETs with channel lengths in the range of 17 nm to 3.6 μm. Our device results corroborate with our transport simulations and reveal a characteristic type of short channel effects in SB-FETs, both in on- and off-state, which is different from that in conventional MOSFETs, and that limits transport parameter extraction from SB-FETs using conventional field-effect transconductance measurements.

  2. Low-Temperature Molten-Salt Production of Silicon Nanowires by the Electrochemical Reduction of CaSiO3.

    PubMed

    Dong, Yifan; Slade, Tyler; Stolt, Matthew J; Li, Linsen; Girard, Steven N; Mai, Liqiang; Jin, Song

    2017-11-13

    Silicon is an extremely important technological material, but its current industrial production by the carbothermic reduction of SiO 2 is energy intensive and generates CO 2 emissions. Herein, we developed a more sustainable method to produce silicon nanowires (Si NWs) in bulk quantities through the direct electrochemical reduction of CaSiO 3 , an abundant and inexpensive Si source soluble in molten salts, at a low temperature of 650 °C by using low-melting-point ternary molten salts CaCl 2 -MgCl 2 -NaCl, which still retains high CaSiO 3 solubility, and a supporting electrolyte of CaO, which facilitates the transport of O 2- anions, drastically improves the reaction kinetics, and enables the electrolysis at low temperatures. The Si nanowire product can be used as high-capacity Li-ion battery anode materials with excellent cycling performance. This environmentally friendly strategy for the practical production of Si at lower temperatures can be applied to other molten salt systems and is also promising for waste glass and coal ash recycling. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  4. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  5. Spray-Deposited Large-Area Copper Nanowire Transparent Conductive Electrodes and Their Uses for Touch Screen Applications.

    PubMed

    Chu, Hsun-Chen; Chang, Yen-Chen; Lin, Yow; Chang, Shu-Hao; Chang, Wei-Chung; Li, Guo-An; Tuan, Hsing-Yu

    2016-05-25

    Large-area conducting transparent conducting electrodes (TCEs) were prepared by a fast, scalable, and low-cost spray deposition of copper nanowire (CuNW) dispersions. Thin, long, and pure copper nanowires were obtained via the seed-mediated growth in an organic solvent-based synthesis. The mean length and diameter of nanowires are, respectively, 37.7 μm and 46 nm, corresponding to a high-mean-aspect ratio of 790. These wires were spray-deposited onto a glass substrate to form a nanowire conducting network which function as a TCE. CuNW TCEs exhibit high-transparency and high-conductivity since their relatively long lengths are advantageous in lowering in the sheet resistance. For example, a 2 × 2 cm(2) transparent nanowire electrode exhibits transmittance of T = 90% with a sheet resistance as low as 52.7 Ω sq(-1). Large-area sizes (>50 cm(2)) of CuNW TCEs were also prepared by the spray coating method and assembled as resistive touch screens that can be integrated with a variety of devices, including LED lighting array, a computer, electric motors, and audio electronic devices, showing the capability to make diverse sizes and functionalities of CuNW TCEs by the reported method.

  6. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing

    NASA Astrophysics Data System (ADS)

    Chang, Yi-Kuei; Hong, Franklin Chau-Nan

    2009-05-01

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min-1), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 105, a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm2 V-1 s-1. The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  7. The fabrication of ZnO nanowire field-effect transistors by roll-transfer printing.

    PubMed

    Chang, Yi-Kuei; Hong, Franklin Chau-Nan

    2009-05-13

    A method with the potential to fabricate large-area nanowire field-effect transistors (NW-FETs) was demonstrated in this study. Using a high-speed roller (20-80 cm min(-1)), transfer printing was successfully employed to transfer vertically aligned zinc oxide (ZnO) nanowires grown on a donor substrate to a polydimethylsiloxane (PDMS) stamp and then print the ordered ZnO nanowire arrays on the received substrate for the fabrication of NW-FETs. ZnO NW-FETs fabricated by this method exhibit high performances with a threshold voltage of around 0.25 V, a current on/off ratio as high as 10(5), a subthreshold slope of 360 mV/dec, and a field-effect mobility of around 90 cm(2) V(-1) s(-1). The excellent device characteristics suggest that the roll-transfer printing technique, which is compatible with the roll-to-roll (R2R) process and operated in atmosphere, has a good potential for the high-speed fabrication of large-area nanowire transistors for flexible devices and flat panel displays.

  8. Dependence of Internal Crystal Structures of InAs Nanowires on Electrical Characteristics of Field Effect Transistors

    NASA Astrophysics Data System (ADS)

    Han, Sangmoon; Choi, Ilgyu; Lee, Kwanjae; Lee, Cheul-Ro; Lee, Seoung-Ki; Hwang, Jeongwoo; Chung, Dong Chul; Kim, Jin Soo

    2018-02-01

    We report on the dependence of internal crystal structures on the electrical properties of a catalyst-free and undoped InAs nanowire (NW) formed on a Si(111) substrate by metal-organic chemical vapor deposition. Cross-sectional transmission electron microscopy images, obtained from four different positions of a single InAs NW, indicated that the wurtzite (WZ) structure with stacking faults was observed mostly in the bottom region of the NW. Vertically along the InAs NW, the amount of stacking faults decreased and a zinc-blende (ZB) structure was observed. At the top of the NW, the ZB structure was prominently observed. The resistance and resistivity of the top region of the undoped InAs NW with the ZB structure were measured to be 121.5 kΩ and 0.19 Ω cm, respectively, which are smaller than those of the bottom region with the WZ structure, i.e., 251.8 kΩ and 0.39 Ω cm, respectively. The reduction in the resistance of the top region of the NW is attributed to the improvement in the crystal quality and the change in the ZB crystal structure. For a field effect transistor with an undoped InAs NW channel, the drain current versus drain-source voltage characteristic curves under various negative gate-source voltages were successfully observed at room temperature.

  9. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  10. Designing 3D Multihierarchical Heteronanostructures for High-Performance On-Chip Hybrid Supercapacitors: Poly(3,4-(ethylenedioxy)thiophene)-Coated Diamond/Silicon Nanowire Electrodes in an Aprotic Ionic Liquid.

    PubMed

    Aradilla, David; Gao, Fang; Lewes-Malandrakis, Georgia; Müller-Sebert, Wolfgang; Gentile, Pascal; Boniface, Maxime; Aldakov, Dmitry; Iliev, Boyan; Schubert, Thomas J S; Nebel, Christoph E; Bidan, Gérard

    2016-07-20

    A versatile and robust hierarchically multifunctionalized nanostructured material made of poly(3,4-(ethylenedioxy)thiophene) (PEDOT)-coated diamond@silicon nanowires has been demonstrated to be an excellent capacitive electrode for supercapacitor devices. Thus, the electrochemical deposition of nanometric PEDOT films on diamond-coated silicon nanowire (SiNW) electrodes using N-methyl-N-propylpyrrolidinium bis((trifluoromethyl)sulfonyl)imide ionic liquid displayed a specific capacitance value of 140 F g(-1) at a scan rate of 1 mV s(-1). The as-grown functionalized electrodes were evaluated in a symmetric planar microsupercapacitor using butyltrimethylammonium bis((trifluoromethyl)sulfonyl)imide aprotic ionic liquid as the electrolyte. The device exhibited extraordinary energy and power density values of 26 mJ cm(-2) and 1.3 mW cm(-2) within a large voltage cell of 2.5 V, respectively. In addition, the system was able to retain 80% of its initial capacitance after 15 000 galvanostatic charge-discharge cycles at a high current density of 1 mA cm(-2) while maintaining a Coulombic efficiency around 100%. Therefore, this multifunctionalized hybrid device represents one of the best electrochemical performances concerning coated SiNW electrodes for a high-energy advanced on-chip supercapacitor.

  11. Characterization of planar pn heterojunction diodes constructed with Cu2O nanoparticle films and single ZnO nanowires.

    PubMed

    Kwak, Kiyeol; Cho, Kyoungah; Kim, Sangsig

    2013-05-01

    In this study, we fabricate planar pn heterojunction diodes composed of Cu2O nanoparticle (NP) films and single ZnO nanowires (NWs) on SiO2 (300 nm)/Si substrates and investigate their characteristics in the dark and under the illumination of white light and 325 nm wavelength light. The diode at bias voltages of +/- 1 V shows rectification ratios of 10 (in the dark) and 34 (under the illumination of white light). On the other hand, the diode exposed to the 325 nm wavelength light exhibits Ohmic characteristics which are associated with efficient photocurrent generation in both the Cu2O NP film and the single ZnO NW.

  12. Single-crystalline δ-Ni2Si nanowires with excellent physical properties

    PubMed Central

    2013-01-01

    In this article, we report the synthesis of single-crystalline nickel silicide nanowires (NWs) via chemical vapor deposition method using NiCl2·6H2O as a single-source precursor. Various morphologies of δ-Ni2Si NWs were successfully acquired by controlling the growth conditions. The growth mechanism of the δ-Ni2Si NWs was thoroughly discussed and identified with microscopy studies. Field emission measurements show a low turn-on field (4.12 V/μm), and magnetic property measurements show a classic ferromagnetic characteristic, which demonstrates promising potential applications for field emitters, magnetic storage, and biological cell separation. PMID:23782805

  13. Broadband Solar Energy Harvesting in Single Nanowire Resonators

    NASA Astrophysics Data System (ADS)

    Yang, Yiming; Peng, Xingyue; Hyatt, Steven; Yu, Dong

    2015-03-01

    Sub-wavelength semiconductor nanowires (NWs) can have optical absorption cross sections far beyond their physical sizes at resonance frequencies, offering a powerful method to simultaneously lower the material consumption and enhance photovoltaic performance. The degree of absorption enhancement is expected to substantially increase in materials with high refractive indices, but this has not yet been experimentally demonstrated. Here, we show that the absorption efficiency can be significantly improved in high-index NWs, by a direct observation of 350% external quantum efficiency (EQE) in lead sulfide (PbS) NWs. Broadband absorption enhancement is also realized in tapered NWs, where light of different wavelength is absorbed at segments with different diameters analogous to a tandem solar cell. Our results quantitatively agree with the finite-difference-time-domain (FDTD) simulations. Overall, our single PbS NW Schottky solar cells taking advantage of optical resonance, near bandgap open circuit voltage, and long minority carrier diffusion length exhibit power conversion efficiency comparable to single Si NW coaxial p-n junction cells, while the fabrication complexity is greatly reduced.

  14. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  15. Magnetic-optical bifunctional CoPt3/Co multilayered nanowire arrays

    NASA Astrophysics Data System (ADS)

    Su, Yi-Kun; Yan, Zhi-Long; Wu, Xi-Ming; Liu, Huan; Ren, Xiao; Yang, Hai-Tao

    2015-10-01

    CoPt3/Co multilayered nanowire (NW) arrays are synthesized by pulsed electrodeposition into nanoporous anodic aluminum oxide (AAO) templates. The electrochemistry deposition parameters are determined by cyclic voltammetry to realize the well control of the ratio of Co to Pt and the length of every segment. The x-ray diffraction (XRD) patterns show that both Co and CoPt3 NWs exhibit face-centered cubic (fcc) structures. In the UV-visible absorption spectra, CoPt3/Co NW arrays show a red-shift with respect to pure CoPt3NWs. Compared with the pure Co nanowire arrays, the CoPt3/Co multilayered nanowire arrays show a weak shape anisotropy and well-modulated magnetic properties. CoPt3/Co multilayered nanowires are highly encouraging that new families of bimetallic nanosystems may be developed to meet the needs of nanomaterials in emerging multifunctional nanotechnologies. Project supported by the National Natural Science Foundation of China (Grant Nos. 51472165, 51471185, and 11274370).

  16. Carbon Nanotube-Silicon Nanowire Heterojunction Solar Cells with Gas-Dependent Photovoltaic Performances and Their Application in Self-Powered NO2 Detecting.

    PubMed

    Jia, Yi; Zhang, Zexia; Xiao, Lin; Lv, Ruitao

    2016-12-01

    A multifunctional device combining photovoltaic conversion and toxic gas sensitivity is reported. In this device, carbon nanotube (CNT) membranes are used to cover onto silicon nanowire (SiNW) arrays to form heterojunction. The porous structure and large specific surface area in the heterojunction structure are both benefits for gas adsorption. In virtue of these merits, gas doping is a feasible method to improve cell's performance and the device can also work as a self-powered gas sensor beyond a solar cell. It shows a significant improvement in cell efficiency (more than 200 times) after NO2 molecules doping (device working as a solar cell) and a fast, reversible response property for NO2 detection (device working as a gas sensor). Such multifunctional CNT-SiNW structure can be expected to open a new avenue for developing self-powered, efficient toxic gas-sensing devices in the future.

  17. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  18. Superior lithium electroactive mesoporous Si@carbon core-shell nanowires for lithium battery anode material.

    PubMed

    Kim, Hyesun; Cho, Jaephil

    2008-11-01

    Mesoporous Si@carbon core-shell nanowires with a diameter of approximately 6.5 nm were prepared for a lithium battery anode material using a SBA-15 template. As-synthesized nanowires demonstrated excellent first charge capacity of 3163 mA h/g with a Coulombic efficiency of 86% at a rate of 0.2 C (600 mA/g) between 1.5 and 0 V in coin-type half-cells. Moreover, the capacity retention after 80 cycles was 87% and the rate capability at 2 C (6000 mA/g) was 78% the capacity at 0.2 C.

  19. A sensitive and selective magnetic graphene composite-modified polycrystalline-silicon nanowire field-effect transistor for bladder cancer diagnosis.

    PubMed

    Chen, Hsiao-Chien; Chen, Yi-Ting; Tsai, Rung-Ywan; Chen, Min-Cheng; Chen, Shi-Liang; Xiao, Min-Cong; Chen, Chien-Lun; Hua, Mu-Yi

    2015-04-15

    In this study, we describe the urinary quantification of apolipoprotein A II protein (APOA2 protein), a biomarker for the diagnosis of bladder cancer, using an n-type polycrystalline silicon nanowire field-effect transistor (poly-SiNW-FET). The modification of poly-SiNW-FET by magnetic graphene with long-chain acid groups (MGLA) synthesized via Friedel-Crafts acylation was compared with that obtained using short-chain acid groups (MGSA). Compared with MGSA, the MGLA showed a higher immobilization degree and bioactivity to the anti-APOA2 antibody (Ab) due to its lower steric hindrance. In addition, the magnetic properties enabled rapid separation and purification during Ab immobilization, ultimately preserving its bioactivity. The Ab-MGLA/poly-SiNW-FET exhibited a linear dependence of relative response to the logarithmical concentration in a range between 19.5pgmL(-1) and 1.95µgmL(-1), with a limit of detection (LOD) of 6.7pgmL(-1). An additional washing step before measurement aimed at excluding the interfering biocomponents ensured the reliability of the assay. We conclude that our biosensor efficiently distinguishes mean values of urinary APOA2 protein concentrations between patients with bladder cancer (29-344ngmL(-1)) and those with hernia (0.425-9.47ngmL(-1)). Copyright © 2014 Elsevier B.V. All rights reserved.

  20. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  1. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  2. Catching the electron in action in real space inside a Ge-Si core-shell nanowire transistor.

    PubMed

    Jaishi, Meghnath; Pati, Ranjit

    2017-09-21

    Catching the electron in action in real space inside a semiconductor Ge-Si core-shell nanowire field effect transistor (FET), which has been demonstrated (J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, Nature, 2006, 441, 489) to outperform the state-of-the-art metal oxide semiconductor FET, is central to gaining unfathomable access into the origin of its functionality. Here, using a quantum transport approach that does not make any assumptions on electronic structure, charge, and potential profile of the device, we unravel the most probable tunneling pathway for electrons in a Ge-Si core-shell nanowire FET with orbital level spatial resolution, which demonstrates gate bias induced decoupling of electron transport between the core and the shell region. Our calculation yields excellent transistor characteristics as noticed in the experiment. Upon increasing the gate bias beyond a threshold value, we observe a rapid drop in drain current resulting in a gate bias driven negative differential resistance behavior and switching in the sign of trans-conductance. We attribute this anomalous behavior in drain current to the gate bias induced modification of the carrier transport pathway from the Ge core to the Si shell region of the nanowire channel. A new experiment involving a four probe junction is proposed to confirm our prediction on gate bias induced decoupling.

  3. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  4. Fabrication of Heterojunction Diode Based on n-ZnO Nanowires/p-Si Substrate: Temperature Dependent Transport Characteristics.

    PubMed

    Badran, R I; Umar, Ahmad

    2017-01-01

    Herein, we report the growth and characterizations of well-crystalline n-ZnO nanowires assembled in micro flower-shaped morphologies. The nanowires are grown on p-Silicon substrate and characterized in terms of their structural, morphological and electrical properties. Temperature dependent transport characteristics of the fabricated n-ZnO/p-Si heterojunction diode were examined. The morphological studies revealed that the nanowires are grown in high-density and arrange in special micro flower shaped morphology. The structural characterizations confirmed that the nanowires are well-crystalline and possessing wurtzite hexagonal phase. The electrical properties were evaluated by examining the I–V characteristics of the fabricated n-ZnO/p-Si heterojunction diode. The I–V characteristics were studied at temperature <300 K and ≥300 K in the forward and reverse bias conditions. The detailed temperature dependent electrical properties revealed that the fabricated heterojunction assembly shows a diode-like behavior with a turn-on voltage of 5 V at almost all temperatures and the delivered current changes between ˜1 to ˜5 μA when temperature changes from 77 K to 425 K. The rectifying behavior of the fabricated heterojunction diode, at 5 V, was demonstrated by rectifying ratio of ˜4 at 77 K which decreases to ˜1.5 at 425 K. This analysis also showed that the mean potential barrier of the fabricated heterojunction (˜1.2 eV) is larger than the energy difference (0.72 eV) of the work functions between Si and ZnO.

  5. Characterization of NiSi nanowires as field emitters and limitations of Fowler-Nordheim model at the nanoscale

    NASA Astrophysics Data System (ADS)

    Belkadi, Amina B.; Gale, E.; Isakovic, A. F.

    2015-03-01

    Nanoscale field emitters are of technological interest because of the anticipated faster turn-on time, better sustainability and compactness. This report focuses on NiSi nanowires as field emitters for two reasons: (a) possible enhancement of field emission in nanoscale field emitters over bulk, and (b) achieving the same field emission properties as in bulk, but at a lower energy cost. To this end, we have grown, fabricated and characterized NiSi nanowires as field emitters. Depending on the geometry of the NiSi nanowires (aspect ratio, shape etc.), the relevant major field emission parameters, such as (1) the turn-on field, (2) the work function, and (3) the field enhancement factor, can be comparable or even superior to other recently explored nanoscale field emitters, such as CdS and ZnO. We also report on a comparative performance of various nanoscale field emitters and on the difficulties in the performance comparison in the light of relatively poor applicability of the standard Folwer-Nordheim model for field emission analysis for the case of the nanoscale field emitters. Proposed modifications are discussed. This work is supported through SRC-ATIC Grant 2011-KJ-2190. We also acknoweldge BNL-CFN and Cornell CNF facilities and staff.

  6. Tracing the pH dependent activation of autophagy in cancer cells by silicon nanowire-based impedance biosensor.

    PubMed

    Alikhani, Alireza; Gharooni, Milad; Abiri, Hamed; Farokhmanesh, Fatemeh; Abdolahad, Mohammad

    2018-05-30

    Monitoring the pH dependent behavior of normal and cancer cells by impedimetric biosensor based on Silicon Nanowires (SiNWs) was introduced to diagnose the invasive cancer cells. Autophagy as a biologically activated process in invasive cancer cells during acidosis, protect them from apoptosis in lower pH which presented in our work. As the autophagy is the only activated pathways which can maintain cellular proliferation in acidic media, responses of SiNW-ECIS in acidified cells could be correlated to the probability of autophagy activation in normal or cancer cells. In contrast, cell survival pathway wasn't activated in low-grade cancer cells which resulted in their acidosis. The measured electrical resistance of MCF10, MCF7, and MDA-MB468 cell lines, by SiNW sensor, in normal and acidic media were matched by the biological analyses of their vital functions. Invasive cancer cells exhibited increased electrical resistance in pH 6.5 meanwhile the two other types of the breast cells exhibited sharp (MCF10) and moderate (MCF7) decrease in their resistance. This procedure would be a new trend in microenvironment based cancer investigation. Copyright © 2018 Elsevier B.V. All rights reserved.

  7. Carrier thermalization dynamics in single zincblende and wurtzite InP Nanowires.

    PubMed

    Wang, Yuda; Jackson, Howard E; Smith, Leigh M; Burgess, Tim; Paiman, Suriati; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-12-10

    Using transient Rayleigh scattering (TRS) measurements, we obtain photoexcited carrier thermalization dynamics for both zincblende (ZB) and wurtzite (WZ) InP single nanowires (NW) with picosecond resolution. A phenomenological fitting model based on direct band-to-band transition theory is developed to extract the electron-hole-plasma density and temperature as a function of time from TRS measurements of single nanowires, which have complex valence band structures. We find that the thermalization dynamics of hot carriers depends strongly on material (GaAs NW vs InP NW) and less strongly on crystal structure (ZB vs WZ). The thermalization dynamics of ZB and WZ InP NWs are similar. But a comparison of the thermalization dynamics in ZB and WZ InP NWs with ZB GaAs NWs reveals more than an order of magnitude slower relaxation for the InP NWs. We interpret these results as reflecting their distinctive phonon band structures that lead to different hot phonon effects. Knowledge of hot carrier thermalization dynamics is an essential component for effective incorporation of nanowire materials into electronic devices.

  8. Coupling of semiconductor nanowires with neurons and their interfacial structure.

    PubMed

    Lee, Ki-Young; Shim, Sojung; Kim, Il-Soo; Oh, Hwangyou; Kim, Sunoh; Ahn, Jae-Pyeong; Park, Seung-Han; Rhim, Hyewhon; Choi, Heon-Jin

    2009-12-04

    We report on the compatibility of various nanowires with hippocampal neurons and the structural study of the neuron-nanowire interface. Si, Ge, SiGe, and GaN nanowires are compatible with hippocampal neurons due to their native oxide, but ZnO nanowires are toxic to neuron due to a release of Zn ion. The interfaces of fixed Si nanowire and hippocampal neuron, cross-sectional samples, were prepared by focused ion beam and observed by transmission electron microscopy. The results showed that the processes of neuron were adhered well on the nanowire without cleft.

  9. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  10. Segmented nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2013-03-05

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  11. Highly stretchable and conductive silver nanowire thin films formed by soldering nanomesh junctions.

    PubMed

    Chen, Shih-Pin; Liao, Ying-Chih

    2014-10-07

    Silver nanowires (AgNWs) have been widely used for stretchable and foldable conductors due to their percolating network nanostructure. To enhance the mechanical strength of AgNW thin films under extreme stretching conditions, in this study, we utilize a simple chemical reaction to join AgNW network connections. Upon applying a reactive ink over AgNW thin films, silver nanoparticles are preferentially generated over the nanowire junctions and solder the nanomesh structures. The soldered nanostructure reinforces the conducting network and exhibits no obvious change in electrical conductivity in the stretching or rolling process with elongation strains up to 120%. Several examples are also demonstrated to show potential applications of this material in stretchable electronic devices.

  12. Welded-Ag-nanowires/FTO conducting film with high transmittance and its application in transparent supercapacitors

    NASA Astrophysics Data System (ADS)

    Qiao, Zhensong; Yang, Xiaopeng; Liu, Feng; Duan, Guangbin; Cao, Bingqiang

    2017-03-01

    Silver nanowires (AgNW) with a small diameter were synthesized by a facile and novel polyol reduction method. Ag nanowires ink was then spun on the surface of F-doped SnO2 (FTO) to form the AgNW/FTO conducting film. Welding treatment of the AgNW/FTO conducting film not only increased the optical transmittance from 71.9 % to 79.3 % at 550 nm and decreased the sheet resistance from 11.4 ohm sq-1 to 9.8 ohm sq-1, but also improved the adhesivity of AgNW network on FTO substrate. Furthermore, MnO2 nanosheets were directly deposited on welded-AgNW/FTO (wAF) substrate to prepare a transparent MnO2/weled-AgNW/FTO (MwAF) composite electrode. The MwAF electrode displayed excellent electrochemical performance, including high specific capacitance (375 F g-1 at 5 mV s-1) and superior cycle stability (173.3 % of the initial capacitance after 20000 GCD cycles).

  13. Polarization-sensitive nanowire photodetectors based on solution-synthesized CdSe quantum-wire solids.

    PubMed

    Singh, Amol; Li, Xiangyang; Protasenko, Vladimir; Galantai, Gabor; Kuno, Masaru; Xing, Huili Grace; Jena, Debdeep

    2007-10-01

    Polarization-sensitive photodetectors are demonstrated using solution-synthesized CdSe nanowire (NW) solids. Photocurrent action spectra taken with a tunable white light source match the solution linear absorption spectra of the NWs, showing that the NW network is responsible for the device photoconductivity. Temperature-dependent transport measurements reveal that carriers responsible for the dark current through the nanowire solids are thermally excited across CdSe band gap. The NWs are aligned using dielectrophoresis between prepatterned electrodes using conventional optical photolithography. The photocurrent through the NW solid is found to be polarization-sensitive, consistent with complementary absorption (emission) measurements of both single wires and their ensembles. The range of solution-processed semiconducting NW materials, their facile synthesis, ease of device fabrication, and compatibility with a variety of substrates make them attractive for potential nanoscale polarization-sensitive photodetectors.

  14. Fabrication of Buried Nanochannels From Nanowire Patterns

    NASA Technical Reports Server (NTRS)

    Choi, Daniel; Yang, Eui-Hyeok

    2007-01-01

    A method of fabricating channels having widths of tens of nanometers in silicon substrates and burying the channels under overlying layers of dielectric materials has been demonstrated. With further refinement, the method might be useful for fabricating nanochannels for manipulation and analysis of large biomolecules at single-molecule resolution. Unlike in prior methods, burying the channels does not involve bonding of flat wafers to the silicon substrates to cover exposed channels in the substrates. Instead, the formation and burying of the channels are accomplished in a more sophisticated process that is less vulnerable to defects in the substrates and less likely to result in clogging of, or leakage from, the channels. In this method, the first step is to establish the channel pattern by forming an array of sacrificial metal nanowires on an SiO2-on-Si substrate. In particular, the wire pattern is made by use of focused-ion-beam (FIB) lithography and a subsequent metallization/lift-off process. The pattern of metal nanowires is then transferred onto the SiO2 layer by reactive-ion etching, which yields sacrificial SiO2 nanowires covered by metal. After removal of the metal covering the SiO2 nanowires, what remains are SiO2 nanowires on an Si substrate. Plasma-enhanced chemical vapor deposition (PECVD) is used to form a layer of a dielectric material over the Si substrate and over the SiO2 wires on the surface of the substrate. FIB milling is then performed to form trenches at both ends of each SiO2 wire. The trenches serve as openings for the entry of chemicals that etch SiO2 much faster than they etch Si. Provided that the nanowires are not so long that the diffusion of the etching chemicals is blocked, the sacrificial SiO2 nanowires become etched out from between the dielectric material and the Si substrate, leaving buried channels. At the time of reporting the information for this article, channels 3 m long, 20 nm deep, and 80 nm wide (see figure) had been

  15. The Extracellular Zn2+ Concentration Surrounding Excited Neurons Is High Enough to Bind Amyloid-β Revealed by a Nanowire Transistor.

    PubMed

    Anand, Ankur; Chi, Chih-Hung; Banerjee, Subhasree; Chou, Ming-Yi; Tseng, Fan-Gang; Pan, Chien-Yuan; Chen, Yit-Tsong

    2018-06-01

    The Zn 2+ stored in the secretory vesicles of glutamatergic neurons is coreleased with glutamate upon stimulation, resulting in the elevation of extracellular Zn 2+ concentration (CZn2+ex). This elevation of CZn2+ex regulates the neurotransmission and facilitates the fibrilization of amyloid-β (Aβ). However, the exact CZn2+ex surrounding neurons under (patho)physiological conditions is not clear and the connection between CZn2+ex and the Aβ fibrilization remains obscure. Here, a silicon nanowire field-effect transistor (SiNW-FET) with the Zn 2+ -sensitive fluorophore, FluoZin-3 (FZ-3), to quantify the CZn2+ex in real time is modified. This FZ-3/SiNW-FET device has a dissociation constant of ≈12 × 10 -9 m against Zn 2+ . By placing a coverslip seeded with cultured embryonic cortical neurons atop an FZ-3/SiNW-FET, the CZn2+ex elevated to ≈110 × 10 -9 m upon stimulation with α-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA). Blockers against the AMPA receptor or exocytosis greatly suppress this elevation, indicating that the Zn 2+ stored in the synaptic vesicles is the major source responsible for this elevation of CZn2+ex. In addition, a SiNW-FET modified with Aβ could bind Zn 2+ with a dissociation constant of ≈633 × 10 -9 m and respond to the Zn 2+ released from AMPA-stimulated neurons. Therefore, the CZn2+ex can reach a level high enough to bind Aβ and the Zn 2+ homeostasis can be a therapeutic strategy to prevent neurodegeneration. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  17. Achieving sub-50 nm controlled diameter of aperiodic Si nanowire arrays by ultrasonic catalyst removal for photonic applications

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Purohit, Zeel; Khanna, Sakshum; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2018-05-01

    We report an alternative approach to fabricate the vertically aligned aperiodic Si nanowire arrays by controlling the diameter of the Ag nanoparticles and tuneable ultrasonic removal. The process begins by sputtering the Ag thin film (t=5 nm) on the Si/SiO2 substrates. Followed by Ag thin film, annealed for various temperature (T=300°C, 400°C, 500°C and 600°C) to selectively achieve a high density, well-spaced and diameter controlled Ag nanoparticles (AgNPs) on the Si/SiO2 substrates. The sacrificial layer of AgNPs size indicates the controlled diameter of the Si nanowire arrays. Image J analysis for various annealed samples gives an indication of the high density, uniformity and equal distribution of closely packed AgNPs. Furthermore, the AgNPs covered with Au/Pd mesh (5 nm) as a template, was removed by ultrasonication in the etchant solution for several times in different intervals of preparation. The conventional and facile metal assisted electroless etching approach was finally employed to fabricate the vertically aperiodic sub-50 nm SiNWAs, can be applicable to various nanoscale opto-electronic applications.

  18. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  19. Band gap enhancement of glancing angle deposited TiO2 nanowire array

    NASA Astrophysics Data System (ADS)

    Chinnamuthu, P.; Mondal, A.; Singh, N. K.; Dhar, J. C.; Chattopadhyay, K. K.; Bhattacharya, Sekhar

    2012-09-01

    Vertically oriented TiO2 nanowire (NW) arrays were fabricated by glancing angle deposition technique. Field emission-scanning electron microscopy shows the formation of two different diameters ˜80 nm and ˜40 nm TiO2 NW for 120 and 460 rpm azimuthal rotation of the substrate. The x-ray diffraction and Raman scattering depicted the presence of rutile and anatase phase TiO2. The overall Raman scattering intensity decreased with nanowire diameter. The role of phonon confinement in anatase and rutile peaks has been discussed. The red (7.9 cm-1 of anatase Eg) and blue (7.4 cm-1 of rutile Eg, 7.8 cm-1 of rutile A1g) shifts of Raman frequencies were observed. UV-vis absorption measurements show the main band absorption at 3.42 eV, 3.48 eV, and ˜3.51 eV for thin film and NW prepared at 120 and 460 rpm, respectively. Three fold enhance photon absorption and intense light emission were observed for NW assembly. The photoluminescence emission from the NW assembly revealed blue shift in main band transition due to quantum confinement in NW structures.

  20. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  1. Shear-driven phase transformation in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Vincent, L.; Djomani, D.; Fakfakh, M.; Renard, C.; Belier, B.; Bouchier, D.; Patriarche, G.

    2018-03-01

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  2. Shear-driven phase transformation in silicon nanowires.

    PubMed

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  3. Fully solution-processed transparent electrodes based on silver nanowire composites for perovskite solar cells.

    PubMed

    Kim, Areum; Lee, Hongseuk; Kwon, Hyeok-Chan; Jung, Hyun Suk; Park, Nam-Gyu; Jeong, Sunho; Moon, Jooho

    2016-03-28

    We report all-solution-processed transparent conductive electrodes based on Ag nanowire (AgNW)-embedded metal oxide composite films for application in organometal halide perovskite solar cells. To address the thermal instability of Ag nanowires, we used combustive sol-gel derived thin films to construct ZnO/ITO/AgNW/ITO composite structures. The resulting composite configuration effectively prevented the AgNWs from undergoing undesirable side-reactions with halogen ions present in the perovskite precursor solutions that significantly deteriorate the optoelectrical properties of Ag nanowires in transparent conductive films. AgNW-based composite electrodes had a transmittance of ∼80% at 550 nm and sheet resistance of 18 Ω sq(-1). Perovskite solar cells fabricated using a fully solution-processed transparent conductive electrode, Au/spiro-OMeTAD/CH3NH3PbI3 + m-Al2O3/ZnO/ITO/AgNW/ITO, exhibited a power conversion efficiency of 8.44% (comparable to that of the FTO/glass-based counterpart at 10.81%) and were stable for 30 days in ambient air. Our results demonstrate the feasibility of using AgNWs as a transparent bottom electrode in perovskite solar cells produced by a fully printable process.

  4. Synthesis of polystyrene coated SiC nanowires as fillers in a polyurethane matrix for electromechanical conversion.

    PubMed

    Rybak, Andrzej; Warde, Micheline; Beyou, Emmanuel; Chaumont, Philippe; Bechelany, Mikhael; Brioude, Arnaud; Toury, Bérangère; Cornu, David; Miele, Philippe; Guiffard, Benoit; Seveyrat, Laurence; Guyomar, Daniel

    2010-04-09

    Grafting of polystyrene (PS) from silica coating of silicon carbide nanowires (SiCNWs) has been performed by a two-step nitroxide mediated free radical polymerization (NMP) of styrene. First, an alkoxyamine based on N-tert-butyl-N-(1-diethylphosphono-2,2-dimethylpropyl) nitroxide (DEPN) was covalently attached onto NWs through free surface silanol groups. To immobilize the alkoxyamine initiator on the silica surface, alkoxylamine was formed in situ by the simultaneous reaction of polymerizable acryloxy propyl trimethoxysilane (APTMS), azobis isobutyronitrile (AIBN), and DEPN, which was used as a radical trap. Polystyrene chains with controlled molecular weights and narrow polydispersity were then grown from the alkoxyamine-functionalized NWs surface in the presence of a 'free' sacrificial styrylDEPN alkoxyamine. Both the initiator and polystyrene chains were characterized by FTIR and (13)C solid-state NMR and quantified by TGA. Ensuing nanocomposites were characterized by FEG-SEM, TEM and Raman spectroscopy. EDX analysis performed on functionalized nanowires during FEG-SEM analysis also gave evidence of grafting by a strong increase in the average C/Si atomic ratio. Incorporation of 2 wt% NWs into the polyurethane (PU) matrix has been carried out to prepare homogeneous nanocomposite films. The electric field induced thickness strain response has been investigated for the polystyrene-grafted silica coated SiC NWs (PU-SiC@SiO(2)@PS) nanocomposites and compared to pure polyurethane film and PU-SiC@SiO(2) nanocomposite without polystyrene grafting. At a moderate electric field of 10 V microm(-1), SiC@SiO(2)@PS loading increased the strain level of pure PU by a factor of 2.2. This improvement came partially due to polystyrene grafting since PU-SiC@SiO(2) films showed only a 1.7 times increase. The observed higher strain response of these nanocomposites makes them very attractive for micro-electromechanical applications.

  5. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  6. Effects of silicon nanowires (SiNWs) contents on the optical and dielectric properties of poly(3-hexylthiophene):SiNWs nanocomposites

    NASA Astrophysics Data System (ADS)

    Saidi, Hamza; Walid, Aloui; Bouazizi, Abdelaziz; Herrero, Beatriz Romero; Saidi, Faouzi

    2017-08-01

    In this study, we investigated the dependency of the optical and electrical proprieties of poly(3-hexylthiophene):silicon nanowires (P3HT:SiNWs) nanocomposites on the concentration of SiNWs based on photoluminescence (PL) and impedance spectroscopy. The PL spectra indicated the presence of charge transfer at low concentrations of SiNWs. The effects of the SiNWs contents on the loss mechanism were determined based on permittivity measurements, which were related to the distribution of the SiNWs contents on the polymer backbones, as well as being correlated with the PL and conductance results. The imaginary part of the impedance exhibited a high relaxation frequency attributable to Maxwell-Wagner polarization, where the extracted relaxation time was in the range of milliseconds. The Cole-Cole diagram had an excellent fit via the equivalent circuit, which incorporated the chemical capacitance Cμ, contact electrical resistance Rs, and recombination resistance Rp.

  7. Recyclable patterning of silver nanowire percolated network for fabrication of flexible transparent electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Byungwook; Kim, Youngmin; Han, Chul Jong; Oh, Min Suk; Kim, Jong-Woong

    2018-01-01

    Recent studies have revealed that silver nanowires (AgNWs) are a promising material for highly flexible transparent electrodes. Here we introduce a novel photoinduced recyclable approach to AgNW patterning to overcome the issue of loss of material during fabrication of AgNW patterns, which is a leading factor in the high fabrication costs of AgNW-based electrodes. Our patterning scheme involves the selective irradiation of an AgNW/polymer composite with high-intensity pulsed light, followed by immersion of the sample in a liquid and an ultrasonication treatment. The nanowires that detach during sonication could be recycled, and the recycled AgNWs achieved comparable performance to that of pristine AgNWs. The recycled AgNWs were also superior to commercial indium tin oxide films and other competing materials. We successfully demonstrated a high performance transparent heater by employing the recyclable patterning method and recycled AgNWs.

  8. Design principles for single standing nanowire solar cells: going beyond the planar efficiency limits.

    PubMed

    Zeng, Yang; Ye, Qinghao; Shen, Wenzhong

    2014-05-09

    Semiconductor nanowires (NWs) have long been used in photovoltaic applications but restricted to approaching the fundamental efficiency limits of the planar devices with less material. However, recent researches on standing NWs have started to reveal their potential of surpassing these limits when their unique optical property is utilized in novel manners. Here, we present a theoretical guideline for maximizing the conversion efficiency of a single standing NW cell based on a detailed study of its optical absorption mechanism. Under normal incidence, a standing NW behaves as a dielectric resonator antenna, and its optical cross-section shows its maximum when the lowest hybrid mode (HE11δ) is excited along with the presence of a back-reflector. The promotion of the cell efficiency beyond the planar limits is attributed to two effects: the built-in concentration caused by the enlarged optical cross-section, and the shifting of the absorption front resulted from the excited mode profile. By choosing an optimal NW radius to support the HE11δ mode within the main absorption spectrum, we demonstrate a relative conversion-efficiency enhancement of 33% above the planar cell limit on the exemplary a-Si solar cells. This work has provided a new basis for designing and analyzing standing NW based solar cells.

  9. Design principles for single standing nanowire solar cells: going beyond the planar efficiency limits

    PubMed Central

    Zeng, Yang; Ye, Qinghao; Shen, Wenzhong

    2014-01-01

    Semiconductor nanowires (NWs) have long been used in photovoltaic applications but restricted to approaching the fundamental efficiency limits of the planar devices with less material. However, recent researches on standing NWs have started to reveal their potential of surpassing these limits when their unique optical property is utilized in novel manners. Here, we present a theoretical guideline for maximizing the conversion efficiency of a single standing NW cell based on a detailed study of its optical absorption mechanism. Under normal incidence, a standing NW behaves as a dielectric resonator antenna, and its optical cross-section shows its maximum when the lowest hybrid mode (HE11δ) is excited along with the presence of a back-reflector. The promotion of the cell efficiency beyond the planar limits is attributed to two effects: the built-in concentration caused by the enlarged optical cross-section, and the shifting of the absorption front resulted from the excited mode profile. By choosing an optimal NW radius to support the HE11δ mode within the main absorption spectrum, we demonstrate a relative conversion-efficiency enhancement of 33% above the planar cell limit on the exemplary a-Si solar cells. This work has provided a new basis for designing and analyzing standing NW based solar cells. PMID:24810591

  10. ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire capacitor structure fabricated solely by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru

    2016-02-01

    HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.

  11. LABEL-FREE VIRUS CAPTURE AND RELEASE BY A MICROFLUIDIC DEVICE INTEGRATED WITH POROUS SILICON NANOWIRE FOREST

    PubMed Central

    Xia, Yiqiu; Tang, Yi; Yu, Xu; Wan, Yuan; Chen, Yizhu; Lu, Huaguang; Zheng, Si-Yang

    2016-01-01

    Viral diseases are perpetual threats to human and animal health. Detection and characterization of viral pathogens require accurate, sensitive and rapid diagnostic assays. For field and clinical samples, the sample preparation procedures limit the ultimate performance and utility of the overall virus diagnostic protocols. Here, we presented the development of a microfluidic device embedded with porous silicon nanowire (pSiNW) forest for label-free size-based point-of-care virus capture in a continuous curved flow design. The pSiNW forests with specific inter-wire spacing were synthesized in situ on both bottom and sidewalls of the microchannels in a batch process. With the enhancement effect of Dean flow, we demonstrated ~50% H5N2 avian influenza viruses were physically trapped without device clogging. A unique feature of the device is that captured viruses can be released by inducing self-degradation of the pSiNWs in physiological aqueous environment. About 60% of captured viruses can be released within 24 hours for virus culture, subsequent molecular diagnosis and other virus characterization and analyses. This device performs viable, unbiased and label-free virus isolation and release. It has great potentials for virus discovery, virus isolation and culture, functional studies of virus pathogenicity, transmission, drug screening, and vaccine development. PMID:27918640

  12. Silicon nanowire based biosensing platform for electrochemical sensing of Mebendazole drug activity on breast cancer cells.

    PubMed

    Shashaani, Hani; Faramarzpour, Mahsa; Hassanpour, Morteza; Namdar, Nasser; Alikhani, Alireza; Abdolahad, Mohammad

    2016-11-15

    Electrochemical approaches have played crucial roles in bio sensing because of their Potential in achieving sensitive, specific and low-cost detection of biomolecules and other bio evidences. Engineering the electrochemical sensing interface with nanomaterials tends to new generations of label-free biosensors with improved performances in terms of sensitive area and response signals. Here we applied Silicon Nanowire (SiNW) array electrodes (in an integrated architecture of working, counter and reference electrodes) grown by low pressure chemical vapor deposition (LPCVD) system with VLS procedure to electrochemically diagnose the presence of breast cancer cells as well as their response to anticancer drugs. Mebendazole (MBZ), has been used as antitubulin drug. It perturbs the anodic/cathodic response of the cell covered biosensor by releasing Cytochrome C in cytoplasm. Reduction of cytochrome C would change the ionic state of the cells monitored by SiNW biosensor. By applying well direct bioelectrical contacts with cancer cells, SiNWs can detect minor signal transduction and bio recognition events, resulting in precise biosensing. Our device detected the trace of MBZ drugs (with the concentration of 2nM) on electrochemical activity MCF-7 cells. Also, experimented biological analysis such as confocal and Flowcytometry assays confirmed the electrochemical results. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Current-Driven Dynamics of Skyrmions Stabilized in MnSi Nanowires Revealed by Topological Hall Effect

    NASA Astrophysics Data System (ADS)

    Liang, Dong; Degrave, John; Stolt, Matthew; Tokura, Yoshinori; Jin, Song

    2015-03-01

    Skyrmions, novel topologically stable spin vortices, hold promise for next-generation high-density magnetic storage technologies due to their nanoscale domains and ultralow energy consumption. One-dimensional (1D) nanowires are ideal hosts for skyrmions since they not only serve as a natural platform for magnetic racetrack memory devices but also can potentially stabilize skyrmions. We use the topological Hall effect (THE) to study the phase stability and current-driven dynamics of the skyrmions in MnSi nanowires. The THE was observed in an extended magnetic field-temperature window (15 to 30 K), suggesting stabilization of skyrmion phase in nanowires compared with the bulk (27 to 29.5 K). Furthermore, we study skyrmion dynamics in this extended skyrmion phase region and found that under the high current-density of 108-109Am-2 enabled by nanowire geometry, the THE decreases with increasing current densities, which demonstrates the current-driven motion of skyrmions generating the emergent electric field. These results open up the exploration of nanowires as an attractive platform for investigating skyrmion physics in 1D systems and exploiting skyrmions in magnetic storage concepts. This work is supported by US National Science Foundation (ECCS-1231916) and JSPS Grant-in-Aid for Scientific Research No. 24224009.

  14. Highly effective field-effect mobility amorphous InGaZnO TFT mediated by directional silver nanowire arrays.

    PubMed

    Liu, Hung-Chuan; Lai, Yi-Chun; Lai, Chih-Chung; Wu, Bing-Shu; Zan, Hsiao-Wen; Yu, Peichen; Chueh, Yu-Lun; Tsai, Chuang-Chuang

    2015-01-14

    In this work, we demonstrate sputtered amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a record high effective field-effect mobility of 174 cm(2)/V s by incorporating silver nanowire (AgNW) arrays to channel electron transport. Compared to the reference counterpart without nanowires, the over 5-fold enhancement in the effective field-effect mobility exhibits clear dependence on the orientation as well as the surface coverage ratio of silver nanowires. Detailed material and device analyses reveal that during the room-temperature IGZO sputtering indium and oxygen diffuse into the nanowire matrix while the nanowire morphology and good contact between IGZO and nanowires are maintained. The unchanged morphology and good interfacial contact lead to high mobility and air-ambient-stable characteristics up to 3 months. Neither hysteresis nor degraded bias stress reliability is observed. The proposed AgNW-mediated a-IGZO TFTs are promising for development of large-scale, flexible, transparent electronics.

  15. Nanowire lasers as intracellular probes.

    PubMed

    Wu, Xiaoqin; Chen, Qiushu; Xu, Peizhen; Chen, Yu-Cheng; Wu, Biming; Coleman, Rhima M; Tong, Limin; Fan, Xudong

    2018-05-24

    We investigate a cadmium sulfide (CdS) nanowire (NW) laser that is spontaneously internalized into a single cell to serve as a stand-alone intracellular probe. By pumping with nano-joule light pulses, green laser emission (500-520 nm) can be observed inside cells with a peak linewidth as narrow as 0.5 nm. Due to the sub-micron diameter (∼200 nm), the NW has an appreciable fraction of the evanescent field outside, facilitating a sensitive detection of cellular environmental changes. By monitoring the lasing peak wavelength shift in response to the intracellular refractive index change, our NW laser probe shows a sensitivity of 55 nm per RIU (refractive index units) and a figure of merit of approximately 98.

  16. Nanostructured Indium Oxide Coated Silicon Nanowire Arrays: A Hybrid Photothermal/Photochemical Approach to Solar Fuels.

    PubMed

    Hoch, Laura B; O'Brien, Paul G; Jelle, Abdinoor; Sandhel, Amit; Perovic, Douglas D; Mims, Charles A; Ozin, Geoffrey A

    2016-09-27

    The field of solar fuels seeks to harness abundant solar energy by driving useful molecular transformations. Of particular interest is the photodriven conversion of greenhouse gas CO2 into carbon-based fuels and chemical feedstocks, with the ultimate goal of providing a sustainable alternative to traditional fossil fuels. Nonstoichiometric, hydroxylated indium oxide nanoparticles, denoted In2O3-x(OH)y, have been shown to function as active photocatalysts for CO2 reduction to CO via the reverse water gas shift reaction under simulated solar irradiation. However, the relatively wide band gap (2.9 eV) of indium oxide restricts the portion of the solar irradiance that can be utilized to ∼9%, and the elevated reaction temperatures required (150-190 °C) reduce the overall energy efficiency of the process. Herein we report a hybrid catalyst consisting of a vertically aligned silicon nanowire (SiNW) support evenly coated by In2O3-x(OH)y nanoparticles that utilizes the vast majority of the solar irradiance to simultaneously produce both the photogenerated charge carriers and heat required to reduce CO2 to CO at a rate of 22.0 μmol·gcat(-1)·h(-1). Further, improved light harvesting efficiency of the In2O3-x(OH)y/SiNW films due to minimized reflection losses and enhanced light trapping within the SiNW support results in a ∼6-fold increase in photocatalytic conversion rates over identical In2O3-x(OH)y films prepared on roughened glass substrates. The ability of this In2O3-x(OH)y/SiNW hybrid catalyst to perform the dual function of utilizing both light and heat energy provided by the broad-band solar irradiance to drive CO2 reduction reactions represents a general advance that is applicable to a wide range of catalysts in the field of solar fuels.

  17. A dual-scale metal nanowire network transparent conductor for highly efficient and flexible organic light emitting diodes.

    PubMed

    Lee, Jinhwan; An, Kunsik; Won, Phillip; Ka, Yoonseok; Hwang, Hyejin; Moon, Hyunjin; Kwon, Yongwon; Hong, Sukjoon; Kim, Changsoon; Lee, Changhee; Ko, Seung Hwan

    2017-02-02

    Although solution processed metal nanowire (NW) percolation networks are a strong candidate to replace commercial indium tin oxide, their performance is limited in thin film device applications due to reduced effective electrical areas arising from the dimple structure and percolative voids that single size metal NW percolation networks inevitably possess. Here, we present a transparent electrode based on a dual-scale silver nanowire (AgNW) percolation network embedded in a flexible substrate to demonstrate a significant enhancement in the effective electrical area by filling the large percolative voids present in a long/thick AgNW network with short/thin AgNWs. As a proof of concept, the performance enhancement of a flexible phosphorescent OLED is demonstrated with the dual-scale AgNW percolation network compared to the previous mono-scale AgNWs. Moreover, we report that mechanical and oxidative robustness, which are critical for flexible OLEDs, are greatly increased by embedding the dual-scale AgNW network in a resin layer.

  18. Single-crystalline chromium silicide nanowires and their physical properties.

    PubMed

    Hsu, Han-Fu; Tsai, Ping-Chen; Lu, Kuo-Chang

    2015-01-01

    In this work, chromium disilicide nanowires were synthesized by chemical vapor deposition (CVD) processes on Si (100) substrates with hydrous chromium chloride (CrCl3 · 6H2O) as precursors. Processing parameters, including the temperature of Si (100) substrates and precursors, the gas flow rate, the heating time, and the different flow gas of reactions were varied and studied; additionally, the physical properties of the chromium disilicide nanowires were measured. It was found that single-crystal CrSi2 nanowires with a unique morphology were grown at 700°C, while single-crystal Cr5Si3 nanowires were grown at 750°C in reducing gas atmosphere. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with magnetism, photoluminescence, and field emission measurements demonstrates that CrSi2 nanowires are attractive choices for future applications in magnetic storage, photovoltaic, and field emitters.

  19. A silicon nanowire-reduced graphene oxide composite as a high-performance lithium ion battery anode material.

    PubMed

    Ren, Jian-Guo; Wang, Chundong; Wu, Qi-Hui; Liu, Xiang; Yang, Yang; He, Lifang; Zhang, Wenjun

    2014-03-21

    Toward the increasing demands of portable energy storage and electric vehicle applications, silicon has been emerging as a promising anode material for lithium-ion batteries (LIBs) owing to its high specific capacity. However, serious pulverization of bulk silicon during cycling limits its cycle life. Herein, we report a novel hierarchical Si nanowire (Si NW)-reduced graphene oxide (rGO) composite fabricated using a solvothermal method followed by a chemical vapor deposition process. In the composite, the uniform-sized [111]-oriented Si NWs are well dispersed on the rGO surface and in between rGO sheets. The flexible rGO enables us to maintain the structural integrity and to provide a continuous conductive network of the electrode, which results in over 100 cycles serving as an anode in half cells at a high lithium storage capacity of 2300 mA h g(-1). Due to its [111] growth direction and the large contact area with rGO, the Si NWs in the composite show substantially enhanced reaction kinetics compared with other Si NWs or Si particles.

  20. Effect of indium on photovoltaic property of n-ZnO/p-Si heterojunction device prepared using solution-synthesized ZnO nanowire film

    NASA Astrophysics Data System (ADS)

    Kathalingam, Adaikalam; Kim, Hyun-Seok; Park, Hyung-Moo; Valanarasu, Santiyagu; Mahalingam, Thaiyan

    2015-01-01

    Preparation of n-ZnO/p-Si heterostructures using solution-synthesized ZnO nanowire films and their photovoltaic characterization is reported. The solution-grown ZnO nanowire film is characterized using scanning electron microscope, electron dispersive x-ray, and optical absorption studies. Electrical and photovoltaic properties of the fabricated heterostructures are studied using e-beam-evaporated aluminum as metal contacts. In order to use transparent contact and to simultaneously collect the photogenerated carriers, sandwich-type solar cells were fabricated using ZnO nanorod films grown on p-silicon and indium tin oxide (ITO) coated glass as ITO/n-ZnO NR/p-Si. The electrical properties of these structures are analyzed from current-voltage (I-V) characteristics. ZnO nanowire film thickness-dependent photovoltaic properties are also studied. Indium metal was also deposited over the ZnO nanowires and its effects on the photovoltaic response of the devices were studied. The results demonstrated that all the samples exhibit a strong rectifying behavior indicating the diode nature of the devices. The sandwich-type ITO/n-ZnO NR/p-Si solar cells exhibit improved photovoltaic performance over the Al-metal-coated n-ZnO/p-Si structures. The indium deposition is found to show enhancement in photovoltaic behavior with a maximum open-circuit voltage (Voc) of 0.3 V and short-circuit current (Isc) of 70×10-6 A under ultraviolet light excitation.

  1. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  2. Design issue analysis for InAs nanowire tunnel FETs

    NASA Astrophysics Data System (ADS)

    Sylvia, Somaia S.; Khayer, M. Abul; Alam, Khairul; Lake, Roger K.

    2011-10-01

    InAs nanowire-tunnel eld eect transistors (NW-TFETs) are being considered for future, beyond-Si electronics. They oer the possibility of beating the ideal thermal limit to the inverse subthreshold slope of 60 mV/dec and thus promise reduced power operation. However, whether the tunneling can provide sucient on-current for high-speed operation is an open question. In this work, for a p-i-n device, we investigate the source doping level necessary to achieve a target on-current (1 A) while maintaining a high ION=IOFF ratio (1106) for a range of NW diameters (2 -8 nm). With a xed drain bias voltage and a maximum gate overdrive, we compare the performance in terms of the inverse subthreshold slope (SS) and ION=IOFF ratio as a function of NW- diameter and source doping. As expected, increasing the source doping level increases the current as a result of the reduced screening length and increased electric eld at source which narrows the tunnel barrier. However, since the degeneracy is also increasing, it moves the eective energy window for tunneling away from the barrier where it is the narrowest. This, in turn, tends to decrease the current for a given voltage which, along with the consideration of inverse SS and ION=IOFF ratio leads to an optimum choice of source doping.

  3. Neuron-like differentiation of mesenchymal stem cells on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Hyunju; Kim, Ilsoo; Choi, Heon-Jin; Kim, So Yeon; Yang, Eun Gyeong

    2015-10-01

    The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal stem cells (hMSCs) were cultured on the longest SiNWs for 3 days, most of the cells exhibited elongated shapes with neurite-like extensions and dot-like focal adhesions that were prominently observed along with actin filaments. Under these circumstances, the cell motility analyzed by live cell imaging was found to decrease due to the presence of SiNWs. In addition, the slowed growth rate, as well as the reduced population of S phase cells, suggested that the cell cycle was likely arrested in response to the differentiation process. Furthermore, we measured the mRNA levels of several lineage-specific markers to confirm that the SiNWs actually induced neuron-like differentiation of the hMSCs while hampering their osteogenic differentiation. Taken together, our results implied that SiNWs were capable of inducing active reorganization of cellular behaviors, collectively guiding the fate of hMSCs into the neural lineage even in the absence of any inducing reagent.The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal

  4. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    NASA Astrophysics Data System (ADS)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  5. SAW Humidity Sensor Sensitivity Enhancement via Electrospraying of Silver Nanowires

    PubMed Central

    Sayar Irani, Farid; Tunaboylu, Bahadir

    2016-01-01

    In this research, we investigated the influence of the surface coatings of silver nanowires on the sensitivity of surface acoustic wave (SAW) humidity sensors. Silver nanowires, with poly(vinylpyrrolidone) (PVP), which is a hydrophilic capping agent, were chemically synthesized, with an average length of 15 µm and an average diameter of 60 nm. Humidity sensors, with 433 MHz frequency dual-port resonator Rayleigh-SAW devices, were coated by silver nanowires (AgNWs) using the electrospray coating method. It was demonstrated that increasing thickness of coated AgNW on the surfaces of SAW devices results in increased sensitivity. The highest frequency shift (262 kHz) in these SAW devices was obtained with an injection of 0.5 mL of the AgNW solution with a concentration of 0.5 mg/mL at an injection rate of 1 mL/h. It also showed the highest humidity sensitivity among the other prepared SAW devices. PMID:27916870

  6. Stress effects on the initial lithiation of crystalline silicon nanowires: Reactive molecular dynamics simulations using ReaxFF

    DOE PAGES

    Ostadhossein, Alireza; Cubuk, Ekin D.; Tritsaris, Georgios A.; ...

    2014-12-18

    Silicon (Si) has been recognized as a promising anode material for the next-generation high-capacity lithium (Li)-ion batteries because of its high theoretical energy density. Recent in situ transmission electron microscopy (TEM) revealed that the electrochemical lithiation of crystalline Si nanowires (c-SiNWs) proceeds by the migration of the interface between the lithiated Si (LixSi) shell and the pristine unlithiated core, accompanied by solid-state amorphization. The underlying atomic mechanisms of Li insertion into c-Si remain poorly understood. In this research, we perform molecular dynamics (MD) simulations using the reactive force field (ReaxFF) to characterize the lithiation process of c-SiNWs. Our calculations showmore » that ReaxFF can accurately reproduce the energy barriers of Li migration from DFT calculations in both crystalline (c-Si) and amorphous Si (a-Si). The ReaxFF-based MD simulations reveal that Li insertion into interlayer spacing between two adjacent (111) planes results in the peeling-off of the (111) facets and subsequent amorphization, in agreement with experimental observations. We find that breaking of the Si–Si bonds between (111)-bilayers requires a rather high local Li concentration, which explains the atomically sharp amorphous–crystalline interface (ACI). Our stress analysis shows that lithiation induces compressive stress at the ACI layer, causing retardation or even the stagnation of the reaction front, also in good agreement with TEM observations. Lithiation at high temperatures (e.g. 1200 K) shows that Li insertion into c-SiNW results in an amorphous to crystalline phase transformation at Li : Si composition of ~4.2:1. In conclusion, our modeling results provide a comprehensive picture of the effects of reaction and diffusion-induced stress on the interfacial dynamics and mechanical degradation of SiNW anodes under chemo-mechanical lithiation.« less

  7. Fast plasmonic laser nanowelding for a Cu-nanowire percolation network for flexible transparent conductors and stretchable electronics.

    PubMed

    Han, Seungyong; Hong, Sukjoon; Ham, Jooyeun; Yeo, Junyeob; Lee, Jinhwan; Kang, Bongchul; Lee, Phillip; Kwon, Jinhyeong; Lee, Seung S; Yang, Min-Yang; Ko, Seung Hwan

    2014-09-03

    A facile fast laser nanoscale welding process uses the plasmonic effect at a nanowire (NW) junction to suppress oxidation and successfully fabricate a Cu-NW-based percolation-network conductor. The "nanowelding" process does not require an inert or vacuum environment. Due to the low-temperature and fast-process nature, plasmonic laser nanowelding may form Cu-nanowire networks on heat-sensitive, flexible or even stretchable substrates. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Construction of MoS2/Si nanowire array heterojunction for ultrahigh-sensitivity gas sensor.

    PubMed

    Wu, Di; Lou, Zhenhua; Wang, Yuange; Xu, Tingting; Shi, Zhifeng; Xu, Junmin; Tian, Yongtao; Li, Xinjian

    2017-10-27

    Few-layer MoS 2 thin films were synthesized by a two-step thermal decomposition process. In addition, MoS 2 /Si nanowire array (SiNWA) heterojunctions exhibiting excellent gas sensing properties were constructed and investigated. Further analysis reveals that such MoS 2 /SiNWA heterojunction devices are highly sensitive to nitric oxide (NO) gas under reverse voltages at room temperature (RT). The gas sensor demonstrated a minimum detection limit of 10 ppb, which represents the lowest value obtained for MoS 2 -based sensors, as well as an ultrahigh response of 3518% (50 ppm NO, ∼50% RH), with good repeatability and selectivity of the MoS 2 /SiNWA heterojunction. The sensing mechanisms were also discussed. The performance of the MoS 2 /SiNWA heterojunction gas sensors is superior to previous results, revealing that they have great potential in applications relating to highly sensitive gas sensors.

  9. Construction of MoS2/Si nanowire array heterojunction for ultrahigh-sensitivity gas sensor

    NASA Astrophysics Data System (ADS)

    Wu, Di; Lou, Zhenhua; Wang, Yuange; Xu, Tingting; Shi, Zhifeng; Xu, Junmin; Tian, Yongtao; Li, Xinjian

    2017-10-01

    Few-layer MoS2 thin films were synthesized by a two-step thermal decomposition process. In addition, MoS2/Si nanowire array (SiNWA) heterojunctions exhibiting excellent gas sensing properties were constructed and investigated. Further analysis reveals that such MoS2/SiNWA heterojunction devices are highly sensitive to nitric oxide (NO) gas under reverse voltages at room temperature (RT). The gas sensor demonstrated a minimum detection limit of 10 ppb, which represents the lowest value obtained for MoS2-based sensors, as well as an ultrahigh response of 3518% (50 ppm NO, ˜50% RH), with good repeatability and selectivity of the MoS2/SiNWA heterojunction. The sensing mechanisms were also discussed. The performance of the MoS2/SiNWA heterojunction gas sensors is superior to previous results, revealing that they have great potential in applications relating to highly sensitive gas sensors.

  10. Electron Transport Properties of Ge nanowires

    NASA Astrophysics Data System (ADS)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  11. Vertically Emitting Indium Phosphide Nanowire Lasers.

    PubMed

    Xu, Wei-Zong; Ren, Fang-Fang; Jevtics, Dimitars; Hurtado, Antonio; Li, Li; Gao, Qian; Ye, Jiandong; Wang, Fan; Guilhabert, Benoit; Fu, Lan; Lu, Hai; Zhang, Rong; Tan, Hark Hoe; Dawson, Martin D; Jagadish, Chennupati

    2018-06-13

    Semiconductor nanowire (NW) lasers have attracted considerable research effort given their excellent promise for nanoscale photonic sources. However, NW lasers currently exhibit poor directionality and high threshold gain, issues critically limiting their prospects for on-chip light sources with extremely reduced footprint and efficient power consumption. Here, we propose a new design and experimentally demonstrate a vertically emitting indium phosphide (InP) NW laser structure showing high emission directionality and reduced energy requirements for operation. The structure of the laser combines an InP NW integrated in a cat's eye (CE) antenna. Thanks to the antenna guidance with broken asymmetry, strong focusing ability, and high Q-factor, the designed InP CE-NW lasers exhibit a higher degree of polarization, narrower emission angle, enhanced internal quantum efficiency, and reduced lasing threshold. Hence, this NW laser-antenna system provides a very promising approach toward the achievement of high-performance nanoscale lasers, with excellent prospects for use as highly localized light sources in present and future integrated nanophotonics systems for applications in advanced sensing, high-resolution imaging, and quantum communications.

  12. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  13. Highly Efficient SERS Nanowire/Ag Composites

    DTIC Science & Technology

    2007-01-01

    Ga2O3 nanowires was performed by the vapor- liquid-solid (VLS) growth in a tube furnace, using Si(100) and Si(111) substrates and a 20 nm Au film3. Ga...Rhodamine 6G/methanol and DNT/methanol dilutions. The Ga2O3 /Ag nanowire composite substrates are shown in Figure 1a. As can be seen, they consist of a...significant improvement over nanosphere-type SERS substrates. Conclusion: Randomly oriented Ga2O3 /Ag nanowire networks have been formed and we

  14. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g −1

    DOE PAGES

    Kim, Dongheun; Li, Nan; Sheehan, Chris J.; ...

    2018-01-01

    The charging rate-dependent degradation of lithium ion battery anodes based on Si/Ge core/shell nanowire heterostructure was observed. Si/Ge core/shell structure was preserved at the charging rate of 0.8 A g −1 . On the other hand, compositional intermixing and loss of Si occurs at the charging rate of 20 A g −1 .

  15. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g −1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Dongheun; Li, Nan; Sheehan, Chris J.

    The charging rate-dependent degradation of lithium ion battery anodes based on Si/Ge core/shell nanowire heterostructure was observed. Si/Ge core/shell structure was preserved at the charging rate of 0.8 A g −1 . On the other hand, compositional intermixing and loss of Si occurs at the charging rate of 20 A g −1 .

  16. Preparation and electrochemistry of Pd-Ni/Si nanowire nanocomposite catalytic anode for direct ethanol fuel cell.

    PubMed

    Miao, Fengjuan; Tao, Bairui; Chu, Paul K

    2012-04-28

    A new silicon-based anode suitable for direct ethanol fuel cells (DEFCs) is described. Pd-Ni nanoparticles are coated on Si nanowires (SiNWs) by electroless co-plating to form the catalytic materials. The electrocatalytic properties of the SiNWs and ethanol oxidation on the Pd-Ni catalyst (Pd-Ni/SiNWs) are investigated electrochemically. The effects of temperature and working potential limit in the anodic direction on ethanol oxidation are studied by cyclic voltammetry. The Pd-Ni/SiNWs electrode exhibits higher electrocatalytic activity and better long-term stability in an alkaline solution. It also yields a larger current density and negative onset potential thus boding well for its application to fuel cells. This journal is © The Royal Society of Chemistry 2012

  17. Stable and metastable nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2014-11-18

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  18. Mitigation of Electrical Failure of Silver Nanowires under Current Flow and the Application for Long Lifetime Organic Light-Emitting Diodes

    DOE PAGES

    Chen, Dustin; Zhao, Fangchao; Tong, Kwing; ...

    2016-07-08

    Here, the extended lifetime of organic light-emitting diodes (OLEDs) based on enhanced electrical stability of a silver nanowire (AgNW) transparent conductive electrode is reported. Specifically, in depth investigation is performed on the ability of atomic layer deposition deposited zinc oxide (ZnO) on AgNWs to render the nanowires electrically stable during electrical stressing at the range of operational current density used for OLED lighting. ZnO-coated AgNWs have been observed to show no electrical, optical, or morphological degradation, while pristine AgNW electrodes have become unusable for optoelectronic devices due to dramatic decreases in conductivity, transparency, and fragmentation of the nanowire network atmore » ≈150 mA cm -2. When fabricated into OLED substrates, resulting OLEDs fabricated on the ZnO-AgNW platform exhibit a 140% increase in lifetime when compared to OLEDs fabricated on indium tin oxide (ITO)/glass, and ≈20% when compared to OLEDs fabricated on AgNW based substrates. While both ZnO-coated and pristine AgNW substrates outperform ITO/glass due to the lower current densities required to drive the device, morphological stability in response to current stressing is responsible for the enhancement of lifetime of ZnO-AgNW based OLEDs compared to pristine AgNW based OLEDs.« less

  19. In(x)Ga(₁-x)As nanowires on silicon: one-dimensional heterogeneous epitaxy, bandgap engineering, and photovoltaics.

    PubMed

    Shin, Jae Cheol; Kim, Kyou Hyun; Yu, Ki Jun; Hu, Hefei; Yin, Leijun; Ning, Cun-Zheng; Rogers, John A; Zuo, Jian-Min; Li, Xiuling

    2011-11-09

    We report on the one-dimensional (1D) heteroepitaxial growth of In(x)Ga(1-x)As (x = 0.2-1) nanowires (NWs) on silicon (Si) substrates over almost the entire composition range using metalorganic chemical vapor deposition (MOCVD) without catalysts or masks. The epitaxial growth takes place spontaneously producing uniform, nontapered, high aspect ratio NW arrays with a density exceeding 1 × 10(8)/cm(2). NW diameter (∼30-250 nm) is inversely proportional to the lattice mismatch between In(x)Ga(1-x)As and Si (∼4-11%), and can be further tuned by MOCVD growth condition. Remarkably, no dislocations have been found in all composition In(x)Ga(1-x)As NWs, even though massive stacking faults and twin planes are present. Indium rich NWs show more zinc-blende and Ga-rich NWs exhibit dominantly wurtzite polytype, as confirmed by scanning transmission electron microscopy (STEM) and photoluminescence spectra. Solar cells fabricated using an n-type In(0.3)Ga(0.7)As NW array on a p-type Si(111) substrate with a ∼ 2.2% area coverage, operates at an open circuit voltage, V(oc), and a short circuit current density, J(sc), of 0.37 V and 12.9 mA/cm(2), respectively. This work represents the first systematic report on direct 1D heteroepitaxy of ternary In(x)Ga(1-x)As NWs on silicon substrate in a wide composition/bandgap range that can be used for wafer-scale monolithic heterogeneous integration for high performance photovoltaics.

  20. Bright nanowire single photon source based on SiV centers in diamond

    DOE PAGES

    Marseglia, L.; Saha, K.; Ajoy, A.; ...

    2018-01-01

    The practical implementation of quantum technologies such as quantum commu- nication and quantum cryptography relies on the development of indistinguishable, robust, and bright single photon sources that works at room temperature. The silicon- vacancy (SiV -) center in diamond has emerged as a possible candidate for a single photon source with all these characteristics. Unfortunately, due to the high refraction index mismatch between diamond and air, color centers in diamond show low photon out-coupling. This drawback can be overcome by fabrication of photonic structures that improve the in-coupling of excitation laser to the diamond defect as well as the out-couplingmore » emission from the color centers. An additional shortcoming is due to the random localization of native defects in the diamond sample. Here we demonstrate deterministic implantation of Si ions with high conversion effciency to single SiV -, targeted to fabricated nanowires. The co-localization of single SiV - defects with the nanostructures yields a ten times higher light coupling effciency as compared to single SiV - in the bulk. This result, with its intrinsic scalability, enables a new class of devices for integrated photonics and quantum information processing.« less

  1. Microstructures of the silicon carbide nanowires obtained by annealing the mechanically-alloyed amorphous powders

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Pengfei, E-mail: zhangpengfei1984@163.com; Li, Xinli

    2015-07-15

    Silicon, graphite and boron nitride powders were mechanically alloyed for 40 h in argon. The as-milled powders were annealed at 1700 °C in nitrogen for 30 min. The annealed powders are covered by a thick layer of gray–green SiC nanowires, which are 300 nm to 1000 nm in diameter and several hundred microns in length. Trace iron in the raw powders acts as a catalyst, promoting the V–L–S process. It follows that the actual substances contributing to the growth of the SiC nanowires may be silicon, graphite and the metal impurities in the raw powders. The results from HRTEM andmore » XRD reveal that the products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. It is interestingly found that 6H–SiC coexists with 3C–SiC in one nodular nanowire. This novel structure may introduce periodic potential field along the longitudinal direction of the nanowires, and may find applications in the highly integrated optoelectronic devices. - Graphical abstract: Display Omitted - Highlights: • SiC nanowires were prepared by annealing the mechanically alloyed amorphous powders. • SiC nanowires are 300 nm to 1000 nm in diameter and several hundred microns in length. • The products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. • Trace Fe in the raw powders acts as a catalyst, promoting the V–L–S process. • 6H–SiC coexists with 3C–SiC in one nodular SiC nanowire.« less

  2. Synthesis of hexagonal ultrathin tungsten oxide nanowires with diameters below 5 nm for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    Lu, Huidan; Zhu, Qin; Zhang, Mengying; Yan, Yi; Liu, Yongping; Li, Ming; Yang, Zhishu; Geng, Peng

    2018-04-01

    Semiconductor with one dimension (1D) ultrathin nanostructure has been proved to be a promising nanomaterial in photocatalytic field. Great efforts were made on preparation of monoclinic ultrathin tungsten oxide nanowires. However, non-monoclinic phase tungsten oxides with 1D ultrathin structure, especially less than 5 nm width, have not been reported. Herein, we report the synthesis of hexagonal ultrathin tungsten oxide nanowires (U-WOx NW) by modified hydrothermal method. Microstructure characterization showed that U-WOx NW have the diameters of 1-3 nm below 5 nm and are hexagonal phase sub-stoichiometric WOx. U-WOx NW show absorption tail in the visible and near infrared region due to oxygen vacancies. For improving further photocatalytic performance, Ag co-catalyst was grown directly onto U-WOx NW surface by in situ redox reaction. Photocatalytic measurements revealed hexagonal U-WOx NW have better photodegradation activity, compared with commercial WO3(C-WO3) and oxidized U-WOx NW, ascribe to larger surface area, short diffusion length of photo-generated charge carriers and visible absorption of oxygen-vacancy-rich hexagonal ultrathin nanostructures. Moreover, the photocatalytic activity and stability of U-WOx NW using Ag co-catalyst were further improved.

  3. Highly stable piezo-immunoglobulin-biosensing of a SiO2/ZnO nanogenerator as a self-powered/active biosensor arising from the field effect influenced piezoelectric screening effect.

    PubMed

    Zhao, Yayu; Fu, Yongming; Wang, Penglei; Xing, Lili; Xue, Xinyu

    2015-02-07

    Highly stable piezo-immunoglobulin-biosensing has been realized from a SiO2/ZnO nanowire (NW) nanogenerator (NG) as a self-powered/active biosensor. The piezoelectric output generated by the SiO2/ZnO NW NG can act not only as a power source for driving the device, but also as a sensing signal for detecting immunoglobulin G (IgG). The stability of the device is very high, and the relative standard deviation (RSD) ranges from 1.20% to 4.20%. The limit of detection (LOD) of IgG on the device can reach 5.7 ng mL(-1). The response of the device is in a linear relationship with IgG concentration. The biosensing performance of SiO2/ZnO NWs is much higher than that of bare ZnO NWs. A SiO2 layer uniformly coated on the surface of the ZnO NW acts as the gate insulation layer, which increases mechanical robustness and protects it from the electrical leakages and short circuits. The IgG biomolecules modified on the surface of the SiO2/ZnO NW act as a gate potential, and the field effect can influence the surface electron density of ZnO NWs, which varies the screening effect of free-carriers on the piezoelectric output. The present results demonstrate a feasible approach for a highly stable self-powered/active biosensor.

  4. Growth and characterization of Pt-Si droplets for silicon nanowires synthesis

    NASA Astrophysics Data System (ADS)

    Khumalo, Z. M.; Topić, M.; Mtshali, C. B.; Blumenthal, M.

    2018-02-01

    The formation of platinum silicide phases as a function of the annealing temperature was investigated using in-situ real-time Rutherford backscattering spectrometry. The in-situ real-time RBS revealed the reaction of platinum and silicon to start at about 220 °C to form platinum silicide phases, Pt2Si and PtSi in sequence. Scanning electron microscope revealed the morphological change in the platinum layer (formation of droplets) at 800 °C. The particle induced X-ray emission analysis showed the variation of platinum intensity, in the droplets areas, between 1600 and 2000 counts. The surrounding areas are left almost uncovered due to platinum film dewetting. In-plane as well as out-of-plane silicon nanowires were observed to form at 800 °C and 1000 °C using pulsed laser ablation and thermal annealing techniques, respectively.

  5. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  6. Schottky junction interfacial properties at high temperature: A case of AgNWs embedded metal oxide/p-Si

    NASA Astrophysics Data System (ADS)

    Mahala, Pramila; Patel, Malkeshkumar; Gupta, Navneet; Kim, Joondong; Lee, Byung Ha

    2018-05-01

    Studying the performance limiting parameters of the Schottky device is an urgent issue, which are addressed herein by thermally stable silver nanowire (AgNW) embedded metal oxide/p-Si Schottky device. Temperature and bias dependent junction interfacial properties of AgNW-ITO/Si Schottky photoelectric device are reported. The current-voltage-temperature (I-V-T), capacitance-voltage-temperature (C-V-T) and impedance analysis have been carried out in the high-temperature region. The ideality factor and barrier height of Schottky junction are assessed using I-V-T characteristics and thermionic emission, to reveal the decrease of ideality factor and increase of barrier height by the increasing of temperature. The extracted values of laterally homogeneous Schottky (ϕb) and ideality factor (n) are approximately 0.73 eV and 1.58, respectively. Series resistance (Rs) assessed using Cheung's method and found that it decreases with the increase of temperature. A linear response of Rs of AgNW-ITO/Si Schottky junction is observed with respect to change in forward bias, i.e. dRS/dV from 0 to 0.7 V is in the range of 36.12-36.43 Ω with a rate of 1.44 Ω/V. Impedance spectroscopy is used to study the effect of bias voltage and temperature on intrinsic Schottky properties which are responsible for photoconversion efficiency. These systematic analyses are useful for the AgNWs-embedding Si solar cells or photoelectrochemical cells.

  7. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  8. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  9. Long term stability of nanowire nanoelectronics in physiological environments.

    PubMed

    Zhou, Wei; Dai, Xiaochuan; Fu, Tian-Ming; Xie, Chong; Liu, Jia; Lieber, Charles M

    2014-03-12

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease.

  10. Long Term Stability of Nanowire Nanoelectronics in Physiological Environments

    PubMed Central

    2015-01-01

    Nanowire nanoelectronic devices have been exploited as highly sensitive subcellular resolution detectors for recording extracellular and intracellular signals from cells, as well as from natural and engineered/cyborg tissues, and in this capacity open many opportunities for fundamental biological research and biomedical applications. Here we demonstrate the capability to take full advantage of the attractive capabilities of nanowire nanoelectronic devices for long term physiological studies by passivating the nanowire elements with ultrathin metal oxide shells. Studies of Si and Si/aluminum oxide (Al2O3) core/shell nanowires in physiological solutions at 37 °C demonstrate long-term stability extending for at least 100 days in samples coated with 10 nm thick Al2O3 shells. In addition, investigations of nanowires configured as field-effect transistors (FETs) demonstrate that the Si/Al2O3 core/shell nanowire FETs exhibit good device performance for at least 4 months in physiological model solutions at 37 °C. The generality of this approach was also tested with in studies of Ge/Si and InAs nanowires, where Ge/Si/Al2O3 and InAs/Al2O3 core/shell materials exhibited stability for at least 100 days in physiological model solutions at 37 °C. In addition, investigations of hafnium oxide-Al2O3 nanolaminated shells indicate the potential to extend nanowire stability well beyond 1 year time scale in vivo. These studies demonstrate that straightforward core/shell nanowire nanoelectronic devices can exhibit the long term stability needed for a range of chronic in vivo studies in animals as well as powerful biomedical implants that could improve monitoring and treatment of disease. PMID:24479700

  11. Analysis of the vapor-liquid-solid mechanism for nanowire growth and a model for this mechanism.

    PubMed

    Mohammad, S Noor

    2008-05-01

    The vapor-liquid-solid (VLS) mechanism is most widely employed to grow nanowires (NWs). The mechanism uses foreign element catalytic agent (FECA) to mediate the growth. Because of this, it is believed to be very stable with the FECA-mediated droplets not consumed even when reaction conditions change. Recent experiments however differ, which suggest that even under cleanest growth conditions, VLS mechanism may not produce long, thin, uniform, single-crystal nanowires of high purity. The present investigation has addressed various issues involving fundamentals of VLS growth. While addressing these issues, it has taken into consideration the influence of the electrical, hydrodynamic, thermodynamic, and surface tension effects on NW growth. It has found that parameters such as mesoscopic effects on nanoparticle seeds, charge distribution in FECA-induced droplets, electronegativity of the droplet with respect to those of reactive nanowire vapor species, growth temperature, and chamber pressure play important role in the VLS growth. On the basis of an in-depth analysis of various issues, a simple, novel, malleable (SNM) model has been presented for the VLS mechanism. The model appears to explain the formation and observed characteristics of a wide variety of nanowires, including elemental and compound semiconductor nanowires. Also it provides an understanding of the influence of the dynamic behavior of the droplets on the NW growth. This study finds that increase in diameter with time of the droplet of tapered nanowires results primarily from gradual incorporation of oversupplied nanowire species into the FECA-mediated droplet, which is supported by experiments. It finds also that optimum compositions of the droplet constituents are crucial for VLS nanowire growth. An approximate model presented to exemplify the parametric dependency of VLS growth provides good description of NW growth rate as a function of temperature.

  12. Electrical probing of field-driven cascading quantized transitions of skyrmion cluster states in MnSi nanowires

    NASA Astrophysics Data System (ADS)

    Du, Haifeng; Liang, Dong; Jin, Chiming; Kong, Lingyao; Stolt, Matthew J.; Ning, Wei; Yang, Jiyong; Xing, Ying; Wang, Jian; Che, Renchao; Zang, Jiadong; Jin, Song; Zhang, Yuheng; Tian, Mingliang

    2015-07-01

    Magnetic skyrmions are topologically stable whirlpool-like spin textures that offer great promise as information carriers for future spintronic devices. To enable such applications, particular attention has been focused on the properties of skyrmions in highly confined geometries such as one-dimensional nanowires. Hitherto, it is still experimentally unclear what happens when the width of the nanowire is comparable to that of a single skyrmion. Here, we achieve this by measuring the magnetoresistance in ultra-narrow MnSi nanowires. We observe quantized jumps in magnetoresistance versus magnetic field curves. By tracking the size dependence of the jump number, we infer that skyrmions are assembled into cluster states with a tunable number of skyrmions, in agreement with the Monte Carlo simulations. Our results enable an electric reading of the number of skyrmions in the cluster states, thus laying a solid foundation to realize skyrmion-based memory devices.

  13. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    PubMed

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  14. Large scale synthesis of α-Si3N4 nanowires through a kinetically favored chemical vapour deposition process

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Huang, Zhaohui; Zhang, Xiaoguang; Fang, Minghao; Liu, Yan-gai; Wu, Xiaowen; Min, Xin

    2018-01-01

    Understanding the kinetic barrier and driving force for crystal nucleation and growth is decisive for the synthesis of nanowires with controllable yield and morphology. In this research, we developed an effective reaction system to synthesize very large scale α-Si3N4 nanowires (hundreds of milligrams) and carried out a comparative study to characterize the kinetic influence of gas precursor supersaturation and liquid metal catalyst. The phase composition, morphology, microstructure and photoluminescence properties of the as-synthesized products were characterized by X-ray diffraction, fourier-transform infrared spectroscopy, field emission scanning electron microscopy, transmission electron microscopy and room temperature photoluminescence measurement. The yield of the products not only relates to the reaction temperature (thermodynamic condition) but also to the distribution of gas precursors (kinetic condition). As revealed in this research, by controlling the gas diffusion process, the yield of the nanowire products could be greatly improved. The experimental results indicate that the supersaturation is the dominant factor in the as-designed system rather than the catalyst. With excellent non-flammability and high thermal stability, the large scale α-Si3N4 products would have potential applications to the improvement of strength of high temperature ceramic composites. The photoluminescence spectrum of the α-Si3N4 shows a blue shift which could be valued for future applications in blue-green emitting devices. There is no doubt that the large scale products are the base of these applications.

  15. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Single nanowire green InGaN/GaN light emitting diodes

    NASA Astrophysics Data System (ADS)

    Zhang, Guogang; Li, Ziyuan; Yuan, Xiaoming; Wang, Fan; Fu, Lan; Zhuang, Zhe; Ren, Fang-Fang; Liu, Bin; Zhang, Rong; Tan, Hark Hoe; Jagadish, Chennupati

    2016-10-01

    Single nanowire (NW) green InGaN/GaN light-emitting diodes (LEDs) were fabricated by top-down etching technology. The electroluminescence (EL) peak wavelength remains approximately constant with an increasing injection current in contrast to a standard planar LED, which suggests that the quantum-confined Stark effect is significantly reduced in the single NW device. The strain relaxation mechanism is studied in the single NW LED using Raman scattering analysis. As compared to its planar counterpart, the EL peak of the NW LED shows a redshift, due to electric field redistribution as a result of changes in the cavity mode pattern after metallization. Our method has important implication for single NW optoelectronic device applications.

  17. High performance NO2 sensor using MoS2 nanowires network

    NASA Astrophysics Data System (ADS)

    Kumar, Rahul; Goel, Neeraj; Kumar, Mahesh

    2018-01-01

    We report on a high-performance NO2 sensor based on a one dimensional MoS2 nanowire (NW) network. The MoS2 NW network was synthesized using chemical transport reaction through controlled turbulent vapor flow. The crystal structure and surface morphology of MoS2 NWs were confirmed by X-ray diffraction, Raman spectroscopy, X-ray photoelectron spectroscopy, and scanning electron microscopy. Further, the sensing behavior of the nanowires was investigated at different temperatures for various concentrations of NO2 and the sensor exhibited about 2-fold enhanced sensitivity with a low detection limit of 4.6 ppb for NO2 at 60 °C compared to sensitivity at room temperature. Moreover, it showed a fast response (16 s) with complete recovery (172 s) at 60 °C, while sensitivity of the device was decreased at 120 °C. The efficient sensing with reliable selectivity toward NO2 of the nanowires is attributed to a combination of abundant active edge sites along with a large surface area and tuning of the potential barrier at the intersections of nanowires during adsorption/desorption of gas molecules.

  18. Platinum Assisted Vapor–Liquid–Solid Growth of Er–Si Nanowires and Their Optical Properties

    PubMed Central

    2010-01-01

    We report the optical activation of erbium coated silicon nanowires (Er–SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor–liquid–solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core–shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er–SiNWs. PMID:20672113

  19. Platinum assisted vapor-liquid-solid growth of er-si nanowires and their optical properties.

    PubMed

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H; Choi, Heon-Jin

    2009-11-14

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  20. Platinum Assisted Vapor-Liquid-Solid Growth of Er-Si Nanowires and Their Optical Properties

    NASA Astrophysics Data System (ADS)

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H.; Choi, Heon-Jin

    2010-02-01

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  1. Label-Free Virus Capture and Release by a Microfluidic Device Integrated with Porous Silicon Nanowire Forest.

    PubMed

    Xia, Yiqiu; Tang, Yi; Yu, Xu; Wan, Yuan; Chen, Yizhu; Lu, Huaguang; Zheng, Si-Yang

    2017-02-01

    Viral diseases are perpetual threats to human and animal health. Detection and characterization of viral pathogens require accurate, sensitive, and rapid diagnostic assays. For field and clinical samples, the sample preparation procedures limit the ultimate performance and utility of the overall virus diagnostic protocols. This study presents the development of a microfluidic device embedded with porous silicon nanowire (pSiNW) forest for label-free size-based point-of-care virus capture in a continuous curved flow design. The pSiNW forests with specific interwire spacing are synthesized in situ on both bottom and sidewalls of the microchannels in a batch process. With the enhancement effect of Dean flow, this study demonstrates that about 50% H5N2 avian influenza viruses are physically trapped without device clogging. A unique feature of the device is that captured viruses can be released by inducing self-degradation of the pSiNWs in physiological aqueous environment. About 60% of captured viruses can be released within 24 h for virus culture, subsequent molecular diagnosis, and other virus characterization and analyses. This device performs viable, unbiased, and label-free virus isolation and release. It has great potentials for virus discovery, virus isolation and culture, functional studies of virus pathogenicity, transmission, drug screening, and vaccine development. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Ultrahigh-density sub-10 nm nanowire array formation via surface-controlled phase separation.

    PubMed

    Tian, Yuan; Mukherjee, Pinaki; Jayaraman, Tanjore V; Xu, Zhanping; Yu, Yongsheng; Tan, Li; Sellmyer, David J; Shield, Jeffrey E

    2014-08-13

    We present simple, self-assembled, and robust fabrication of ultrahigh density cobalt nanowire arrays. The binary Co-Al and Co-Si systems phase-separate during physical vapor deposition, resulting in Co nanowire arrays with average diameter as small as 4.9 nm and nanowire density on the order of 10(16)/m(2). The nanowire diameters were controlled by moderating the surface diffusivity, which affected the lateral diffusion lengths. High resolution transmission electron microscopy reveals that the Co nanowires formed in the face-centered cubic structure. Elemental mapping showed that in both systems the nanowires consisted of Co with undetectable Al or Si and that the matrix consisted of Al with no distinguishable Co in the Co-Al system and a mixture of Si and Co in the Co-Si system. Magnetic measurements clearly indicate anisotropic behavior consistent with shape anisotropy. The dynamics of nanowire growth, simulated using an Ising model, is consistent with the experimental phase and geometry of the nanowires.

  3. A reliable method to grow vertically-aligned silicon nanowires by a novel ramp-cooling process

    NASA Astrophysics Data System (ADS)

    Ho, Tzuen-Wei; Hong, Franklin Chau-Nan

    2012-08-01

    We have grown silicon nanowires (SiNWs) on Si (1 1 1) substrates by gold-catalyzed vapor-liquid-solid (VLS) process using tetrachlorosilane (SiCl4) in a hot-wall chemical vapor deposition reactor. Even under the optimized conditions including H2 annealing to reduce the surface native oxide, epitaxial SiNWs of 150-200 nm in diameter often grew along all four <1 1 1> family directions with one direction vertical and three others inclined to the surface. Therefore, the growth of high degree ordered SiNW arrays along [1 1 1] only was attempted on Au-coated Si (1 1 1) by a ramp-cooling process utilizing the liquid phase epitaxy (LPE) mechanism. The Au-coated Si substrate was first annealed in H2 at 650 °C to form Au-Si alloy nanoparticles, and then ramp-cooled at a controlled rate to precipitate epitaxial Si seeds on the substrate based on LPE mechanism. The substrate was further heated in SiCl4/H2 to 850 °C for the VLS growths of SiNWs on the Si seeds. Thus, almost 100% vertically-aligned SiNWs along [1 1 1] only could be reproducibly grown on Si (1 1 1), without using a template or patterning the metal catalyst. The high-density vertically-aligned SiNWs have good potentials for solar cells and nano-devices.

  4. Electrohydrodynamic printing of silver nanowires for flexible and stretchable electronics.

    PubMed

    Cui, Zheng; Han, Yiwei; Huang, Qijin; Dong, Jingyan; Zhu, Yong

    2018-04-19

    A silver nanowire (AgNW) based conductor is a promising component for flexible and stretchable electronics. A wide range of flexible/stretchable devices using AgNW conductors has been demonstrated recently. High-resolution, high-throughput printing of AgNWs remains a critical challenge. Electrohydrodynamic (EHD) printing has been developed as a promising technique to print different materials on a variety of substrates with high resolution. Here, AgNW ink was developed for EHD printing. The printed features can be controlled by several parameters including AgNW concentration, ink viscosity, printing speed, stand-off distance, etc. With this method, AgNW patterns can be printed on a range of substrates, e.g. paper, polyethylene terephthalate (PET), glass, polydimethylsiloxane (PDMS), etc. First, AgNW samples on PDMS were characterized under bending and stretching. Then AgNW heaters and electrocardiogram (ECG) electrodes were fabricated to demonstrate the potential of this printing technique for AgNW-based flexible and stretchable devices.

  5. Photon-triggered nanowire transistors

    NASA Astrophysics Data System (ADS)

    Kim, Jungkil; Lee, Hoo-Cheol; Kim, Kyoung-Ho; Hwang, Min-Soo; Park, Jin-Sung; Lee, Jung Min; So, Jae-Pil; Choi, Jae-Hyuck; Kwon, Soon-Hong; Barrelet, Carl J.; Park, Hong-Gyu

    2017-10-01

    Photon-triggered electronic circuits have been a long-standing goal of photonics. Recent demonstrations include either all-optical transistors in which photons control other photons or phototransistors with the gate response tuned or enhanced by photons. However, only a few studies report on devices in which electronic currents are optically switched and amplified without an electrical gate. Here we show photon-triggered nanowire (NW) transistors, photon-triggered NW logic gates and a single NW photodetection system. NWs are synthesized with long crystalline silicon (CSi) segments connected by short porous silicon (PSi) segments. In a fabricated device, the electrical contacts on both ends of the NW are connected to a single PSi segment in the middle. Exposing the PSi segment to light triggers a current in the NW with a high on/off ratio of >8 × 106. A device that contains two PSi segments along the NW can be triggered using two independent optical input signals. Using localized pump lasers, we demonstrate photon-triggered logic gates including AND, OR and NAND gates. A photon-triggered NW transistor of diameter 25 nm with a single 100 nm PSi segment requires less than 300 pW of power. Furthermore, we take advantage of the high photosensitivity and fabricate a submicrometre-resolution photodetection system. Photon-triggered transistors offer a new venue towards multifunctional device applications such as programmable logic elements and ultrasensitive photodetectors.

  6. Photon-triggered nanowire transistors.

    PubMed

    Kim, Jungkil; Lee, Hoo-Cheol; Kim, Kyoung-Ho; Hwang, Min-Soo; Park, Jin-Sung; Lee, Jung Min; So, Jae-Pil; Choi, Jae-Hyuck; Kwon, Soon-Hong; Barrelet, Carl J; Park, Hong-Gyu

    2017-10-01

    Photon-triggered electronic circuits have been a long-standing goal of photonics. Recent demonstrations include either all-optical transistors in which photons control other photons or phototransistors with the gate response tuned or enhanced by photons. However, only a few studies report on devices in which electronic currents are optically switched and amplified without an electrical gate. Here we show photon-triggered nanowire (NW) transistors, photon-triggered NW logic gates and a single NW photodetection system. NWs are synthesized with long crystalline silicon (CSi) segments connected by short porous silicon (PSi) segments. In a fabricated device, the electrical contacts on both ends of the NW are connected to a single PSi segment in the middle. Exposing the PSi segment to light triggers a current in the NW with a high on/off ratio of >8 × 10 6 . A device that contains two PSi segments along the NW can be triggered using two independent optical input signals. Using localized pump lasers, we demonstrate photon-triggered logic gates including AND, OR and NAND gates. A photon-triggered NW transistor of diameter 25 nm with a single 100 nm PSi segment requires less than 300 pW of power. Furthermore, we take advantage of the high photosensitivity and fabricate a submicrometre-resolution photodetection system. Photon-triggered transistors offer a new venue towards multifunctional device applications such as programmable logic elements and ultrasensitive photodetectors.

  7. Discrete impurity band from surface danging bonds in nitrogen and phosphorus doped SiC nanowires

    NASA Astrophysics Data System (ADS)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Cao, Mao-Sheng; Fang, Xiao-Yong

    2018-04-01

    The electronic structure and optical properties of the nitrogen and phosphorus doped silicon carbide nanowires (SiCNWs) are investigated using first-principle calculations based on density functional theory. The results show doping can change the type of the band gap and improve the conductivity. However, the doped SiCNWs form a discrete impurity levels at the Fermi energy, and the dispersion degree decreases with the diameter increasing. In order to reveal the root of this phenomenon, we hydrogenated the doped SiCNWs, found that the surface dangling bonds were saturated, and the discrete impurity levels are degeneracy, which indicates that the discrete impurity band of the doped SiCNWs is derived from the dangling bonds. The surface passivation can degenerate the impurity levels. Therefore, both doping and surface passivation can better improve the photoelectric properties of the SiCNWs. The result can provide additional candidates in producing nano-optoelectronic devices.

  8. Growth of single-crystalline cobalt silicide nanowires and their field emission property.

    PubMed

    Lu, Chi-Ming; Hsu, Han-Fu; Lu, Kuo-Chang

    2013-07-03

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters.

  9. Growth of single-crystalline cobalt silicide nanowires and their field emission property

    PubMed Central

    2013-01-01

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters. PMID:23819795

  10. A novel passivation process of silicon nanowires by a low-cost PECVD technique for deposition of hydrogenated silicon nitride using SiH4 and N2 as precursor gases

    NASA Astrophysics Data System (ADS)

    Bouaziz, Lamia; Dridi, Donia; Karyaoui, Mokhtar; Angelova, Todora; Sanchez Plaza, Guillermo; Chtourou, Radhouane

    2017-03-01

    In this work, a different SiNx passivation process of silicon nanowires has been opted for the deposition of a hydrogenated silicon nitride (SiNx:H) by a low-cost plasma enhanced chemical vapor deposition (PECVD) using silane ( SiH4 and nitrogen ( N2 as reactive gases. This study is focused on the effect of the gas flow ratio on chemical composition, morphological, optical and optoelectronic properties of silicon nanowires. The existence of Si-N and Si-H bonds was proven by the Fourier transmission infrared (FTIR) spectrum. Morphological structures were shown by scanning electron microscopy (SEM), and the roughness was investigated by atomic force microscopy (AFM). A low reflectivity less than 6% in the wavelength range 250-1200nm has been shown by UV-visible spectroscopy. Furthermore, the thickness and the refractive index of the passivation layer is determined by ellipsometry measurements. As a result, an improvement in minority carrier lifetime has been obtained by reducing surface recombination of silicon nanowires.

  11. Low-frequency flicker noise in a MSM device made with single Si nanowire (diameter ≈ 50 nm).

    PubMed

    Samanta, Sudeshna; Das, Kaustuv; Raychaudhuri, Arup Kumar

    2013-04-10

    : Low-frequency flicker noise has been measured in a metal-semiconductor-metal (MSM) device made from a single strand of a single crystalline Si nanowire (diameter approximately 50 nm). Measurement was done with an alternating current (ac) excitation for the noise measurement superimposed with direct current (dc) bias that can be controlled independently. The observed noise has a spectral power density ∝1/fα. Application of the superimposed dc bias (retaining the ac bias unchanged) with a value more than the Schottky barrier height at the junction leads to a large suppression of the noise amplitude along with a change of α from 2 to ≈ 1. The dc bias-dependent part of the noise has been interpreted as arising from the interface region. The residual dc bias-independent flicker noise is suggested to arise from the single strand of Si nanowire, which has the conventional 1/f spectral power density.

  12. Low-frequency flicker noise in a MSM device made with single Si nanowire (diameter ≈ 50 nm)

    PubMed Central

    2013-01-01

    Low-frequency flicker noise has been measured in a metal-semiconductor-metal (MSM) device made from a single strand of a single crystalline Si nanowire (diameter approximately 50 nm). Measurement was done with an alternating current (ac) excitation for the noise measurement superimposed with direct current (dc) bias that can be controlled independently. The observed noise has a spectral power density ∝1/fα. Application of the superimposed dc bias (retaining the ac bias unchanged) with a value more than the Schottky barrier height at the junction leads to a large suppression of the noise amplitude along with a change of α from 2 to ≈ 1. The dc bias-dependent part of the noise has been interpreted as arising from the interface region. The residual dc bias-independent flicker noise is suggested to arise from the single strand of Si nanowire, which has the conventional 1/f spectral power density. PMID:23574820

  13. Generation of Reactive Oxygen Species from Silicon Nanowires

    PubMed Central

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor–liquid–solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals. PMID:25452695

  14. Generation of reactive oxygen species from silicon nanowires.

    PubMed

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  15. Preparation, characterization, physical properties, and photoconducting behaviour of anthracene derivative nanowires

    NASA Astrophysics Data System (ADS)

    Xiao, Jinchong; Yin, Zongyou; Yang, Bo; Liu, Yi; Ji, Li; Guo, Jun; Huang, Ling; Liu, Xuewei; Yan, Qingyu; Zhang, Hua; Zhang, Qichun

    2011-11-01

    Organic nanowires of 9,10-dibromoanthracene (DBA) and 9,10-dicyanoanthracene (DCNA) were obtained by adding the THF solution of DBA/DCNA into water containing P123 surfactants. The as-prepared nanowires were characterized by UV-vis, fluorescence spectra, Field Emission Scanning Electron Microscopy (FESEM), and Transmission Electron Microscopy (TEM). We found that DBA and DCNA nanowires emitted green light rather than blue light for molecules in THF solution. The red-shift UV and fluorescent spectra of DBA and DCNA nanowires implied that these nanowires were formed through J-aggregation. The photoconducting study of DBA/DCNA nanowire-based network on rGO/SiO2/Si shows different photocurrent behaviors upon irradiation, which displayed that electron transfer from DCNA nanowire to rGO was stronger than that of DBA nanowires to rGO.Organic nanowires of 9,10-dibromoanthracene (DBA) and 9,10-dicyanoanthracene (DCNA) were obtained by adding the THF solution of DBA/DCNA into water containing P123 surfactants. The as-prepared nanowires were characterized by UV-vis, fluorescence spectra, Field Emission Scanning Electron Microscopy (FESEM), and Transmission Electron Microscopy (TEM). We found that DBA and DCNA nanowires emitted green light rather than blue light for molecules in THF solution. The red-shift UV and fluorescent spectra of DBA and DCNA nanowires implied that these nanowires were formed through J-aggregation. The photoconducting study of DBA/DCNA nanowire-based network on rGO/SiO2/Si shows different photocurrent behaviors upon irradiation, which displayed that electron transfer from DCNA nanowire to rGO was stronger than that of DBA nanowires to rGO. Electronic supplementary information (ESI) available: XRD patterns and simulations, and FT-IR spectra. CCDC reference numbers 840471. For ESI and crystallographic data in CIF or other electronic format see DOI: 10.1039/c1nr10655d

  16. Self-assembled growth of MnSi~1.7 nanowires with a single orientation and a large aspect ratio on Si(110) surfaces

    PubMed Central

    2013-01-01

    MnSi~1.7 nanowires (NWs) with a single orientation and a large aspect ratio have been formed on a Si(110) surface with the molecular beam epitaxy method by a delicate control of growth parameters, such as temperature, deposition rate, and deposition time. Scanning tunneling microscopy (STM) was employed to study the influence of these parameters on the growth of NWs. The supply of free Si atoms per unit time during the silicide reaction plays a critical role in the growth kinetics of the NWs. High growth temperature and low deposition rate are favorable for the formation of NWs with a large aspect ratio. The orientation relationship between the NWs and the reconstruction rows of the Si(110) surface suggests that the NWs grow along the 11¯0 direction of the silicon substrate. High-resolution STM and backscattered electron scanning electron microscopy images indicate that the NWs are composed of MnSi~1.7. PMID:23339353

  17. Velcro-Inspired SiC Fuzzy Fibers for Aerospace Applications.

    PubMed

    Hart, Amelia H C; Koizumi, Ryota; Hamel, John; Owuor, Peter Samora; Ito, Yusuke; Ozden, Sehmus; Bhowmick, Sanjit; Syed Amanulla, Syed Asif; Tsafack, Thierry; Keyshar, Kunttal; Mital, Rahul; Hurst, Janet; Vajtai, Robert; Tiwary, Chandra Sekhar; Ajayan, Pulickel M

    2017-04-19

    The most recent and innovative silicon carbide (SiC) fiber ceramic matrix composites, used for lightweight high-heat engine parts in aerospace applications, are woven, layered, and then surrounded by a SiC ceramic matrix composite (CMC). To further improve both the mechanical properties and thermal and oxidative resistance abilities of this material, SiC nanotubes and nanowires (SiCNT/NWs) are grown on the surface of the SiC fiber via carbon nanotube conversion. This conversion utilizes the shape memory synthesis (SMS) method, starting with carbon nanotube (CNT) growth on the SiC fiber surface, to capitalize on the ease of dense surface morphology optimization and the ability to effectively engineer the CNT-SiC fiber interface to create a secure nanotube-fiber attachment. Then, by converting the CNTs to SiCNT/NWs, the relative morphology, advantageous mechanical properties, and secure connection of the initial CNT-SiC fiber architecture are retained, with the addition of high temperature and oxidation resistance. The resultant SiCNT/NW-SiC fiber can be used inside the SiC ceramic matrix composite for a high-heat turbo engine part with longer fatigue life and higher temperature resistance. The differing sides of the woven SiCNT/NWs act as the "hook and loop" mechanism of Velcro but in much smaller scale.

  18. Growth mechanism of isolated indium nanowires formed on Si(5 5 12)-2 × 1 templates

    NASA Astrophysics Data System (ADS)

    Zhu, Yong-Zhe; Kim, Hidong; Seo, Jae M.

    2012-08-01

    Through self-assembly of In atoms on a reconstructed Si(5 5 12)-2×1 surface, nanowires of a width less than 5 nm have been formed. One specific site of the one-dimensional structure of the substrate turns out to be inert to arriving In atoms so that the self-assembled nanowires are well-isolated from each other, resulting in a high aspect ratio. In addition to such an isolation, keeping the same periodicity as the substrate ( i.e., 5.35 nm) during such self-assembly is another interesting point of the present system. In the present study, the detailed growth mechanism has been disclosed by using scanning tunneling microscopy.

  19. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  20. Atomic Migration Induced Crystal Structure Transformation and Core-Centered Phase Transition in Single Crystal Ge2Sb2Te5 Nanowires.

    PubMed

    Lee, Jun-Young; Kim, Jeong-Hyeon; Jeon, Deok-Jin; Han, Jaehyun; Yeo, Jong-Souk

    2016-10-12

    A phase change nanowire holds a promise for nonvolatile memory applications, but its transition mechanism has remained unclear due to the analytical difficulties at atomic resolution. Here we obtain a deeper understanding on the phase transition of a single crystalline Ge 2 Sb 2 Te 5 nanowire (GST NW) using atomic scale imaging, diffraction, and chemical analysis. Our cross-sectional analysis has shown that the as-grown hexagonal close-packed structure of the single crystal GST NW transforms to a metastable face-centered cubic structure due to the atomic migration to the pre-existing vacancy layers in the hcp structure going through iterative electrical switching. We call this crystal structure transformation "metastabilization", which is also confirmed by the increase of set-resistance during the switching operation. For the set to reset transition between crystalline and amorphous phases, high-resolution imaging indicates that the longitudinal center of the nanowire mainly undergoes phase transition. According to the atomic scale analysis of the GST NW after repeated electrical switching, partial crystallites are distributed around the core-centered amorphous region of the nanowire where atomic migration is mainly induced, thus potentially leading to low power electrical switching. These results provide a novel understanding of phase change nanowires, and can be applied to enhance the design of nanowire phase change memory devices for improved electrical performance.

  1. Origin of visible and near-infrared photoluminescence from chemically etched Si nanowires decorated with arbitrarily shaped Si nanocrystals.

    PubMed

    Ghosh, Ramesh; Giri, P K; Imakita, Kenji; Fujii, Minoru

    2014-01-31

    Arrays of vertically aligned single crystalline Si nanowires (NWs) decorated with arbitrarily shaped Si nanocrystals (NCs) have been fabricated by a silver assisted wet chemical etching method. Scanning electron microscopy and transmission electron microscopy are performed to measure the dimensions of the Si NWs as well as the Si NCs. A strong broad band and tunable visible (2.2 eV) to near-infrared (1.5 eV) photoluminescence (PL) is observed from these Si NWs at room temperature (RT). Our studies reveal that the Si NCs are primarily responsible for the 1.5-2.2 eV emission depending on the cross-sectional area of the Si NCs, while the large diameter Si/SiOx NWs yield distinct NIR PL consisting of peaks at 1.07, 1.10 and 1.12 eV. The latter NIR peaks are attributed to TO/LO phonon assisted radiative recombination of free carriers condensed in the electron-hole plasma in etched Si NWs observed at RT for the first time. Since the shape of the Si NCs is arbitrary, an analytical model is proposed to correlate the measured PL peak position with the cross-sectional area (A) of the Si NCs, and the bandgap (E(g)) of nanostructured Si varies as E(g) = E(g) (bulk) + 3.58 A(-0.52). Low temperature PL studies reveal the contribution of non-radiative defects in the evolution of PL spectra at different temperatures. The enhancement of PL intensity and red-shift of the PL peak at low temperatures are explained based on the interplay of radiative and non-radiative recombinations at the Si NCs and Si/SiO(x) interface. Time resolved PL studies reveal bi-exponential decay with size correlated lifetimes in the range of a few microseconds. Our results help to resolve a long standing debate on the origin of visible-NIR PL from Si NWs and allow quantitative analysis of PL from arbitrarily shaped Si NCs.

  2. Temperature-dependent structure and phase variation of nickel silicide nanowire arrays prepared by in situ silicidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hailong; She, Guangwei, E-mail: shegw@mail.ipc.ac.cn; Mu, Lixuan

    Graphical abstract: Display Omitted Highlight: ► Nickel silicides nanowire arrays prepared by a simple in situ silicidation method. ► Phases of nickel silicides could be varied by tuning the reaction temperature. ► A growth model was proposed for the nickel silicides nanowires. ► Diffusion rates of Ni and Si play a critical role for the phase variation. -- Abstract: In this paper, we report an in situ silicidizing method to prepare nickel silicide nanowire arrays with varied structures and phases. The in situ reaction (silicidation) between Si and NiCl{sub 2} led to conversion of Si nanowires to nickel silicide nanowires.more » Structures and phases of the obtained nickel silicides could be varied by changing the reaction temperature. At a relatively lower temperature of 700 °C, the products are Si/NiSi core/shell nanowires or NiSi nanowires, depending on the concentration of NiCl{sub 2} solution. At a higher temperature (800 °C and 900 °C), other phases of the nickel silicides, including Ni{sub 2}Si, Ni{sub 31}Si{sub 12}, and NiSi{sub 2}, were obtained. It is proposed that the different diffusion rates of Ni and Si atoms at different temperatures played a critical role in the formation of nickel silicide nanowires with different phases.« less

  3. Mapping carrier diffusion in single silicon core-shell nanowires with ultrafast optical microscopy.

    PubMed

    Seo, M A; Yoo, J; Dayeh, S A; Picraux, S T; Taylor, A J; Prasankumar, R P

    2012-12-12

    Recent success in the fabrication of axial and radial core-shell heterostructures, composed of one or more layers with different properties, on semiconductor nanowires (NWs) has enabled greater control of NW-based device operation for various applications. (1-3) However, further progress toward significant performance enhancements in a given application is hindered by the limited knowledge of carrier dynamics in these structures. In particular, the strong influence of interfaces between different layers in NWs on transport makes it especially important to understand carrier dynamics in these quasi-one-dimensional systems. Here, we use ultrafast optical microscopy (4) to directly examine carrier relaxation and diffusion in single silicon core-only and Si/SiO(2) core-shell NWs with high temporal and spatial resolution in a noncontact manner. This enables us to reveal strong coherent phonon oscillations and experimentally map electron and hole diffusion currents in individual semiconductor NWs for the first time.

  4. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  5. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  6. Flexible organic light-emitting devices with a smooth and transparent silver nanowire electrode

    NASA Astrophysics Data System (ADS)

    Cui, Hai-Feng; Zhang, Yi-Fan; Li, Chuan-Nan

    2014-07-01

    We demonstrate a flexible organic light-emitting device (OLED) by using silver nanowire (AgNW) transparent electrode. A template stripping process has been employed to fabricate the AgNW electrode on a photopolymer substrate. From this approach, a random AgNW network electrode can be transferred to the flexible substrate and its roughness has been successfully decreased. As a result, the devices obtained by this method exhibit high efficiency. In addition, the flexible OLEDs keep good performance under a small bending radius.

  7. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  8. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  9. The Conductive Silver Nanowires Fabricated by Two-beam Laser Direct Writing on the Flexible Sheet.

    PubMed

    He, Gui-Cang; Zheng, Mei-Ling; Dong, Xian-Zi; Jin, Feng; Liu, Jie; Duan, Xuan-Ming; Zhao, Zhen-Sheng

    2017-02-02

    Flexible electrically conductive nanowires are now a key component in the fields of flexible devices. The achievement of metal nanowire with good flexibility, conductivity, compact and smooth morphology is recognized as one critical milestone for the flexible devices. In this study, a two-beam laser direct writing system is designed to fabricate AgNW on PET sheet. The minimum width of the AgNW fabricated by this method is 187 ± 34 nm with the height of 84 ± 4 nm. We have investigated the electrical resistance under different voltages and the applicable voltage per meter range is determined to be less than 7.5 × 10 3  V/m for the fabricated AgNW. The flexibility of the AgNW is very excellent, since the resistance only increases 6.63% even after the stretched bending of 2000 times at such a small bending radius of 1.0 mm. The proposed two-beam laser direct writing is an efficient method to fabricate AgNW on the flexible sheet, which could be applied in flexible micro/nano devices.

  10. Flexible, silver nanowire network nickel hydroxide core-shell electrodes for supercapacitors

    NASA Astrophysics Data System (ADS)

    Yuksel, Recep; Coskun, Sahin; Kalay, Yunus Eren; Unalan, Husnu Emrah

    2016-10-01

    We present a novel one-dimensional coaxial architecture composed of silver nanowire (Ag NW) network core and nickel hydroxide (Ni(OH)2) shell for the realization of coaxial nanocomposite electrode materials for supercapacitors. Ag NWs are formed conductive networks via spray coating onto polyethylene terephthalate (PET) substrates and Ni(OH)2 is gradually electrodeposited onto the Ag NW network to fabricate core-shell electrodes for supercapacitors. Synergy of highly conductive Ag NWs and high capacitive Ni(OH)2 facilitate ion and electron transport, enhance electrochemical properties and result in a specific capacitance of 1165.2 F g-1 at a current density of 3 A g-1. After 3000 cycles, fabricated nanocomposite electrodes show 93% capacity retention. The rational design explored in this study points out the potential of nanowire based coaxial energy storage devices.

  11. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  12. Optical design of GaN nanowire arrays for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Winnerl, Julia; Hudeczek, Richard; Stutzmann, Martin

    2018-05-01

    GaN nanowire (NW) arrays are interesting candidates for photocatalytic applications due to their high surface-to-volume ratio and their waveguide character. The integration of GaN NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs. Here, we present a numerical study of the influence of the NW geometries, i.e., the NW diameter, length, and period, and the illumination wavelength on the transmission of GaN NW arrays on transparent substrates. A detailed numerical analysis reveals that the transmission characteristics for large periods are determined by the waveguide character of the single NW, whereas for dense GaN NW arrays inter-wire coupling and diffraction effects originating from the periodic arrangement of the GaN NWs dominate the transmission. The numerically simulated results are confirmed by experimental transmission measurements. We also investigate the influence of a dielectric NW shell and of the surrounding medium on the transmission characteristics of a GaN NW array.

  13. Strain-induced structural defects and their effects on the electrochemical performances of silicon core/germanium shell nanowire heterostructures

    DOE PAGES

    Lin, Yung-Chen; Kim, Dongheun; Li, Zhen; ...

    2016-12-14

    Here we report on strain-induced structural defect formation in core Si nanowire of Si/Ge core/shell nanowire heterostructure and influences of the structural defects on the electrochemical performances in lithium-ion battery anodes based on Si/Ge core/shell nanowire heterostructures. The induced structural defects consisting of stacking faults and dislocations in the core Si nanowire were observed for the first time. The generation of stacking faults in Si/Ge core/shell nanowire heterostructure is observed to prefer settling in either only Ge shell region or in both Ge shell and Si core regions and is associated with the increase of the shell volume fraction. Themore » relax of misfit strain in [112] oriented core/shell nanowire heterostructure leads to subsequent gliding of Shockley partial dislocations, preferentially forming the twins. The observation of cross-over defect formation is of great importance for the understanding of heteroepitaxy in radial heterostructures at nanoscale and building the three dimensional heterostructures for the various applications. In addition, the effect of the defect formation on nanomaterial’s functionality is investigated by electrochemical performance test. The Si/Ge core/shell nanowire heterostructures enhance the gravimetric capacity of lithium ion battery anodes under fast charging/discharging rates compared to Si nanowires. However, the induced structural defects hamper lithiation of the Si/Ge core/shell nanowire heterostructure.« less

  14. Strain-induced structural defects and their effects on the electrochemical performances of silicon core/germanium shell nanowire heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Yung-Chen; Kim, Dongheun; Li, Zhen

    Here we report on strain-induced structural defect formation in core Si nanowire of Si/Ge core/shell nanowire heterostructure and influences of the structural defects on the electrochemical performances in lithium-ion battery anodes based on Si/Ge core/shell nanowire heterostructures. The induced structural defects consisting of stacking faults and dislocations in the core Si nanowire were observed for the first time. The generation of stacking faults in Si/Ge core/shell nanowire heterostructure is observed to prefer settling in either only Ge shell region or in both Ge shell and Si core regions and is associated with the increase of the shell volume fraction. Themore » relax of misfit strain in [112] oriented core/shell nanowire heterostructure leads to subsequent gliding of Shockley partial dislocations, preferentially forming the twins. The observation of cross-over defect formation is of great importance for the understanding of heteroepitaxy in radial heterostructures at nanoscale and building the three dimensional heterostructures for the various applications. In addition, the effect of the defect formation on nanomaterial’s functionality is investigated by electrochemical performance test. The Si/Ge core/shell nanowire heterostructures enhance the gravimetric capacity of lithium ion battery anodes under fast charging/discharging rates compared to Si nanowires. However, the induced structural defects hamper lithiation of the Si/Ge core/shell nanowire heterostructure.« less

  15. Floating compression of Ag nanowire networks for effective strain release of stretchable transparent electrodes

    NASA Astrophysics Data System (ADS)

    Pyo, Jun Beom; Kim, Byoung Soo; Park, Hyunchul; Kim, Tae Ann; Koo, Chong Min; Lee, Jonghwi; Son, Jeong Gon; Lee, Sang-Soo; Park, Jong Hyuk

    2015-10-01

    Manipulation of the configuration of Ag nanowire (NW) networks has been pursued to enhance the performance of stretchable transparent electrodes. However, it has remained challenging due to the high Young's modulus and low yield strain of Ag NWs, which lead to their mechanical failure when subjected to structural deformation. We demonstrate that floating a Ag NW network on water and subsequent in-plane compression allows convenient development of a wavy configuration in the Ag NW network, which can release the applied strain. A greatly enhanced electromechanical stability of Ag NW networks can be achieved due to their wavy configuration, while the NW networks maintain the desirable optical and electrical properties. Moreover, the produced NW networks can be transferred to a variety of substrates, offering flexibility for device fabrication. The Ag NW networks with wavy configurations are used as compliant electrodes for dielectric elastomer actuators. The study demonstrates their promising potential to provide improved performance for soft electronic devices.Manipulation of the configuration of Ag nanowire (NW) networks has been pursued to enhance the performance of stretchable transparent electrodes. However, it has remained challenging due to the high Young's modulus and low yield strain of Ag NWs, which lead to their mechanical failure when subjected to structural deformation. We demonstrate that floating a Ag NW network on water and subsequent in-plane compression allows convenient development of a wavy configuration in the Ag NW network, which can release the applied strain. A greatly enhanced electromechanical stability of Ag NW networks can be achieved due to their wavy configuration, while the NW networks maintain the desirable optical and electrical properties. Moreover, the produced NW networks can be transferred to a variety of substrates, offering flexibility for device fabrication. The Ag NW networks with wavy configurations are used as compliant

  16. Substrate-mediated diffusion-induced growth of single-crystal nanowires.

    PubMed

    Mohammad, S Noor

    2009-11-28

    Theoretical investigations of the growth and growth rates of single-crystal nanowires (NWs) by vapor phase mechanisms have been carried out. Substrate-induced processes are assumed to dominate this growth. The modeling for growth takes adsorption, desorption, surface scattering, and diffusion into account. It takes into consideration also the retarding electric field arising from the scattering of the NW vapor species by both the substrate and the NW sidewalls. Growth characteristics under the influence of the retarding electric field have been studied. Competitive roles of adatom diffusivity and the electric field in the NW growth are elucidated. Influence of the growing NW length and the adatom impingement rate on the NW growth rate has been described. The effect of adatom collection area around each NW has been examined. The NW tapering and kinking have been explained. The fundamentals of the substrate induction and details of the growth parameters have been analyzed. The influence of foreign element catalytic agents in the vapor-liquid-solid mechanism has been presented. All these have led to the understanding and resolution of problems, controversies, and contradictions involving substrate-induced NW growths.

  17. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    PubMed

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  18. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    PubMed

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  19. Understanding the Vapor-Liquid-Solid and Vapor-Solid-Solid Mechanisms of Si Nanowire Growth to Synthetically Encode Precise Nanoscale Morphology

    NASA Astrophysics Data System (ADS)

    Pinion, Christopher William

    Precise patterning of semiconductor materials utilizing top-down lithographic techniques is integral to the advanced electronics we use on a daily basis. However, continuing development of these lithographic technologies often results in the trade-off of either high cost or low throughput, and three-dimensional (3D) patterning can be difficult to achieve. Bottom-up, chemical methods to control the 3D nanoscale morphology of semiconductor nanostructures have received significant attention as a complementary technique. Semiconductor nanowires, nanoscale filaments of semiconductor material 10-500 nm in diameter and 1-50 microns in length, are an especially promising platform because the wire composition can be modulated during growth and the high aspect ratio, one-dimensional structure enables integration in a range of devices. In this thesis, we first report a bottom-up method to break the conventional "wire" symmetry and synthetically encode a high-resolution array of arbitrary shapes along the nanowire growth axis. Rapid modulation of phosphorus doping combined with selective wet-chemical etching enables morphological features as small as 10 nm to be patterned over wires more than 50 ?m in length. Next, our focus shifts to more fundamental studies of the nanowire synthetic mechanisms. We presented comprehensive experimental measurements on the growth rate of Au catalyzed Si nanowires and developed a kinetic model of vapor-liquid-solid growth. Our analysis revealed an abrupt transition from a diameter-independent growth rate that is limited by incorporation to a diameter-dependent growth rate that is limited by crystallization. While investigating the vapor-liquid-solid mechanism, we noticed instances of unique catalyst behavior. Upon further study, we showed that it is possible to instantaneously and reversibly switch the phase of the catalyst between a liquid and superheated solid state under isothermal conditions above the eutectic temperature. The solid catalyst

  20. Improvement of polypyrrole nanowire devices by plasmonic space charge generation: high photocurrent and wide spectral response by Ag nanoparticle decoration.

    PubMed

    Lee, S-H; Bae, J; Lee, S W; Jang, J-W

    2015-11-07

    In this study, improvement of the opto-electronic properties of non-single crystallized nanowire devices with space charges generated by localized surface plasmon resonance (LSPR) is demonstrated. The photocurrent and spectral response of single polypyrrole (PPy) nanowire (NW) devices are increased by electrostatically attached Ag nanoparticles (Ag NPs). To take advantage of plasmon-exciton coupling in the photocurrent of the device, 80 nm of Ag NPs (454 nm = λmax) were chosen for matching the maximum absorption with PPy NWs (442 nm = λmax). The photocurrent density is remarkably improved, up to 25.3 times (2530%), by the Ag NP decoration onto the PPy NW (PPyAgNPs NW) under blue light (λ = 425-475 nm) illumination. In addition, the PPyAgNPs NW shows a photocurrent decay time twice that of PPy NW, as well as an improved spectral response of the photocurrent. The improved photocurrent efficiency, decay time, and spectral response resulted from the space charges generated by the LSPR of Ag NPs. Furthermore, the increasing exponent (m) of the photocurrent (JPC ∼ V(m)) and finite-differential time domain (FDTD) simulation straightforwardly indicate relatively large plasmonic space charge generation under blue light illumination. These results prove that the performance of non-single crystallized polymer nanowire devices can also be improved by plasmonic enhancement.

  1. UV radiation and CH4 gas detection with a single ZnO:Pd nanowire

    NASA Astrophysics Data System (ADS)

    Lupan, O.; Adelung, R.; Postica, V.; Ababii, N.; Chow, L.; Viana, B.; Pauporté, T.

    2017-02-01

    There is an increasing demand for sensors to monitor environmental levels of ultraviolet (UV) radiation and pollutant gases. In this work, an individual nanowire of Pd modified ZnO nanowire (ZnO:Pd NW) was integrated in a nanosensor device for efficient and fast detection of UV light and CH4 gas at room temperature. Crystalline ZnO:Pd nanowire/nanorod arrays were synthesized onto fluorine doped tin oxide (FTO) substrates by electrochemical deposition (ECD) at relative low-temperatures (90 °C) with different concentrations of PdCl2 in electrolyte solution and investigated by SEM and EDX. Nanodevices were fabricated using dual beam focused electron/ion beam (FIB/SEM) system and showed improved UV radiation response compared to pristine ZnO NW, reported previously by our group. The UV response was increased by one order in magnitude (≈ 11) for ZnO:Pd NW. Gas sensing measurements demonstrated a higher gas response and rapidity to methane (CH4 gas, 100 ppm) at room temperature, showing promising results for multifunctional applications. Also, due to miniature size and ultra-low power consumption of these sensors, it is possible to integrate them into portable devices easily, such as smartphones, digital clock, flame detection, missile lunching and other smart devices.

  2. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  3. Adaptable silicon-carbon nanocables sandwiched between reduced graphene oxide sheets as lithium ion battery anodes.

    PubMed

    Wang, Bin; Li, Xianglong; Zhang, Xianfeng; Luo, Bin; Jin, Meihua; Liang, Minghui; Dayeh, Shadi A; Picraux, S T; Zhi, Linjie

    2013-02-26

    Silicon has been touted as one of the most promising anode materials for next generation lithium ion batteries. Yet, how to build energetic silicon-based electrode architectures by addressing the structural and interfacial stability issues facing silicon anodes still remains a big challenge. Here, we develop a novel kind of self-supporting binder-free silicon-based anodes via the encapsulation of silicon nanowires (SiNWs) with dual adaptable apparels (overlapped graphene (G) sheaths and reduced graphene oxide (RGO) overcoats). In the resulted architecture (namely, SiNW@G@RGO), the overlapped graphene sheets, as adaptable but sealed sheaths, prevent the direct exposure of encapsulated silicon to the electrolyte and enable the structural and interfacial stabilization of silicon nanowires. Meanwhile, the flexible and conductive RGO overcoats accommodate the volume change of embedded SiNW@G nanocables and thus maintain the structural and electrical integrity of the SiNW@G@RGO. As a result, the SiNW@G@RGO electrodes exhibit high reversible specific capacity of 1600 mAh g⁻¹ at 2.1 A g⁻¹, 80% capacity retention after 100 cycles, and superior rate capability (500 mAh g⁻¹ at 8.4 A g⁻¹) on the basis of the total electrode weight.

  4. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  5. Silicide Nanowires for Low-Resistance CMOS Transistor Contacts.

    NASA Astrophysics Data System (ADS)

    Zollner, Stefan

    2007-03-01

    Transition metal (TM) silicide nanowires are used as contacts for modern CMOS transistors. (Our smallest wires are ˜20 nm thick and ˜50 nm wide.) While much research on thick TM silicides was conducted long ago, materials perform differently at the nanoscale. For example, the usual phase transformation sequences (e.g., Ni, Ni2Si, NiSi, NiSi2) for the reaction of thick metal films on Si no longer apply to nanostructures, because the surface and interface energies compete with the bulk energy of a given crystal structure. Therefore, a NiSi film will agglomerate into hemispherical droplets of NiSi by annealing before it reaches the lowest-energy (NiSi2) crystalline structure. These dynamics can be tuned by addition of impurities (such as Pt in Ni). The Si surface preparation is also a more important factor for nanowires than for silicidation of thick TM films. Ni nanowires formed on Si surfaces that were cleaned and amorphized by sputtering with Ar ions have a tendency to form NiSi2 pyramids (``spikes'') even at moderate temperatures (˜400^oC), while similar Ni films formed on atomically clean or hydrogen-terminated Si form uniform NiSi nanowires. Another issue affecting TM silicides is the barrier height between the silicide contact and the silicon transistor. For most TM silicides, the Fermi level of the silicide is aligned with the center of the Si band gap. Therefore, silicide contacts experience Schottky barrier heights of around 0.5 eV for both n-type and p-type Si. The resulting contact resistance becomes a significant term for the overall resistance of modern CMOS transistors. Lowering this contact resistance is an important goal in CMOS research. New materials are under investigation (for example PtSi, which has a barrier height of only 0.3 eV to p-type Si). This talk will describe recent results, with special emphasis on characterization techniques and electrical testing useful for the development of silicide nanowires for CMOS contacts. In collaboration

  6. Silicon Nanowire-Based Devices for Gas-Phase Sensing

    PubMed Central

    Cao, Anping; Sudhölter, Ernst J.R.; de Smet, Louis C.P.M.

    2014-01-01

    Since their introduction in 2001, SiNW-based sensor devices have attracted considerable interest as a general platform for ultra-sensitive, electrical detection of biological and chemical species. Most studies focus on detecting, sensing and monitoring analytes in aqueous solution, but the number of studies on sensing gases and vapors using SiNW-based devices is increasing. This review gives an overview of selected research papers related to the application of electrical SiNW-based devices in the gas phase that have been reported over the past 10 years. Special attention is given to surface modification strategies and the sensing principles involved. In addition, future steps and technological challenges in this field are addressed. PMID:24368699

  7. Topological Insulator Bi2Se3/Si-Nanowire-Based p-n Junction Diode for High-Performance Near-Infrared Photodetector.

    PubMed

    Das, Biswajit; Das, Nirmalya S; Sarkar, Samrat; Chatterjee, Biplab K; Chattopadhyay, Kalyan K

    2017-07-12

    Chemically derived topological insulator Bi 2 Se 3 nanoflake/Si nanowire (SiNWs) heterojunctions were fabricated employing all eco-friendly cost-effective chemical route for the first time. X-ray diffraction studies confirmed proper phase formation of Bi 2 Se 3 nanoflakes. The morphological features of the individual components and time-evolved hybrid structures were studied using field emission scanning electron microscope. High resolution transmission electron microscopic studies were performed to investigate the actual nature of junction whereas elemental distributions at junction, along with overall stoichiometry of the samples were analyzed using energy dispersive X-ray studies. Temperature dependent current-voltage characteristics and variation of barrier height and ideality factor was studied between 50 and 300 K. An increase in barrier height and decrease in the ideality factor were observed with increasing temperature for the sample. The rectification ratio (I + /I - ) for SiNWs substrate over pristine Si substrate under dark and near-infrared (NIR) irradiation of 890 nm was found to be 3.63 and 10.44, respectively. Furthermore, opto-electrical characterizations were performed for different light power intensities and highest photo responsivity and detectivity were determined to be 934.1 A/W and 2.30 × 10 13 Jones, respectively. Those values are appreciably higher than previous reports for topological insulator based devices. Thus, this work establishes a hybrid system based on topological insulator Bi 2 Se 3 nanoflake and Si nanowire as the newest efficient candidate for advanced optoelectronic materials.

  8. Electro-triggering and electrochemical monitoring of dopamine exocytosis from a single cell by using ultrathin electrodes based on Au nanowires

    NASA Astrophysics Data System (ADS)

    Kang, Mijeong; Yoo, Seung Min; Gwak, Raekeun; Eom, Gayoung; Kim, Jihwan; Lee, Sang Yup; Kim, Bongsoo

    2015-12-01

    A sophisticated set of an Au nanowire (NW) stimulator-Au NW detector system is developed for electrical cell stimulation and electrochemical analysis of subsequent exocytosis with very high spatial resolution. Dopamine release from a rat pheochromocytoma cell is more stimulated by a more negative voltage pulse. This system could help to improve the therapeutic efficacy of electrotherapies by providing valuable information on their healing mechanism.A sophisticated set of an Au nanowire (NW) stimulator-Au NW detector system is developed for electrical cell stimulation and electrochemical analysis of subsequent exocytosis with very high spatial resolution. Dopamine release from a rat pheochromocytoma cell is more stimulated by a more negative voltage pulse. This system could help to improve the therapeutic efficacy of electrotherapies by providing valuable information on their healing mechanism. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr06021d

  9. Origin of noise in liquid-gated Si nanowire troponin biosensors.

    PubMed

    Kutovyi, Y; Zadorozhnyi, I; Hlukhova, H; Handziuk, V; Petrychuk, M; Ivanchuk, Andriy; Vitusevich, S

    2018-04-27

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  10. Origin of noise in liquid-gated Si nanowire troponin biosensors

    NASA Astrophysics Data System (ADS)

    Kutovyi, Y.; Zadorozhnyi, I.; Hlukhova, H.; Handziuk, V.; Petrychuk, M.; Ivanchuk, Andriy; Vitusevich, S.

    2018-04-01

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  11. Ultrasensitive biomolecular assays with amplifying nanowire FET biosensors

    NASA Astrophysics Data System (ADS)

    Chui, Chi On; Shin, Kyeong-Sik; Mao, Yufei

    2013-09-01

    In this paper, we review our recent development and validation of the ultrasensitive electronic biomolecular assays enabled by our novel amplifying nanowire field-effect transistor (nwFET) biosensors. Our semiconductor nwFET biosensor platform technology performs extreme proximity signal amplification in the electrical domain that requires neither labeling nor enzymes nor optics. We have designed and fabricated the biomolecular assay prototypes and developed the corresponding analytical procedures. We have also confirmed their analytical performance in quantitating key protein biomarker in human serum, demonstrating an ultralow limit of detection and concurrently high output current level for the first time.

  12. A Two-Dimensional Ruddlesden-Popper Perovskite Nanowire Laser Array based on Ultrafast Light-Harvesting Quantum Wells.

    PubMed

    Zhang, Haihua; Wu, Yishi; Liao, Qing; Zhang, Zhaoyi; Liu, Yanping; Gao, Qinggang; Liu, Peng; Li, Meili; Yao, Jiannian; Fu, Hongbing

    2018-06-25

    Miniaturized nanowire nanolasers of 3D perovskites feature a high gain coefficient; however, room-temperature optical gain and nanowire lasers from 2D layered perovskites have not been reported to date. A biomimetic approach is presented to construct an artificial ligh-harvesting system in mixed multiple quantum wells (QWs) of 2D-RPPs of (BA) 2 (FA) n-1 Pb n Br 3n+1 , achieving room-temperature ASE and nanowire (NW) lasing. Owing to the improvement of flexible and deformable characteristics provided by organic BA cation layers, high-density large-area NW laser arrays were fabricated with high photostability. Well-controlled dimensions and uniform geometries enabled 2D-RPPs NWs functioning as high-quality Fabry-Perot (FP) lasers with almost identical optical modes, high quality (Q) factor (ca. 1800), and similarly low lasing thresholds. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Nanowire dopant measurement using secondary ion mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chia, A. C. E.; Boulanger, J. P.; Wood, B. A.

    2015-09-21

    A method is presented to improve the quantitative determination of dopant concentration in semiconductor nanowire (NW) arrays using secondary ion mass spectrometry (SIMS). SIMS measurements were used to determine Be dopant concentrations in a Be-doped GaAs thin film and NW arrays of various pitches that were dry-etched from the same film. A comparison of these measurements revealed a factor of 3 to 12 difference, depending on the NW array pitch, between the secondary Be ion yields of the film and the NW arrays, despite being identically doped. This was due to matrix effects and ion beam mixing of Be frommore » the NWs into the surrounding benzocyclobutene that was used to fill the space between the NWs. This indicates the need for etched NWs to be used as doping standards instead of 2D films when evaluating NWs of unknown doping by SIMS. Using the etched NWs as doping standards, NW arrays of various pitches grown by the vapour-liquid-solid mechanism were characterized by SIMS to yield valuable insights into doping mechanisms.« less

  14. Nanowire Chemical/Biological Sensors: Status and a Roadmap for the Future.

    PubMed

    Fennell, John F; Liu, Sophie F; Azzarelli, Joseph M; Weis, Jonathan G; Rochat, Sébastien; Mirica, Katherine A; Ravnsbæk, Jens B; Swager, Timothy M

    2016-01-22

    Chemiresistive sensors are becoming increasingly important as they offer an inexpensive option to conventional analytical instrumentation, they can be readily integrated into electronic devices, and they have low power requirements. Nanowires (NWs) are a major theme in chemosensor development. High surface area, interwire junctions, and restricted conduction pathways give intrinsically high sensitivity and new mechanisms to transduce the binding or action of analytes. This Review details the status of NW chemosensors with selected examples from the literature. We begin by proposing a principle for understanding electrical transport and transduction mechanisms in NW sensors. Next, we offer the reader a review of device performance parameters. Then, we consider the different NW types followed by a summary of NW assembly and different device platform architectures. Subsequently, we discuss NW functionalization strategies. Finally, we propose future developments in NW sensing to address selectivity, sensor drift, sensitivity, response analysis, and emerging applications. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. EDITORIAL: Nanowires for energy Nanowires for energy

    NASA Astrophysics Data System (ADS)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    This special issue of Nanotechnology focuses on studies illustrating the application of nanowires for energy including solar cells, efficient lighting and water splitting. Over the next three decades, nanotechnology will make significant contributions towards meeting the increased energy needs of the planet, now known as the TeraWatt challenge. Nanowires in particular are poised to contribute significantly in this development as presented in the review by Hiralal et al [1]. Nanowires exhibit light trapping properties that can act as a broadband anti-reflection coating to enhance the efficiency of solar cells. In this issue, Li et al [2] and Wang et al [3] present the optical properties of silicon nanowire and nanocone arrays. In addition to enhanced optical properties, core-shell nanowires also have the potential for efficient charge carrier collection across the nanowire diameter as presented in the contribution by Yu et al [4] for radial junction a-Si solar cells. Hybrid approaches that combine organic and inorganic materials also have potential for high efficiency photovoltaics. A Si-based hybrid solar cell is presented by Zhang et al [5] with a photoconversion efficiency of over 7%. The quintessential example of hybrid solar cells is the dye-sensitized solar cell (DSSC) where an organic absorber (dye) coats an inorganic material (typically a ZnO nanostructure). Herman et al [6] present a method of enhancing the efficiency of a DSSC by increasing the hetero-interfacial area with a unique hierarchical weeping willow ZnO structure. The increased surface area allows for higher dye loading, light harvesting, and reduced charge recombination through direct conduction along the ZnO branches. Another unique ZnO growth method is presented by Calestani et al [7] using a solution-free and catalyst-free approach by pulsed electron deposition (PED). Nanowires can also make more efficient use of electrical power. Light emitting diodes, for example, will eventually become the

  16. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires

    PubMed Central

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V.; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-01

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices. PMID:26751282

  17. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires.

    PubMed

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.

  18. Spatial potential ripples of azimuthal surface modes in topological insulator Bi 2Te 3 nanowires

    DOE PAGES

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V.; ...

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi 2Te 3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density ofmore » states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Finally, our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.« less

  19. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  20. Magnetization reversal and coercivity of Fe3Se4 nanowire arrays

    NASA Astrophysics Data System (ADS)

    Li, D.; Li, S. J.; Zhou, Y. T.; Bai, Y.; Zhu, Y. L.; Ren, W. J.; Long, G.; Zeng, H.; Zhang, Z. D.

    2015-05-01

    The microstructure and magnetic properties of Fe3Se4 nanowire (NW) arrays in anodic aluminum oxide (AAO) porous membrane are studied. Cross-sectional SEM and plane-view TEM images show that the mean wire diameter (dw) and the center-to-center spacing (D) of Fe3Se4 nanowires are about 220 nm and 330 nm, respectively. The field-cooled magnetization dependent on the temperature indicates a Curie temperature around 334 K for the Fe3Se4 nanowires. The coercivities of Fe3Se4 nanowires at 10 K, obtained from the in-plane and out-of-plane hysteresis loops, are as high as 22.4 kOe and 23.3 kOe, which can be understood from the magnetocrystalline anisotropy and the magnetization reversal process.