Sample records for nanowires catalytic growth

  1. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  2. Self-catalytic branch growth of SnO 2 nanowire junctions

    NASA Astrophysics Data System (ADS)

    Chen, Y. X.; Campbell, L. J.; Zhou, W. L.

    2004-10-01

    Multiple branched SnO2 nanowire junctions have been synthesized by thermal evaporation of SnO powder. Their nanostructures were studied by transmission electron microscopy and field emission scanning electron microcopy. It was observed that Sn nanoparticles generated from decomposition of the SnO powder acted as self-catalysts to control the SnO2 nanojunction growth. Orthorhombic SnO2 was found as a dominate phase in nanojunction growth instead of rutile structure. The branches and stems of nanojunctions were found to be an epitaxial growth by electron diffraction analysis and high-resolution electron microscopy observation. The growth directions of the branched SnO2 nanojunctions were along the orthorhombic [1 1 0] and [ 1 1 bar 0 ] . A self-catalytic vapor-liquid-solid growth mechanism is proposed to describe the growth process of the branched SnO2 nanowire junctions.

  3. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, R. Rakesh, E-mail: rakesh.rajaboina@gmail.com; Department of Physics, Indian Institute of Science Education and Research, Bhopal 462066; Rao, K. Narasimha

    2014-04-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400more » °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices.« less

  4. Synthesis of nickel entities: From highly stable zerovalent nanoclusters to nanowires. Growth control and catalytic behavior.

    PubMed

    Peinetti, Ana S; Mizrahi, Martín; Requejo, Félix G; Buceta, David; López-Quintela, M Arturo; González, Graciela A; Battaglini, Fernando

    2018-04-15

    Non-noble metal nanoclusters synthesis is receiving increased attention due to their unique catalytic properties and lower cost. Herein, the synthesis of ligand-free Ni nanoclusters with an average diameter of 0.7 nm corresponding to a structure of 13 atoms is presented; they exhibit a zero-valence state and a high stability toward oxidation and thermal treatment. The nanoclusters formation method consists in the electroreduction of nickel ions inside an ordered mesoporous alumina; also, by increasing the current density, other structures can be obtained reaching to nanowires of 10 nm diameter. A seed-mediated mechanism is proposed to explain the growth to nanowires inside these mesoporous cavities. The size dependence on the catalytic behavior of these entities is illustrated by studying the reduction of methylene blue where the nanoclusters show an outstanding performance. Copyright © 2018 Elsevier Inc. All rights reserved.

  5. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    NASA Astrophysics Data System (ADS)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  6. Growth Mechanism Studies of ZnO Nanowires: Experimental Observations and Short-Circuit Diffusion Analysis.

    PubMed

    Shih, Po-Hsun; Wu, Sheng Yun

    2017-07-21

    Plenty of studies have been performed to probe the diverse properties of ZnO nanowires, but only a few have focused on the physical properties of a single nanowire since analyzing the growth mechanism along a single nanowire is difficult. In this study, a single ZnO nanowire was synthesized using a Ti-assisted chemical vapor deposition (CVD) method to avoid the appearance of catalytic contamination. Two-dimensional energy dispersive spectroscopy (EDS) mapping with a diffusion model was used to obtain the diffusion length and the activation energy ratio. The ratio value is close to 0.3, revealing that the growth of ZnO nanowires was attributed to the short-circuit diffusion.

  7. Growth Mechanism Studies of ZnO Nanowires: Experimental Observations and Short-Circuit Diffusion Analysis

    PubMed Central

    Shih, Po-Hsun

    2017-01-01

    Plenty of studies have been performed to probe the diverse properties of ZnO nanowires, but only a few have focused on the physical properties of a single nanowire since analyzing the growth mechanism along a single nanowire is difficult. In this study, a single ZnO nanowire was synthesized using a Ti-assisted chemical vapor deposition (CVD) method to avoid the appearance of catalytic contamination. Two-dimensional energy dispersive spectroscopy (EDS) mapping with a diffusion model was used to obtain the diffusion length and the activation energy ratio. The ratio value is close to 0.3, revealing that the growth of ZnO nanowires was attributed to the short-circuit diffusion. PMID:28754030

  8. Uninterrupted and reusable source for the controlled growth of nanowires

    PubMed Central

    Sugavaneshwar, R. P.; Nanda, Karuna Kar

    2013-01-01

    Generally, the length of the oxide nanowires grown by vapor phase transport is limited by the degradation of the source materials. Furthermore, the source material is used once for the nanowires growth. By exploiting the Si-Zn phase diagram, we have developed a simple methodology for the non-catalytic growth of ultralong ZnO nanowires in large area with controllable aspect ratio and branched structures. The insolubility of Zn in Si and the use of a Si cap on the Zn source to prevent local source oxidation of Zn (i. e. prevents the degradation of the source) are the keys to grow longer nanowires without limitations. It has been shown that the aspect ratio can be controlled by thermodynamically (temperature) and more importantly by kinetically (vapor flux). One of the interesting findings is that the same source material can be used for several depositions of oxide nanostructured materials. PMID:23412010

  9. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  10. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  11. Growth Evolution and Characterization of PLD Zn(Mg)O Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Rahm, Andreas; Nobis, Thomas; Lorenz, Michael; Zimmermann, Gregor; Boukos, Nikos; Travlos, Anastasios; Grundmann, Marius

    ZnO and Zn0.98Mg0.02O nanowires have been grown by high-pressure pulsed laser deposition on sapphire substrates covered with gold colloidal particles as nucleation sites. We present a detailed study of the nanowire size and length distribution and of the growth evolution. We find that the aspect ratio varies linearly with deposition time. The linearity coefficient is independent of the catalytic gold particle size and lateral nanowire density. The superior structural quality of the whiskers is proven by X-ray diffraction and transmission electron microscopy. The defect-free ZnO nanowires exhibit a FWHM(2θ-ω) of the ZnO(0002) reflection of 22 arcsec. We show (0-11) step habit planes on the side faces of the nanowires that are a few atomic steps in height. The microscopic homogeneity of the optical properties is confirmed by temperature-dependent cathodoluminescence.

  12. Room-temperature solution synthesis of Ag nanoparticle functionalized molybdenum oxide nanowires and their catalytic applications.

    PubMed

    Dong, Wenjun; Huang, Huandi; Zhu, Yanjun; Li, Xiaoyun; Wang, Xuebin; Li, Chaorong; Chen, Benyong; Wang, Ge; Shi, Zhan

    2012-10-26

    A simple chemical solution route for the synthesis of large-scale high-quality Ag nanoparticle functionalized molybdenum oxide nanowire at room temperature has been developed. In the synthesis, the protonated amine was intercalated into the molybdenum bronze layers to reduce the electrostatic force of the lamellar structures, and then the Ag nanoparticle functionalized long nanowires could be easily induced by a redox reaction between a molybdenum oxide-amine intermediate and Ag(+) at room temperature. The intercalation lamellar structures improved the nucleation and growth of the Ag nanoparticles, with the result that uniform Ag nanoparticles occurred on the surface of the MoO(3) nanowire. In this way Ag nanoparticles with average sizes of around 6 nm, and high-purity nanowires with mean diameter of around 50 nm and with typical lengths of several tens to hundreds of micrometers were produced. The heteronanostructured nanowires were intricately and inseparably connected to each other with hydrogen bonds and/or bridge oxygen atoms and packed together, forming a paper-like porous network film. The Ag-MoO(3) nanowire film performs a promoted catalytic property for the epoxidation of cis-cyclooctene, and the heteronanostructured nanowire film sensor shows excellent sensing performance to hydrogen and oxygen at room temperature.

  13. Atomic Resolution in Situ Imaging of a Double-Bilayer Multistep Growth Mode in Gallium Nitride Nanowires

    DOE PAGES

    Gamalski, A. D.; Tersoff, J.; Stach, E. A.

    2016-04-13

    We study the growth of GaN nanowires from liquid Au–Ga catalysts using environmental transmission electron microscopy. GaN wires grow in either (11¯20) or (11¯00) directions, by the addition of {11¯00} double bilayers via step flow with multiple steps. Step-train growth is not typically seen with liquid catalysts, and we suggest that it results from low step mobility related to the unusual double-height step structure. Finally, the results here illustrate the surprising dynamics of catalytic GaN wire growth at the nanoscale and highlight striking differences between the growth of GaN and other III–V semiconductor nanowires.

  14. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  15. Analysis of the vapor-liquid-solid mechanism for nanowire growth and a model for this mechanism.

    PubMed

    Mohammad, S Noor

    2008-05-01

    The vapor-liquid-solid (VLS) mechanism is most widely employed to grow nanowires (NWs). The mechanism uses foreign element catalytic agent (FECA) to mediate the growth. Because of this, it is believed to be very stable with the FECA-mediated droplets not consumed even when reaction conditions change. Recent experiments however differ, which suggest that even under cleanest growth conditions, VLS mechanism may not produce long, thin, uniform, single-crystal nanowires of high purity. The present investigation has addressed various issues involving fundamentals of VLS growth. While addressing these issues, it has taken into consideration the influence of the electrical, hydrodynamic, thermodynamic, and surface tension effects on NW growth. It has found that parameters such as mesoscopic effects on nanoparticle seeds, charge distribution in FECA-induced droplets, electronegativity of the droplet with respect to those of reactive nanowire vapor species, growth temperature, and chamber pressure play important role in the VLS growth. On the basis of an in-depth analysis of various issues, a simple, novel, malleable (SNM) model has been presented for the VLS mechanism. The model appears to explain the formation and observed characteristics of a wide variety of nanowires, including elemental and compound semiconductor nanowires. Also it provides an understanding of the influence of the dynamic behavior of the droplets on the NW growth. This study finds that increase in diameter with time of the droplet of tapered nanowires results primarily from gradual incorporation of oversupplied nanowire species into the FECA-mediated droplet, which is supported by experiments. It finds also that optimum compositions of the droplet constituents are crucial for VLS nanowire growth. An approximate model presented to exemplify the parametric dependency of VLS growth provides good description of NW growth rate as a function of temperature.

  16. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    PubMed

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  17. Bending nanowire growth in solution by mechanical disturbance.

    PubMed

    Wang, Chao; Wei, Yujie; Jiang, Hongyuan; Sun, Shouheng

    2010-06-09

    The effect of mechanical disturbance on one-dimensional nanocrystal growth in solution phase is investigated by controlled growth of Au nanowires with and without stirring. While a static growth leads to straight, single-crystal Au nanowires, the mechanic disturbance by stirring tends to bend the nanowire growth, yielding nanowire kinks abundant in various types of crystal defects including dislocations, twin boundaries, and grain boundaries. Mechanical modeling and analysis is introduced to elucidate the nanowire growth mechanisms in these two conditions. The provided fundamental understanding of crystal defect formation at nanoscale could be applied to guide the development of advanced nanomaterials with shape control and unique mechanical properties.

  18. Nanowire growth from the viewpoint of the thin film polylayer growth theory

    NASA Astrophysics Data System (ADS)

    Kashchiev, Dimo

    2018-03-01

    The theory of polylayer growth of thin solid films is employed for description of the growth kinetics of single-crystal nanowires. Expressions are derived for the dependences of the height h and radius r of a given nanowire on time t, as well as for the h(r) dependence. These dependences are applicable immediately after the nanowire nucleation on the substrate and thus include the period during which the nucleated nanowire changes its shape from that of cap to that of column. The analysis shows that the nanowire cap-to-column shape transition is continuous and makes it possible to kinetically define the nanowire shape-transition radius by means of the nanowire radial and axial growth rates. The obtained h(t), r(t) and h(r) dependences are found to provide a good description of available experimental data for growth of self-nucleated GaN nanowires by the vapor-solid mechanism.

  19. Au-Assisted Substrate-Faceting for Inclined Nanowire Growth.

    PubMed

    Kang, Jung-Hyun; Krizek, Filip; Zaluska-Kotur, Magdalena; Krogstrup, Peter; Kacman, Perla; Beidenkopf, Haim; Shtrikman, Hadas

    2018-06-12

    We study the role of gold droplets in the initial stage of nanowire growth via the vapor-liquid-solid method. Apart from serving as a collections center for growth species, the gold droplets carry an additional crucial role that necessarily precedes the nanowire emergence, that is, they assist the nucleation of nanocraters with strongly faceted {111}B side walls. Only once these facets become sufficiently large and regular, the gold droplets start nucleating and guiding the growth of nanowires. We show that this dual role of the gold droplets can be detected and monitored by high-energy electron diffraction during growth. Moreover, gold-induced formation of craters and the onset of nanowires growth on the {111}B facets inside the craters are confirmed by the results of Monte Carlo simulations. The detailed insight into the growth mechanism of inclined nanowires will help to engineer new and complex nanowire-based device architectures.

  20. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    PubMed

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  1. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainey, Mel F.; Redwing, Joan M.

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis onmore » methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.« less

  2. Bimetallic-catalyst-mediated syntheses of nanomaterials (nanowires, nanotubes, nanofibers, nanodots, etc) by the VQS (vapor-quasiliquid-solid, vapor- quasisolid-solid) growth mechanism

    NASA Astrophysics Data System (ADS)

    Mohammad, S. N.

    2016-12-01

    The enhanced synergistic, catalytic effect of bimetallic nanoparticles (BNPs), as compared to monometallic nanoparticles (NPs), on the nanomaterials (nanowires, nanotubes, nanodots, nanofibers, etc) synthesed by chemical vapor deposition has been investigated. A theoretical model for this catalytic effect and hence for nanomaterial growth, has been developed. The key element of the model is the diffusion of the nanomaterial source species through the nanopores of quasiliquid (quasisolid) BNP, rather than through the liquid or solid BNP, for nanomaterial growth. The role of growth parameters such as temperature, pressure and of the BNP material characteristics such as element mole fraction of BNP, has been studied. The cause of enhanced catalytic activity of BNPs as compared to NPs as a function of temperature has been explored. The dependence of growth rate on the nanomaterial diameter has also been examined. The calculated results have been extensively compared with available experiments. Experimental supports for the growth mechanism have been presented as well. Close correspondence between the calculated and experimental results attests to the validity of the proposed model. The wide applicability of the proposed model to nanowires, nanotubes, nanofibers, nanodots, etc suggests that it is general and has broad appeal.

  3. Nanowire growth and sublimation: CdTe quantum dots in ZnTe nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, M.; Robin, E.; Den Hertog, M.; Moratis, K.; Genuist, Y.; André, R.; Ferrand, D.; Cibert, J.; Bellet-Amalric, E.

    2018-04-01

    The role of the sublimation of the compound and of the evaporation of the constituents from the gold nanoparticle during the growth of semiconductor nanowires is exemplified with CdTe-ZnTe heterostructures. Operating close to the upper temperature limit strongly reduces the amount of Cd present in the gold nanoparticle and the density of adatoms on the nanowire sidewalls. As a result, the growth rate is small and strongly temperature dependent, but a good control of the growth conditions allows the incorporation of quantum dots in nanowires with sharp interfaces and adjustable shape, and it minimizes the radial growth and the subsequent formation of additional CdTe clusters on the nanowire sidewalls, as confirmed by photoluminescence. Uncapped CdTe segments dissolve into the gold nanoparticle when interrupting the flux, giving rise to a bulblike (pendant-droplet) shape attributed to the Kirkendall effect.

  4. Guided Growth of Horizontal p-Type ZnTe Nanowires

    PubMed Central

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  5. Guided Growth of Horizontal p-Type ZnTe Nanowires.

    PubMed

    Reut, Gilad; Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2016-08-04

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor-liquid-solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means.

  6. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  7. Catalyst–substrate interaction and growth delay in vapor–liquid–solid nanowire growth

    NASA Astrophysics Data System (ADS)

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-01

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  8. Catalyst-substrate interaction and growth delay in vapor-liquid-solid nanowire growth.

    PubMed

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-18

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  9. Why self-catalyzed nanowires are most suitable for large-scale hierarchical integrated designs of nanowire nanoelectronics

    NASA Astrophysics Data System (ADS)

    Noor Mohammad, S.

    2011-10-01

    Nanowires are grown by a variety of mechanisms, including vapor-liquid-solid, vapor-quasiliquid-solid or vapor-quasisolid-solid, oxide-assisted growth, and self-catalytic growth (SCG) mechanisms. A critical analysis of the suitability of self-catalyzed nanowires, as compared to other nanowires, for next-generation technology development has been carried out. Basic causes of superiority of self-catalyzed (SCG) nanowires over other nanowires have been described. Polytypism in nanowires has been studied, and a model for polytypism has been proposed. The model predicts polytypism in good agreement with available experiments. This model, together with various evidences, demonstrates lower defects, dislocations, and stacking faults in SCG nanowires, as compared to those in other nanowires. Calculations of carrier mobility due to dislocation scattering, ionized impurity scattering, and acoustic phonon scattering explain the impact of defects, dislocations, and stacking faults on carrier transports in SCG and other nanowires. Analyses of growth mechanisms for nanowire growth directions indicate SCG nanowires to exhibit the most controlled growth directions. In-depth investigation uncovers the fundamental physics underlying the control of growth direction by the SCG mechanism. Self-organization of nanowires in large hierarchical arrays is crucial for ultra large-scale integration (ULSI). Unique features and advantages of self-organized SCG nanowires, unlike other nanowires, for this ULSI have been discussed. Investigations of nanowire dimension indicate self-catalyzed nanowires to have better control of dimension, higher stability, and higher probability, even for thinner structures. Theoretical calculations show that self-catalyzed nanowires, unlike catalyst-mediated nanowires, can have higher growth rate and lower growth temperature. Nanowire and nanotube characteristics have been found also to dictate the performance of nanoelectromechanical systems. Defects, such as

  10. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  11. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  12. Growth of metal oxide nanowires from supercooled liquid nanodroplets.

    PubMed

    Kim, Myung Hwa; Lee, Byeongdu; Lee, Sungsik; Larson, Christopher; Baik, Jeong Min; Yavuz, Cafer T; Seifert, Sönke; Vajda, Stefan; Winans, Randall E; Moskovits, Martin; Stucky, Galen D; Wodtke, Alec M

    2009-12-01

    Nanometer-sized liquid droplets formed at temperatures below the bulk melting point become supercooled as they grow through Ostwald ripening or coalescence and can be exploited to grow nanowires without any catalyst. We used this simple approach to synthesize a number of highly crystalline metal oxide nanowires in a chemical or physical vapor deposition apparatus. Examples of nanowires made in this way include VO(2), V(2)O(5), RuO(2), MoO(2), MoO(3), and Fe(3)O(4), some of which have not been previously reported. Direct evidence of this new mechanism of nanowire growth is found from in situ 2-dimensional GISAXS (grazing incidence small angle X-ray scattering) measurements of VO(2) nanowire growth, which provides quantitative information on the shapes and sizes of growing nanowires as well as direct evidence of the presence of supercooled liquid droplets. We observe dramatic changes in nanowire growth by varying the choice of substrate, reflecting the influence of wetting forces on the supercooled nanodroplet shape and mobility as well as substrate-nanowire lattice matching on the definition of nanowire orientation. Surfaces with defects can also be used to pattern the growth of the nanowires. The simplicity of this synthesis concept suggests it may be rather general in its application.

  13. Catalytic growth and structural characterization of semiconducting beta-Ga2O3 nanowires.

    PubMed

    Choi, Kyo-Hong; Cho, Kwon-Koo; Kim, Ki-Won; Cho, Gyu-Bong; Ahn, Hyo-Jun; Nam, Tae-Hyun

    2009-06-01

    We have successfully synthesized beta-Ga2O3 nanomaterials with various morphologies, such as wire, rod, belt and sheet-like, through simple thermal evaporation of metal gallium powder in the presence of nickel oxide catalyst. beta-Ga2O3 nanomaterials with different morphology were observed as a function of synthesis time and temperature. In this report, generation sites of the beta-Ga2O3 nanomaterials have been delicately surveyed by FESEM. The growth mechanisms of nanomaterials are distinguished by the view of its generation site. The growth of nanowire follows both VLS and VS mechanism and other kinds of materials such as nanorod, nanobelt and nanosheet follows VS mechanism.

  14. MOCVD growth and characterization of gallium nitride and gallium antimonide nanowires

    NASA Astrophysics Data System (ADS)

    Burke, Robert Alan

    Group-III nitride and group-III antimonide thin films have been used for years in optoelectronic, high-speed applications, and high power/high temperature applications such as light emitting diodes (LEDs), microwave power devices, and thermovoltaics. In recent years, nanowires have gained interest due to the ability to take advantage of their geometry for increased light absorption and the synthesis of radial heterostructures. Several growth techniques have been explored for the growth of GaN and GaSb nanowires. Metal-organic chemical vapor deposition (MOCVD) is of particular interest due to its use in the commercial growth and fabrication of GaN-based and GaSb-based devices. The first part of this thesis focused on addressing several key issues related to the growth of GaN nanowires by MOCVD. Preliminary studies investigated the effect of growth conditions on GaN nanowire formation in a hot wall MOCVD reactor. A computational fluid dynamics-based model was developed to predict the gas phase velocity, temperature and concentration profiles in the reactor. The results demonstrate a strong dependence of GaN nanowire growth on substrate position within the reactor which is due to the rapid reaction and depletion of precursors near the gas inlet of the reactor. Ni-catalyzed GaN nanowire growth was observed to occur over the temperature range of 800-900°C, which is significantly lower than typical GaN thin film temperatures. The nanowires, however, exhibited a tapered diameter due to thin film deposition which occurred simultaneously with nanowire growth. Based on the low growth temperatures, TEM characterization was carried out to investigate the nature of the catalyst. Through these studies, the catalyst was found to consist of Ni3Ga, indicating the presence of a vapor-solid-solid growth mechanism. In an attempt to improve the nanowire growth selectivity, GeCl4 was added during growth resulting in a drastic increase in nanowire density and a reduction in the tapering

  15. Gibbs-Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth.

    PubMed

    Shen, Youde; Chen, Renjie; Yu, Xuechao; Wang, Qijie; Jungjohann, Katherine L; Dayeh, Shadi A; Wu, Tom

    2016-07-13

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices.

  16. The growth of ultralong and highly blue luminescent gallium oxide nanowires and nanobelts, and direct horizontal nanowire growth on substrates.

    PubMed

    Kuo, Chi-Liang; Huang, Michael H

    2008-04-16

    We report the growth of ultralong β-Ga(2)O(3) nanowires and nanobelts on silicon substrates using a vapor phase transport method. The growth was carried out in a tube furnace, with gallium metal serving as the gallium source. The nanowires and nanobelts can grow to lengths of hundreds of nanometers and even millimeters. Their full lengths have been captured by both scanning electron microscope (SEM) and optical images. X-ray diffraction (XRD) patterns and transmission electron microscope (TEM) images have been used to study the crystal structures of these nanowires and nanobelts. Strong blue emission from these ultralong nanostructures can be readily observed by irradiation with an ultraviolet (UV) lamp. Diffuse reflectance spectroscopy measurements gave a band gap of 4.56 eV for these nanostructures. The blue emission shows a band maximum at 470 nm. Interestingly, by annealing the silicon substrates in an oxygen atmosphere to form a thick SiO(2) film, and growing Ga(2)O(3) nanowires over the sputtered gold patterned regions, horizontal Ga(2)O(3) nanowire growth in the non-gold-coated regions can be observed. These horizontal nanowires can grow to as long as over 10 µm in length. Their composition has been confirmed by TEM characterization. This represents one of the first examples of direct horizontal growth of oxide nanowires on substrates.

  17. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  18. Understanding Self-Catalyzed Epitaxial Growth of III-V Nanowires toward Controlled Synthesis.

    PubMed

    Zi, Yunlong; Suslov, Sergey; Yang, Chen

    2017-02-08

    The self-catalyzed growth of III-V nanowires has drawn plenty of attention due to the potential of integration in current Si-based technologies. The homoparticle-assisted vapor-liquid-solid growth mechanism has been demonstrated for self-catalyzed III-V nanowire growth. However, the understandings of the preferred growth sites of these nanowires are still limited, which obstructs the controlled synthesis and the applications of self-catalyzed nanowire arrays. Here, we experimentally demonstrated that thermally created pits could serve as the preferred sites for self-catalyzed InAs nanowire growth. On that basis, we performed a pregrowth annealing strategy to promote the nanowire density by enhancing the pits formation on the substrate surface and enable the nanowire growth on the substrate that was not capable to facilitate the growth. The discovery of the preferred self-catalyzed nanowire growth sites and the pregrowth annealing strategy have shown great potentials for controlled self-catalyzed III-V nanowire array growth with preferred locations and density.

  19. Growth Mechanism of Nanowires: Ternary Chalcogenides

    NASA Technical Reports Server (NTRS)

    Singh, N. B.; Coriell, S. R.; Hopkins, R. H.; Su, Ching Hua; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    In the past two decades there has been a large rise in the investment and expectations for nanotechnology use. Almost every area of research has projected improvements in sensors, or even a promise for the emergence of some novel device technologies. For these applications major focuses of research are in the areas of nanoparticles and graphene. Although there are some near term applications with nanowires in photodetectors and other low light detectors, there are few papers on the growth mechanism and fabrication of nanowire-based devices. Semiconductor nanowires exhibit very favorable and promising optical properties, including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here an overview of the mechanism of nanowire growth from the melt, and some preliminary results for the thallium arsenic selenide material system. Thallium arsenic selenide (TAS) is a multifunctional material combining excellent acousto-optical, nonlinear and radiation detection properties. We observed that small units of (TAS) nanocubes arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. In some cases very long wires (less than mm) are formed. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places.

  20. Controlled synthesis of α-MnO{sub 2} nanowires and their catalytic performance for toluene combustion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Gao; Yu, Lin, E-mail: gych@gdut.edu.cn; Lan, Bang

    Highlights: • One-dimensional α-MnO{sub 2} nanowires were prepared by a facile hydrothermal route. • Shape and crystal phase of the products were controlled by tuning reaction conditions. • A possible formation mechanism of the α-MnO{sub 2} nanowires was discussed. • The α-MnO{sub 2} nanowires showed great catalytic activity for toluene combustion. - Abstract: α-MnO{sub 2} nanowires with a length about 6–10 μm and an average diameter of 20 nm were synthesized through a facile hydrothermal process without any templates or surfactants. The products were characterized by X-ray powder diffraction, Raman spectroscopy, field emission scanning electron microscopy, transmission electron microscopy, hydrogenmore » temperature-programmed reduction techniques, X-ray photoelectron spectroscopy and surface area analysis. The effects of the hydrothermal temperature and the concentration of CH{sub 3}COOH on the crystal phase and morphology of the final products were studied in detail. The hydrothermal temperature and the concentration of CH{sub 3}COOH play crucial roles in determining the crystal phase and morphology of the products. The possible formation mechanism of the α-MnO{sub 2} nanowires was investigated and discussed. Additionally, the as-prepared α-MnO{sub 2} nanowires showed higher catalytic activity for toluene combustion than the commercial MnO{sub 2}, suggesting their potential applications in the elimination of volatile organic compounds.« less

  1. Facile synthesis of palladium nanoparticle doped polyaniline nanowires in soft templates for catalytic applications

    NASA Astrophysics Data System (ADS)

    Kshirasagar, Krushna J.; Markad, Uddhav S.; Saha, Abhijit; Sharma, Kiran Kumar K.; Sharma, Geeta K.

    2017-02-01

    Palladium nanoparticles doped polyaniline (Pd-PANI) nanocomposite (NCs) is synthesized in surfactant based liquid crystalline mesophase by chemical oxidation followed by radiolysis. The confinement of the liquid crystalline mesophase facilitates polymerization of aniline monomers and their 1D growth into polyaniline (PANI) nanowires by using ammonium persulfate. The PANI nanowires have an average diameter of 30-40 nm. The in situ radiolytic reduction of palladium ions ensures uniform size distribution of the palladium (Pd) nanoparticles on the surface of the PANI nanowires. The synthesized Pd-PANI nanocomposites show wire like structures of PANI (diameter ~30-40 nm) on which Pd nanoparticles of the size 10 nm are decorated. The identical average diameter of the PANI nanowires before and post gamma irradiation suggest high stability of the PANI nanowires in liquid crystalline mesophase. Surface characterization of the NCs were carried out using BET and XPS. The catalytic activity of Pd-PANI NCs are investigated in the reduction of methylene blue (MB) and 4-nitro phenol (4-NP) by sodium borohydride (NaBH4). The kinetics of the Pd-PANI NCs catalysed reactions are analysed using the Langmuir-Hinshelwood model. The apparent rate constant (k app) for the MB and 4-NP reduction reactions is 29  ×  10-3 s-1 and 20  ×  10-3 s-1 respectively with an actual Pd catalyst loading of 2.665  ×  10-4 ppm. Further, the recyclability of the Pd-PANI NCs catalyst in both the reduction reactions shows the stability of the catalyst up to four reaction cycles tested in this investigation and the multifunctional nature of the catalyst. The study provides a new approach for the directional synthesis of conducting polymer-metal nanocomposites and their possible application as a nanocatalyst in environmental remediation.

  2. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    DOE PAGES

    Shen, Youde; Chen, Renjie; Yu, Xuechao; ...

    2016-06-02

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor–liquid–solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. In this paper, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs–Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed tomore » impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs–Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. Finally, these results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices.« less

  3. Substrate-mediated diffusion-induced growth of single-crystal nanowires.

    PubMed

    Mohammad, S Noor

    2009-11-28

    Theoretical investigations of the growth and growth rates of single-crystal nanowires (NWs) by vapor phase mechanisms have been carried out. Substrate-induced processes are assumed to dominate this growth. The modeling for growth takes adsorption, desorption, surface scattering, and diffusion into account. It takes into consideration also the retarding electric field arising from the scattering of the NW vapor species by both the substrate and the NW sidewalls. Growth characteristics under the influence of the retarding electric field have been studied. Competitive roles of adatom diffusivity and the electric field in the NW growth are elucidated. Influence of the growing NW length and the adatom impingement rate on the NW growth rate has been described. The effect of adatom collection area around each NW has been examined. The NW tapering and kinking have been explained. The fundamentals of the substrate induction and details of the growth parameters have been analyzed. The influence of foreign element catalytic agents in the vapor-liquid-solid mechanism has been presented. All these have led to the understanding and resolution of problems, controversies, and contradictions involving substrate-induced NW growths.

  4. Surface diffusion effects on growth of nanowires by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Persson, A. I.; Fröberg, L. E.; Jeppesen, S.; Björk, M. T.; Samuelson, L.

    2007-02-01

    Surface processes play a large role in the growth of semiconductor nanowires by chemical beam epitaxy. In particular, for III-V nanowires the surface diffusion of group-III species is important to understand in order to control the nanowire growth. In this paper, we have grown InAs-based nanowires positioned by electron beam lithography and have investigated the dependence of the diffusion of In species on temperature, group-III and -V source pressure and group-V source combinations by measuring nanowire growth rate for different nanowire spacings. We present a model which relates the nanowire growth rate to the migration length of In species. The model is fitted to the experimental data for different growth conditions, using the migration length as fitting parameter. The results show that the migration length increases with decreasing temperature and increasing group-V/group-III source pressure ratio. This will most often lead to an increase in growth rate, but deviations will occur due to incomplete decomposition and changes in sticking coefficient for group-III species. The results also show that the introduction of phosphorous precursor for growth of InAs1-xPx nanowires decreases the migration length of the In species followed by a decrease in nanowire growth rate.

  5. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  6. Growth Mechanism of Nanowires: Binary and Ternary Chalcogenides

    NASA Technical Reports Server (NTRS)

    Singh, N. B.; Coriell, S. R.; Su, Ching-Hua; Hopkins, R. H.; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    Semiconductor nanowires exhibit very exciting optical and electrical properties including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here the mechanism of nanowire growth from the melt-liquid-vapor medium. We describe preliminary results of binary and ternary selenide materials in light of recent theories. Experiments were performed with lead selenide and thallium arsenic selenide systems which are multifunctional material and have been used for detectors, acousto-optical, nonlinear and radiation detection applications. We observed that small units of nanocubes and elongated nanoparticles arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places. Growth of lead selenide nanowires was performed by physical vapor transport method and thallium arsenic selenide nanowire by vapor-liquid-solid (VLS) method. In some cases very long wires (>mm) are formed. To achieve this goal experiments were performed to create situation where nanowires grew on the surface of solid thallium arsenic selenide itself.

  7. Different growth regimes in InP nanowire growth mediated by Ag nanoparticles.

    PubMed

    Oliveira, D S; Zavarize, M; Tizei, L H G; Walls, M; Ospina, C A; Iikawa, F; Ugarte, D; Cotta, M A

    2017-12-15

    We report on the existence of two different regimes in one-step Ag-seeded InP nanowire growth. The vapor-liquid-solid-mechanism is present at larger In precursor flows and temperatures, ∼500 °C, yielding high aspect ratio and pure wurtzite InP nanowires with a semi-spherical metal particle at the thin apex. Periodic diameter oscillations can be achieved under extreme In supersaturations at this temperature range, showing the presence of a liquid catalyst. However, under lower temperatures and In precursor flows, large diameter InP nanowires with mixed wurtzite/zincblende segments are obtained, similarly to In-assisted growth. Chemical composition analysis suggest that In-rich droplet formation is catalyzed at the substrate surface via Ag nanoparticles; this process might be facilitated by the sulfur contamination detected in these nanoparticles. Furthermore, part of the original Ag nanoparticle remains solid and is embedded inside the actual catalyst, providing an in situ method to switch growth mechanisms upon changing In precursor flow. Nevertheless, our Ag-seeded InP nanowires exhibit overall optical emission spectra consistent with the observed structural properties and similar to Au-catalyzed InP nanowires. We thus show that Ag nanoparticles may be a suitable replacement for Au in InP nanowire growth.

  8. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  9. Nonlinear electronic transport and enhanced catalytic behavior caused by native oxides on Cu nanowires

    NASA Astrophysics Data System (ADS)

    Hajimammadov, Rashad; Csendes, Zita; Ojakoski, Juha-Matti; Lorite, Gabriela Simone; Mohl, Melinda; Kordas, Krisztian

    2017-09-01

    Electrical transport properties of individual nanowires (both in axial and transversal directions) and their random networks suggest rapid oxidation when Cu is exposed to ambient conditions. The oxidation process is elucidated by thorough XRD, XPS and Raman analyzes conducted for a period of 30 days. Based on the obtained experimental data, we may conclude that first, cuprous oxide and copper hydroxide form that finally transform to cupric oxide. In electrical applications, oxidation of copper is not a true problem as long as thin films or bulk metal is concerned. However, as highlighted in our work, this is not the case for nanowires, since the oxidized surface plays quite important role in the contact formation and also in the conduction of percolated nanowire networks. On the other hand, by taking advantage of the mixed surface oxide states present on the nanowires along with their large specific surface area, we tested and found excellent catalytic activity of the oxidized nanowires in phenol oxidation, which suggests further applications of these materials in catalysis.

  10. Dry-growth of silver single-crystal nanowires from porous Ag structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Chuantong, E-mail: chenchuantong@sanken.osaka-u.ac.jp; Nagao, Shijo; Jiu, Jinting

    A fabrication method of single crystal Ag nanowires in large scale is introduced without any chemical synthesis in wet processes, which usually generates fivefold twinned nanowires of fcc metals. Dense single-crystal nanowires grow on a mechanically polished surface of micro-porous Ag structure, which is created from Ag micro-particles. The diameter and the length of the nanowires can be controlled simply by changing the temperature and the time of the heating during the nanowire growth in air. Unique growth mechanism is described in detail, based on stress-induced migration accelerated by the micro-porous structure where the origin of Ag nanowires growth ismore » incubated. Transmission electron microscopy analysis on the single crystal nanowires is also presented. This simple method offered an alternative preparation for metallic nanowires, especially with the single crystal structure in numerous applications.« less

  11. Initial Growth of Single-Crystalline Nanowires: From 3D Nucleation to 2D Growth.

    PubMed

    Huang, Xh; Li, Gh; Sun, Gz; Dou, Xc; Li, L; Zheng, Lx

    2010-04-17

    The initial growth stage of the single-crystalline Sb and Co nanowires with preferential orientation was studied, which were synthesized in porous anodic alumina membranes by the pulsed electrodeposition technique. It was revealed that the initial growth of the nanowires is a three-dimensional nucleation process, and then gradually transforms to two-dimensional growth via progressive nucleation mechanism, which resulting in a structure transition from polycrystalline to single crystalline. The competition among the nuclei inside the nanoscaled-confined channel and the growth kinetics is responsible for the structure transition of the initial grown nanowires.

  12. Kinetic Model of the Initial Stage of the Nanowire Growth

    NASA Astrophysics Data System (ADS)

    Filimonov, S. N.; Hervieu, Yu. Yu.

    2018-03-01

    A kinetic model of the formation of pyramid-like bulges (pedestals) at the bases of vertical nanowires is proposed. The formation of the pedestals at the early stage of the nanowire growth is assumed to be induced by a higher nucleation rate of two-dimensional islands under the catalyst droplet, as compared to the nucleation rate at the non-activated surface areas. Kinetics of the nucleation and propagation of the steps in the pyramid is described with a model of the multilayer growth, taking into account that the catalyst droplet at the nanowire top is a strong sink for adatoms. It is shown that the transition from the growth of the pyramid to the axial growth of the nanowire is possible if the appearance of a nucleus of the new layer under the catalyst droplet results in a partial dissolution of the underlying layer. In this case a segment of the nanowire sidewall is formed, preventing the lateral growth of the layers generated by the droplet.

  13. Directed branch growth in aligned nanowire arrays.

    PubMed

    Beaudry, Allan L; LaForge, Joshua M; Tucker, Ryan T; Sorge, Jason B; Adamski, Nicholas L; Li, Peng; Taschuk, Michael T; Brett, Michael J

    2014-01-01

    Branch growth is directed along two, three, or four in-plane directions in vertically aligned nanowire arrays using vapor-liquid-solid glancing angle deposition (VLS-GLAD) flux engineering. In this work, a dynamically controlled collimated vapor flux guides branch placement during the self-catalyzed epitaxial growth of branched indium tin oxide nanowire arrays. The flux is positioned to grow branches on select nanowire facets, enabling fabrication of aligned nanotree arrays with L-, T-, or X-branching. In addition, a flux motion algorithm is designed to selectively elongate branches along one in-plane axis. Nanotrees are found to be aligned across large areas by X-ray diffraction pole figure analysis and through branch length and orientation measurements collected over 140 μm(2) from scanning electron microscopy images for each array. The pathway to guided assembly of nanowire architectures with controlled interconnectivity in three-dimensions using VLS-GLAD is discussed.

  14. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  15. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  16. A facile strategy to decorate Cu₉S₅ nanocrystals on polyaniline nanowires and their synergetic catalytic properties.

    PubMed

    Lu, Xiao-feng; Bian, Xiu-jie; Li, Zhi-cheng; Chao, Dan-ming; Wang, Ce

    2013-10-16

    Here, we demonstrated a novel method to decorate Cu₉S₅ nanocrystals on polyaniline (PANI) nanowires using the dopant of mercaptoacetic acid (MAA) in the PANI matrix as the sulfur source under a hydrothermal reaction. TEM images showed that Cu₉S₅ nanocrystals with a size in the range of 5-20 nm were uniformly formed on the surface of PANI nanowires. Significantly, the as-prepared PANI/Cu₉S₅ composite nanowires have been proven to be novel peroxidase mimics toward the oxidation of the peroxidase substrate 3,3',5,5'-tetramethylbenzidine (TMB) in the presence of H₂O₂. Due to the synergetic effects between polyaniline nanowires and Cu₉S₅ nanocrystals, the obtained PANI/Cu₉S₅ composite nanowires exhibit superior catalytic activity over the independent components. This work not only presents a simple and versatile method to decorate semiconductor nanocrystals on the surface of conducting polymer nanostructures, but also provides fundamental guidelines for further investigations into the synergetic effect between conducting polymers and other materials.

  17. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  18. Controlling bottom-up rapid growth of single crystalline gallium nitride nanowires on silicon.

    PubMed

    Wu, Ko-Li; Chou, Yi; Su, Chang-Chou; Yang, Chih-Chaing; Lee, Wei-I; Chou, Yi-Chia

    2017-12-20

    We report single crystalline gallium nitride nanowire growth from Ni and Ni-Au catalysts on silicon using hydride vapor phase epitaxy. The growth takes place rapidly; efficiency in time is higher than the conventional nanowire growth in metal-organic chemical vapor deposition and thin film growth in molecular beam epitaxy. The effects of V/III ratio and carrier gas flow on growth are discussed regarding surface polarity and sticking coefficient of molecules. The nanowires of gallium nitride exhibit excellent crystallinity with smooth and straight morphology and uniform orientation. The growth mechanism follows self-assembly from both catalysts, where Au acts as a protection from etching during growth enabling the growth of ultra-long nanowires. The photoluminescence of such nanowires are adjustable by tuning the growth parameters to achieve blue emission. The practical range of parameters for mass production of such high crystal quality and uniformity of nanowires is suggested.

  19. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  20. Direct observation of nanowire growth and decomposition.

    PubMed

    Rackauskas, Simas; Shandakov, Sergey D; Jiang, Hua; Wagner, Jakob B; Nasibulin, Albert G

    2017-09-26

    Fundamental concepts of the crystal formation suggest that the growth and decomposition are determined by simultaneous embedding and removal of the atoms. Apparently, by changing the crystal formation conditions one can switch the regimes from the growth to decomposition. To the best of our knowledge, so far this has been only postulated, but never observed at the atomic level. By means of in situ environmental transmission electron microscopy we monitored and examined the atomic layer transformation at the conditions of the crystal growth and its decomposition using CuO nanowires selected as a model object. The atomic layer growth/decomposition was studied by varying an O 2 partial pressure. Three distinct regimes of the atomic layer evolution were experimentally observed: growth, transition and decomposition. The transition regime, at which atomic layer growth/decomposition switch takes place, is characterised by random nucleation of the atomic layers on the growing {111} surface. The decomposition starts on the side of the nanowire by removing the atomic layers without altering the overall crystal structure, which besides the fundamental importance offers new possibilities for the nanowire manipulation. Understanding of the crystal growth kinetics and nucleation at the atomic level is essential for the precise control of 1D crystal formation.

  1. MBE growth of nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A. D.; Ilkiv, I. V.; Reznik, R. R.; Shtrom, I. V.; Khrebtov, A. I.; Samsonenko, Yu B.; Soshnikov, I. P.; Cirlin, G. E.; Lipsanen, H.

    2017-06-01

    Ag colloidal nanoparticles are used as a catalyst for growth of GaAs nanowires by the molecular beam epitaxy on the Si(111) and GaAs(111)B substrate surfaces. The scanning electron microscopy measurements revealed that the nanowire formation occurs in different ways on different substrates, but the parameters of the synthesized nanowires open great prospects for their further use.

  2. Solid-phase diffusion mechanism for GaAs nanowire growth.

    PubMed

    Persson, Ann I; Larsson, Magnus W; Stenström, Stig; Ohlsson, B Jonas; Samuelson, Lars; Wallenberg, L Reine

    2004-10-01

    Controllable production of nanometre-sized structures is an important field of research, and synthesis of one-dimensional objects, such as nanowires, is a rapidly expanding area with numerous applications, for example, in electronics, photonics, biology and medicine. Nanoscale electronic devices created inside nanowires, such as p-n junctions, were reported ten years ago. More recently, hetero-structure devices with clear quantum-mechanical behaviour have been reported, for example the double-barrier resonant tunnelling diode and the single-electron transistor. The generally accepted theory of semiconductor nanowire growth is the vapour-liquid-solid (VLS) growth mechanism, based on growth from a liquid metal seed particle. In this letter we suggest the existence of a growth regime quite different from VLS. We show that this new growth regime is based on a solid-phase diffusion mechanism of a single component through a gold seed particle, as shown by in situ heating experiments of GaAs nanowires in a transmission electron microscope, and supported by highly resolved chemical analysis and finite element calculations of the mass transport and composition profiles.

  3. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    PubMed

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  4. Catalyst shape engineering for anisotropic cross-sectioned nanowire growth

    NASA Astrophysics Data System (ADS)

    Calahorra, Yonatan; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-01-01

    The ability to engineer material properties at the nanoscale is a crucial prerequisite for nanotechnology. Hereunder, we suggest and demonstrate a novel approach to realize non-hemispherically shaped nanowire catalysts, subsequently used to grow InP nanowires with a cross section anisotropy ratio of up to 1:1.8. Gold was deposited inside high aspect ratio nanotrenches in a 5 nm thick SiNx selective area mask; inside the growth chamber, upon heating to 455 °C, the thin gold stripes agglomerated, resulting in an ellipsoidal dome (hemiellipsoid). The initial shape of the catalyst was preserved during growth to realize asymmetrically cross-sectioned nanowires. Moreover, the crystalline nature of the nanowire side facets was found to depend on the nano-trench orientation atop the substrate, resulting in hexagonal or octagonal cross-sections when the nano-trenches are aligned or misaligned with the [1¯10] orientation atop a [111]B substrate. These results establish the role of catalyst shape as a unique tool to engineer nanowire growth, potentially allowing further control over its physical properties.

  5. Evaluating focused ion beam patterning for position-controlled nanowire growth using computer vision

    NASA Astrophysics Data System (ADS)

    Mosberg, A. B.; Myklebost, S.; Ren, D.; Weman, H.; Fimland, B. O.; van Helvoort, A. T. J.

    2017-09-01

    To efficiently evaluate the novel approach of focused ion beam (FIB) direct patterning of substrates for nanowire growth, a reference matrix of hole arrays has been used to study the effect of ion fluence and hole diameter on nanowire growth. Self-catalyzed GaAsSb nanowires were grown using molecular beam epitaxy and studied by scanning electron microscopy (SEM). To ensure an objective analysis, SEM images were analyzed with computer vision to automatically identify nanowires and characterize each array. It is shown that FIB milling parameters can be used to control the nanowire growth. Lower ion fluence and smaller diameter holes result in a higher yield (up to 83%) of single vertical nanowires, while higher fluence and hole diameter exhibit a regime of multiple nanowires. The catalyst size distribution and placement uniformity of vertical nanowires is best for low-value parameter combinations, indicating how to improve the FIB parameters for positioned-controlled nanowire growth.

  6. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  7. Growth and applicability of radiation-responsive silica nanowires

    NASA Astrophysics Data System (ADS)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  8. Synthesis of polycrystalline Co{sub 3}O{sub 4} nanowires with excellent ammonium perchlorate catalytic decomposition property

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hai; University of Chinese Academy of Sciences, Beijing 100049; Lv, Baoliang, E-mail: lbl604@sxicc.ac.cn

    2014-12-15

    Graphical abstract: Co{sub 3}O{sub 4} nanowires with excellent ammonium perchlorate catalytic decomposition property were synthesized via a methanamide-assisted hydrolysis and subsequent dissolution–recrystallization process in the presence of methanamide. - Abstract: Co{sub 3}O{sub 4} nanowires, with the length of tens of micrometers and the width of several hundred nanometers, were produced by a hydrothermal treatment and a post-anneal process. X-ray diffraction (XRD) result showed that the Co{sub 3}O{sub 4} nanowires belong to cubic crystal system. Scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM) analysis indicated that the Co{sub 3}O{sub 4} nanowires, composed by single crystalline nanoparticles, were of polycrystallinemore » nature. On the basis of time-dependent experiments, methanamide-assisted hydrolysis and subsequent dissolution–recrystallization process were used to explain the precursors' formation process of the polycrystalline Co{sub 3}O{sub 4} nanowires. The TGA experiments showed that the as-obtained Co{sub 3}O{sub 4} nanowires can catalyze the thermal decomposition of ammonium perchlorate (AP) effectively.« less

  9. Ultrafast Dynamics of Plasmon-Exciton Interaction of Ag Nanowire- Graphene Hybrids for Surface Catalytic Reactions

    PubMed Central

    Ding, Qianqian; Shi, Ying; Chen, Maodu; Li, Hui; Yang, Xianzhong; Qu, Yingqi; Liang, Wenjie; Sun, Mengtao

    2016-01-01

    Using the ultrafast pump-probe transient absorption spectroscopy, the femtosecond-resolved plasmon-exciton interaction of graphene-Ag nanowire hybrids is experimentally investigated, in the VIS-NIR region. The plasmonic lifetime of Ag nanowire is about 150 ± 7 femtosecond (fs). For a single layer of graphene, the fast dynamic process at 275 ± 77 fs is due to the excitation of graphene excitons, and the slow process at 1.4 ± 0.3 picosecond (ps) is due to the plasmonic hot electron interaction with phonons of graphene. For the graphene-Ag nanowire hybrids, the time scale of the plasmon-induced hot electron transferring to graphene is 534 ± 108 fs, and the metal plasmon enhanced graphene plasmon is about 3.2 ± 0.8 ps in the VIS region. The graphene-Ag nanowire hybrids can be used for plasmon-driven chemical reactions. This graphene-mediated surface-enhanced Raman scattering substrate significantly increases the probability and efficiency of surface catalytic reactions co-driven by graphene-Ag nanowire hybridization, in comparison with reactions individually driven by monolayer graphene or single Ag nanowire. This implies that the graphene-Ag nanowire hybrids can not only lead to a significant accumulation of high-density hot electrons, but also significantly increase the plasmon-to-electron conversion efficiency, due to strong plasmon-exciton coupling. PMID:27601199

  10. Corner wetting during the vapor-liquid-solid growth of faceted nanowires

    NASA Astrophysics Data System (ADS)

    Spencer, Brian; Davis, Stephen

    2016-11-01

    We consider the corner wetting of liquid drops in the context of vapor-liquid-solid growth of nanowires. Specifically, we construct numerical solutions for the equilibrium shape of a liquid drop on top of a faceted nanowire by solving the Laplace-Young equation with a free boundary determined by mixed boundary conditions. A key result for nanowire growth is that for a range of contact angles there is no equilibrium drop shape that completely wets the corner of the faceted nanowire. Based on our numerical solutions we determine the scaling behavior for the singular surface behavior near corners of the nanowire in terms of the Young contact angle and drop volume.

  11. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  12. Graphene templated Directional Growth of an Inorganic Nanowire

    DTIC Science & Technology

    2015-03-23

    ammonium persulphate, (NH4)2S2O8, at room tempera- ture for 17 h (Fig. 1a). Various types of gold precursor, such as gold nanoparticles or gold...directions and grain boundaries in polycrystalline graphene using TEM or even scanning electron microscopy (SEM), as shown in Fig. 1g, h . Because the...directionGraphene Nanowire Grain boundary Nanowire axis directions g h e f i Figure 1 | Directional growth of inorganic nanowires on graphene. a

  13. In situ synthesis and catalytic application of reduced graphene oxide supported cobalt nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Zhiqiang; Long, Qin; Deng, Yi; Liao, Li

    2018-05-01

    Controlled synthesis of magnetic nanocomposite with outstanding catalytic performances is a promising strategy in catalyst industry. We proposed a novel concept for fabrication of reduced graphene oxide-supported cobalt nanowires (RGO/Co-NWs) nanocomposite as high-efficient magnetic catalyst. Unlike the majority of experiments necessitating harsh synthesis conditions such as high-pressure, high-temperature and expensive template, here the RGO/Co-NWs were successfully prepared in aqueous solution under mild conditions with the assistance of external magnetic field. The synthetic process was facile and external magnetic force was adopted to induce the unidirectional self-assembly of cobalt crystals on graphene oxide to form RGO/Co-NWs. The possible formation mechanism laid on the fact that the dipole magnetic moments of the nanoparticles were aligned along the magnetic induction lines with the external magnetic field direction resulting in the formation of nanowires elongating in the direction of the magnetization axis. Simultaneously, a series of controlled reactions were conducted to illuminate the effect of graphene oxide, external magnetic field and PVP on the morphology and size of RGO/Co-NWs in the present approach. More importantly, the nanocomposite exhibited a high catalytic performance towards ammonia borane. Hence the novel nanocomposite holds a great potential for technological applications such as catalyst industry.

  14. Growth of Gallium Nitride Nanowires: A Study Using In Situ Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Diaz Rivas, Rosa Estela

    Owing to their special characteristics, group III-Nitride semiconductors have attracted special attention for their application in a wide range of optoelectronic devices. Of particular interest are their direct and wide band gaps that span from ultraviolet to the infrared wavelengths. In addition, their stronger bonds relative to the other compound semiconductors makes them thermally more stable, which provides devices with longer life time. However, the lattice mismatch between these semiconductors and their substrates cause the as-grown films to have high dislocation densities, reducing the life time of devices that contain these materials. One possible solution for this problem is to substitute single crystal semiconductor nanowires for epitaxial films. Due to their dimensionality, semiconductor nanowires typically have stress-free surfaces and better physical properties. In order to employ semiconductor nanowires as building blocks for nanoscale devices, a precise control of the nanowires' crystallinity, morphology, and chemistry is necessary. This control can be achieved by first developing a deeper understanding of the processes involved in the synthesis of nanowires, and then by determining the effects of temperature and pressure on their growth. This dissertation focuses on understanding of the growth processes involved in the formation of GaN nanowires. Nucleation and growth events were observed in situ and controlled in real-time using an environmental transmission electron microscope. These observations provide a satisfactory elucidation of the underlying growth mechanism during the formation of GaN nanowires. Nucleation of these nanowires appears to follow the vapor-liquid-solid mechanism. However, nanowire growth is found to follow both the vapor-liquid-solid and vapor-solid-solid mechanisms. Direct evidence of the effects of III/V ratio on nanowire growth is also reported, which provides important information for tailoring the synthesis of Ga

  15. Hydrothermal Growth of ZnO Nanowires on UV-Nanoimprinted Polymer Structures.

    PubMed

    Park, Sooyeon; Moore, Sean A; Lee, Jaejong; Song, In-Hyouk; Farshchian, Bahador; Kim, Namwon

    2018-05-01

    Integration of zinc oxide (ZnO) nanowires on miniaturized polymer structures can broaden its application in multi-functional polymer devices by taking advantages of unique physical properties of ZnO nanowires and recent development of polymer microstructures in analytical systems. In this paper, we demonstrate the hydrothermal growth of ZnO nanowires on polymer microstructures fabricated by UV nanoimprinting lithography (NIL) using a polyurethane acrylate (PUA). Since PUA is a siloxane-urethane-acrylate compound containing the alpha-hydroxyl ketone, UV-cured PUA include carboxyl groups, which inhibit and suppress the nucleation and growth of ZnO nanowires on polymer structures. The presence of carboxyl groups in UV-cured PUA was substantiated by Fourier transform infrared spectroscopy (FTIR), and a Ag thin film was deposited on the nanoimprinted polymer structures to limit their inhibitive influence on the growth of ZnO nanowires. Furthermore, the naturally oxidized Ag layer (Ag2O) reduced crystalline lattice mismatches at the interface between ZnO-Ag during the seed annealing process. The ZnO nanowires grown on the Ag-deposited PUA microstructures were found to have comparable morphological characteristics with ZnO nanowires grown on a Si wafer.

  16. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  17. Structural and photoluminescence studies on catalytic growth of silicon/zinc oxide heterostructure nanowires

    PubMed Central

    2013-01-01

    Silicon/zinc oxide (Si/ZnO) core-shell nanowires (NWs) were prepared on a p-type Si(111) substrate using a two-step growth process. First, indium seed-coated Si NWs (In/Si NWs) were synthesized using a plasma-assisted hot-wire chemical vapor deposition technique. This was then followed by the growth of a ZnO nanostructure shell layer using a vapor transport and condensation method. By varying the ZnO growth time from 0.5 to 2 h, different morphologies of ZnO nanostructures, such as ZnO nanoparticles, ZnO shell layer, and ZnO nanorods were grown on the In/Si NWs. The In seeds were believed to act as centers to attract the ZnO molecule vapors, further inducing the lateral growth of ZnO nanorods from the Si/ZnO core-shell NWs via a vapor-liquid-solid mechanism. The ZnO nanorods had a tendency to grow in the direction of [0001] as indicated by X-ray diffraction and high resolution transmission electron microscopy analyses. We showed that the Si/ZnO core-shell NWs exhibit a broad visible emission ranging from 400 to 750 nm due to the combination of emissions from oxygen vacancies in ZnO and In2O3 structures and nanocrystallite Si on the Si NWs. The hierarchical growth of straight ZnO nanorods on the core-shell NWs eventually reduced the defect (green) emission and enhanced the near band edge (ultraviolet) emission of the ZnO. PMID:23590803

  18. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  19. Low-Temperature Selective Growth of Tungsten Oxide Nanowires by Controlled Nanoscale Stress Induction

    PubMed Central

    Na, Hyungjoo; Eun, Youngkee; Kim, Min-Ook; Choi, Jungwook; Kim, Jongbaeg

    2015-01-01

    We report a unique approach for the patterned growth of single-crystalline tungsten oxide (WOx) nanowires based on localized stress-induction. Ions implanted into the desired growth area of WOx thin films lead to a local increase in the compressive stress, leading to the growth of nanowire at lower temperatures (600 °C vs. 750–900 °C) than for equivalent non-implanted samples. Nanowires were successfully grown on the microscale patterns using wafer-level ion implantation and on the nanometer scale patterns using a focused ion beam (FIB). Experimental results show that nanowire growth is influenced by a number of factors including the dose of the implanted ions and their atomic radius. The implanted-ion-assisted, stress-induced method proposed here for the patterned growth of WOx nanowires is simpler than alternative approaches and enhances the compatibility of the process by reducing the growth temperature. PMID:26666843

  20. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  1. Seeded Nanowire and Microwire Growth from Lithium Alloys.

    PubMed

    Han, Sang Yun; Boebinger, Matthew G; Kondekar, Neha P; Worthy, Trevor J; McDowell, Matthew T

    2018-06-06

    Although vapor-liquid-solid (VLS) growth of nanowires from alloy seed particles is common in various semiconductor systems, related wire growth in all-metal systems is rare. Here, we report the spontaneous growth of nano- and microwires from metal seed particles during the cooling of Li-rich bulk alloys containing Au, Ag, or In. The as-grown wires feature Au-, Ag-, or In-rich metal tips and LiOH shafts; the results indicate that the wires grow as Li metal and are converted to polycrystalline LiOH during and/or after growth due to exposure to H 2 O and O 2 . This new process is a simple way to create nanostructures, and the findings suggest that metal nanowire growth from alloy seeds is possible in a variety of systems.

  2. Seedless Growth of Bismuth Nanowire Array via Vacuum Thermal Evaporation

    PubMed Central

    Liu, Mingzhao; Nam, Chang-Yong; Zhang, Lihua

    2015-01-01

    Here a seedless and template-free technique is demonstrated to scalably grow bismuth nanowires, through thermal evaporation in high vacuum at RT. Conventionally reserved for the fabrication of metal thin films, thermal evaporation deposits bismuth into an array of vertical single crystalline nanowires over a flat thin film of vanadium held at RT, which is freshly deposited by magnetron sputtering or thermal evaporation. By controlling the temperature of the growth substrate the length and width of the nanowires can be tuned over a wide range. Responsible for this novel technique is a previously unknown nanowire growth mechanism that roots in the mild porosity of the vanadium thin film. Infiltrated into the vanadium pores, the bismuth domains (~ 1 nm) carry excessive surface energy that suppresses their melting point and continuously expels them out of the vanadium matrix to form nanowires. This discovery demonstrates the feasibility of scalable vapor phase synthesis of high purity nanomaterials without using any catalysts. PMID:26709727

  3. A facile strategy to decorate Cu9S5 nanocrystals on polyaniline nanowires and their synergetic catalytic properties

    NASA Astrophysics Data System (ADS)

    Lu, Xiao-Feng; Bian, Xiu-Jie; Li, Zhi-Cheng; Chao, Dan-Ming; Wang, Ce

    2013-10-01

    Here, we demonstrated a novel method to decorate Cu9S5 nanocrystals on polyaniline (PANI) nanowires using the dopant of mercaptoacetic acid (MAA) in the PANI matrix as the sulfur source under a hydrothermal reaction. TEM images showed that Cu9S5 nanocrystals with a size in the range of 5-20 nm were uniformly formed on the surface of PANI nanowires. Significantly, the as-prepared PANI/Cu9S5 composite nanowires have been proven to be novel peroxidase mimics toward the oxidation of the peroxidase substrate 3,3',5,5'-tetramethylbenzidine (TMB) in the presence of H2O2. Due to the synergetic effects between polyaniline nanowires and Cu9S5 nanocrystals, the obtained PANI/Cu9S5 composite nanowires exhibit superior catalytic activity over the independent components. This work not only presents a simple and versatile method to decorate semiconductor nanocrystals on the surface of conducting polymer nanostructures, but also provides fundamental guidelines for further investigations into the synergetic effect between conducting polymers and other materials.

  4. A facile strategy to decorate Cu9S5 nanocrystals on polyaniline nanowires and their synergetic catalytic properties

    PubMed Central

    Lu, Xiao-feng; Bian, Xiu-jie; Li, Zhi-cheng; Chao, Dan-ming; Wang, Ce

    2013-01-01

    Here, we demonstrated a novel method to decorate Cu9S5 nanocrystals on polyaniline (PANI) nanowires using the dopant of mercaptoacetic acid (MAA) in the PANI matrix as the sulfur source under a hydrothermal reaction. TEM images showed that Cu9S5 nanocrystals with a size in the range of 5–20 nm were uniformly formed on the surface of PANI nanowires. Significantly, the as-prepared PANI/Cu9S5 composite nanowires have been proven to be novel peroxidase mimics toward the oxidation of the peroxidase substrate 3,3′,5,5′-tetramethylbenzidine (TMB) in the presence of H2O2. Due to the synergetic effects between polyaniline nanowires and Cu9S5 nanocrystals, the obtained PANI/Cu9S5 composite nanowires exhibit superior catalytic activity over the independent components. This work not only presents a simple and versatile method to decorate semiconductor nanocrystals on the surface of conducting polymer nanostructures, but also provides fundamental guidelines for further investigations into the synergetic effect between conducting polymers and other materials. PMID:24129741

  5. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  6. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  7. Three-dimensional GaN/AlN nanowire heterostructures by separating nucleation and growth processes.

    PubMed

    Carnevale, Santino D; Yang, Jing; Phillips, Patrick J; Mills, Michael J; Myers, Roberto C

    2011-02-09

    Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.

  8. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  9. Incubation behavior of silicon nanowire growth investigated by laser-assisted rapid heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryu, Sang-gil; Kim, Eunpa; Grigoropoulos, Costas P., E-mail: cgrigoro@berkeley.edu

    2016-08-15

    We investigate the early stage of silicon nanowire growth by the vapor-liquid-solid mechanism using laser-localized heating combined with ex-situ chemical mapping analysis by energy-filtered transmission electron microscopy. By achieving fast heating and cooling times, we can precisely determine the nucleation times for nanowire growth. We find that the silicon nanowire nucleation process occurs on a time scale of ∼10 ms, i.e., orders of magnitude faster than the times reported in investigations using furnace processes. The rate-limiting step for silicon nanowire growth at temperatures in the vicinity of the eutectic temperature is found to be the gas reaction and/or the silicon crystalmore » growth process, whereas at higher temperatures it is the rate of silicon diffusion through the molten catalyst that dictates the nucleation kinetics.« less

  10. Hydrothermal growth of ZnO nanowire arrays: fine tuning by precursor supersaturation

    DOE PAGES

    Yan, Danhua; Cen, Jiajie; Zhang, Wenrui; ...

    2016-12-20

    In this paper, we develop a technique that fine tunes the hydrothermal growth of ZnO nanowires to address the difficulties in controlling their growth in a conventional one-pot hydrothermal method. In our technique, precursors are separately and slowly supplied with the assistance of a syringe pump, through the entire course of the growth. Compared to the one-pot method, the significantly lowered supersaturation of precursors helps eliminating competitive homogeneous nucleation and improves the reproducibility. The supersaturation degree can be readily tuned by the precursor quantity and injection rate, thus forming ZnO nanowire arrays of various geometries and packing densities in amore » highly controllable fashion. The precise control of ZnO nanowire growth enables systematic studies on the correlation between the material's properties and its morphology. Finally, in this work, ZnO nanowire arrays of various morphologies are studied as photoelectrochemical (PEC) water splitting photoanodes, in which we establish clear correlations between the water splitting performance and the nanowires' size, shape, and packing density.« less

  11. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  12. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  13. Metal Catalyst for Low-Temperature Growth of Controlled Zinc Oxide Nanowires on Arbitrary Substrates

    PubMed Central

    Kim, Baek Hyun; Kwon, Jae W.

    2014-01-01

    Zinc oxide nanowires generated by hydrothermal method present superior physical and chemical characteristics. Quality control of the growth has been very challenging and controlled growth is only achievable under very limited conditions using homogeneous seed layers with high temperature processes. Here we show the controlled ZnO nanowire growth on various organic and inorganic materials without the requirement of a homogeneous seed layer and a high temperature process. We also report the discovery of an important role of the electronegativity in the nanowire growth on arbitrary substrates. Using heterogeneous metal oxide interlayers with low-temperature hydrothermal methods, we demonstrate well-controlled ZnO nanowire arrays and single nanowires on flat or curved surfaces. A metal catalyst and heterogeneous metal oxide interlayers are found to determine lattice-match with ZnO and to largely influence the controlled alignment. These findings will contribute to the development of novel nanodevices using controlled nanowires. PMID:24625584

  14. Growth mechanism of surface roughed platinum nanowires through electrodeposition current control and their electrochemical applications

    NASA Astrophysics Data System (ADS)

    Ruan, Dajiang

    The aim of this work is to investigate the effect of current density on the grain size and surface morphology of electrodeposited platinum nanowires and their applications. Platinum (Pt) nanowires were fabricated by a galvanostatic electrodeposition method in a porous anodic alumina oxide (AAO) template with different current densities. Both direct current and pulse current electrodeposition were used to synthesize the Pt nanowires. The grain size and surface morphology of the Pt nanowires were studied by field emission scanning electron microscopy (FE-SEM), transmission electron microcopy (TEM) and X-ray diffraction (XRD). The experimental results showed that the current density was the key factor to control the surface roughness. The surface of the Pt nanowires became rougher and the grain sizes were increased by increasing the current densities. From the experimental results, a growth mechanism of Pt nanowires based on progressive nucleation and crystallization was proposed in order to find out the relationship between the surface morphology and current density. The electrochemical properties and catalytic activities of these surface roughed Pt nanowires were investigated in the detection of H20 2 and for the methanol oxidation. Cyclic voltammograms of Pt nanowire modified electrodes were obtained using a potentiostat, which showed that rougher Pt nanowires have higher response and better activity than that of smooth nanowires. For H202 detection, the effect of scan rate and H202 concentration were studied and it was found that the peak current for hydrogen peroxide reduction became larger with the increasing of either scan rate or H202 concentration. It can be inferred that the process of electrocatalytic hydrogen peroxide reduction may be controlled by diffusion of hydrogen peroxide and the Pt nanowire modified glassy carbon electrode (GCE) is well suited for the detection of H202. From the relationship between the peak current and square root of scan rates

  15. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  16. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  17. Phase-field model of vapor-liquid-solid nanowire growth

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  18. Growth of single-crystalline cobalt silicide nanowires and their field emission property.

    PubMed

    Lu, Chi-Ming; Hsu, Han-Fu; Lu, Kuo-Chang

    2013-07-03

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters.

  19. Growth of single-crystalline cobalt silicide nanowires and their field emission property

    PubMed Central

    2013-01-01

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters. PMID:23819795

  20. Metallic nanowire networks

    DOEpatents

    Song, Yujiang; Shelnutt, John A.

    2012-11-06

    A metallic nanowire network synthesized using chemical reduction of a metal ion source by a reducing agent in the presence of a soft template comprising a tubular inverse micellar network. The network of interconnected polycrystalline nanowires has a very high surface-area/volume ratio, which makes it highly suitable for use in catalytic applications.

  1. Facile preparation of Ni nanowire embedded nitrogen and sulfur dual-doped carbon nanofibers and its superior catalytic activity toward urea oxidation.

    PubMed

    Liu, Dong; Li, Wenjia; Li, Libo; Ling, Hao; You, Tianyan

    2018-06-08

    A novel hairy-shaped Ni nanowire embedded nitrogen and sulfur dual-doped carbon nanofibers (Ni/N,S-CNFs) with superior electrocatalytic properties for urea oxidation reaction (UOR) was reported. The Ni/N,S-CNFs was prepared by electrospinning and carbonization process, using melamine-trithiocyanuric acid (MTCA) aggregates as both the N and S element sources. Noteworthy, MTCA also favored the formation of Ni nanowire via vapor liquid-solid mechanism, while Ni nanoparticles loaded CNFs (Ni/CNFs) was obtained without MTCA. For UOR tests, a high peak current intensity of 37.0 mA mg -1 was obtained on Ni/N,S-CNFs at 0.42 V (vs. SCE), 2-times higher of that on Ni/CNFs. Besides, the catalytic stability of Ni/N,S-CNFs was also improved. The enhanced catalytic properties of Ni/N,S-CNFs for UOR were ascribed to its unique Ni nanowires structure as well as the N, S dual-doping. Our work reveals that the property improvement of metal-based catalysts could be achieved by the heteroatom doping to fine the metal nanostructure. Copyright © 2018. Published by Elsevier Inc.

  2. Growth of gallium nitride and indium nitride nanowires on conductive and flexible carbon cloth substrates.

    PubMed

    Yang, Yi; Ling, Yichuan; Wang, Gongming; Lu, Xihong; Tong, Yexiang; Li, Yat

    2013-03-07

    We report a general strategy for synthesis of gallium nitride (GaN) and indium nitride (InN) nanowires on conductive and flexible carbon cloth substrates. GaN and InN nanowires were prepared via a nanocluster-mediated growth method using a home built chemical vapor deposition (CVD) system with Ga and In metals as group III precursors and ammonia as a group V precursor. Electron microscopy studies reveal that the group III-nitride nanowires are single crystalline wurtzite structures. The morphology, density and growth mechanism of these nanowires are determined by the growth temperature. Importantly, a photoelectrode fabricated by contacting the GaN nanowires through a carbon cloth substrate shows pronounced photoactivity for photoelectrochemical water oxidation. The ability to synthesize group III-nitride nanowires on conductive and flexible substrates should open up new opportunities for nanoscale photonic, electronic and electrochemical devices.

  3. Batchwise growth of silica cone patterns via self-assembly of aligned nanowires.

    PubMed

    Luo, Shudong; Zhou, Weiya; Chu, Weiguo; Shen, Jun; Zhang, Zengxing; Liu, Lifeng; Liu, Dongfang; Xiang, Yanjuan; Ma, Wenjun; Xie, Sishen

    2007-03-01

    Silica-cone patterns self-assembled from well-aligned nanowires are synthesized using gallium droplets as the catalyst and silicon wafers as the silicon source. The cones form a triangular pattern array radially on almost the whole surface of the molten Ga ball. Detailed field-emission scanning electron microscopy (SEM) analysis shows that the cone-pattern pieces frequently slide off and are detached from the molten Ga ball surface, which leads to the exposure of the catalyst surface and the growth of a new batch of silicon oxide nanowires as well as the cone patterns. The processes of growth and detachment alternate, giving rise to the formation of a volcano-like or a flower-like structure with bulk-quantity pieces of cone patterns piled up around the Ga ball. Consequently, the cone-patterned layer grows batch by batch until the reaction is terminated. Different to the conventional metal-catalyzed growth model, the batch-by-batch growth of the triangular cone patterns proceeds on the molten Ga balls via alternate growth on and detachment from the catalyst surface of the patterns; the Ga droplet can be used continuously and circularly as an effective catalyst for the growth of amorphous SiO(x) nanowires during the whole growth period. The intriguing batchwise growth phenomena may enrich our understanding of the vapour-liquid-solid (VLS) growth mechanism for the catalyst growth of nanowires or other nanostructures and may offer a different way of self-assembling novel silica nanostructures.

  4. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    PubMed

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  5. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  6. Catalytic activity in lithium-treated core–shell MoO x/MoS 2 nanowires

    DOE PAGES

    Cummins, Dustin R.; Martinez, Ulises; Kappera, Rajesh; ...

    2015-09-22

    Significant interest has grown in the development of earth-abundant and efficient catalytic materials for hydrogen generation. Layered transition metal dichalcogenides present opportunities for efficient electrocatalytic systems. Here, we report the modification of 1D MoO x/MoS 2 core–shell nanostructures by lithium intercalation and the corresponding changes in morphology, structure, and mechanism of H 2 evolution. The 1D nanowires exhibit significant improvement in H 2 evolution properties after lithiation, reducing the hydrogen evolution reaction (HER) onset potential by ~50 mV and increasing the generated current density by ~600%. The high electrochemical activity in the nanowires results from disruption of MoS 2 layersmore » in the outer shell, leading to increased activity and concentration of defect sites. This is in contrast to the typical mechanism of improved catalysis following lithium exfoliation, i.e., crystal phase transformation. As a result, these structural changes are verified by a combination of Raman and X-ray photoelectron spectroscopy (XPS).« less

  7. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  8. Phase diagrams for understanding gold-seeded growth of GaAs and InAs nanowires

    NASA Astrophysics Data System (ADS)

    Ghasemi, Masoomeh; Johansson, Jonas

    2017-04-01

    Phase diagrams are useful tools to study the phase equilibria of nanowire materials systems because the growth of nanowires is accompanied by phase formation and phase transition. We have modeled the phase equilibria of the As-Au-Ga ternary system by means of the CALPHAD method. This method is a well-established semi-empirical technique for thermodynamic modeling in which Gibbs energy functions with free parameters are defined for all phases in a system followed by adjusting these parameters to the experimental data. Using the resulting As-Au-Ga thermodynamic database, four vertical cuts of this ternary system are calculated and all show good agreement with experiments. This ternary system is particularly useful for predicting the state of the Au seed alloys when growing GaAs nanowires and we discuss such predictions. Similar calculations are performed for Au-seeded InAs nanowires. We show that the vapor-liquid-solid (VLS) growth fails for InAs nanowires, while GaAs nanowires can grow from a liquid particle. Our calculations are in agreement with experimental data on the growth of Au-seeded GaAs and InAs nanowires.

  9. Protein-mimicking nanowire-inspired electro-catalytic biosensor for probing acetylcholinesterase activity and its inhibitors.

    PubMed

    Zhang, Qingqing; Hu, Yufang; Wu, Di; Ma, Shaohua; Wang, Jiao; Rao, Jiajia; Xu, Lihua; Xu, Huan; Shao, Huili; Guo, Zhiyong; Wang, Sui

    2018-06-01

    A highly sensitive electrochemical biosensor based on the synthetized L-Cysteine-Ag(I) coordination polymer (L-Cys-Ag(I) CP), which looks like a protein-mimicking nanowire, was constructed to detect acetylcholinesterase (AChE) activity and screen its inhibitors. This sensing strategy involves the reaction of acetylcholine chloride (ACh) with acetylcholinesterase (AChE) to form choline that is in turn catalytically oxidized by choline oxidase (ChOx) to produce hydrogen peroxide (H 2 O 2 ), thus L-Cys-Ag(I) CP possesses the electro-catalytic property to H 2 O 2 reduction. Herein, the protein-mimicking nanowire-based platform was capable of investigating successive of H 2 O 2 effectively by amperometric i-t (current-time) response, and was further applied for the turn-on electrochemical detection of AChE activity. The proposed sensor is highly sensitive (limit of detection is 0.0006 U/L) and is feasible for screening inhibitors of AChE. The model for AChE inhibition was further established and two traditional AChE inhibitors (donepezil and tacrine) were employed to verify the feasibility of the system. The IC 5 0 of donepezil and tacrine were estimated to be 1.4 nM and 3.5 nM, respectively. The developed protocol provides a new and promising platform for probing AChE activity and screening its inhibitors with low cost, high sensitivity and selectivity. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. Non-equilibrium induction of tin in germanium: towards direct bandgap Ge1-xSnx nanowires

    NASA Astrophysics Data System (ADS)

    Biswas, Subhajit; Doherty, Jessica; Saladukha, Dzianis; Ramasse, Quentin; Majumdar, Dipanwita; Upmanyu, Moneesh; Singha, Achintya; Ochalski, Tomasz; Morris, Michael A.; Holmes, Justin D.

    2016-04-01

    The development of non-equilibrium group IV nanoscale alloys is critical to achieving new functionalities, such as the formation of a direct bandgap in a conventional indirect bandgap elemental semiconductor. Here, we describe the fabrication of uniform diameter, direct bandgap Ge1-xSnx alloy nanowires, with a Sn incorporation up to 9.2 at.%, far in excess of the equilibrium solubility of Sn in bulk Ge, through a conventional catalytic bottom-up growth paradigm using noble metal and metal alloy catalysts. Metal alloy catalysts permitted a greater inclusion of Sn in Ge nanowires compared with conventional Au catalysts, when used during vapour-liquid-solid growth. The addition of an annealing step close to the Ge-Sn eutectic temperature (230 °C) during cool-down, further facilitated the excessive dissolution of Sn in the nanowires. Sn was distributed throughout the Ge nanowire lattice with no metallic Sn segregation or precipitation at the surface or within the bulk of the nanowires. The non-equilibrium incorporation of Sn into the Ge nanowires can be understood in terms of a kinetic trapping model for impurity incorporation at the triple-phase boundary during growth.

  11. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  12. Positioning growth of NPB crystalline nanowires on the PTCDA nanocrystal template.

    PubMed

    Wang, Hong; Lin, Haiping; Fan, Xing; Ostendorp, Stefan; Wang, Yandong; Huang, Lizhen; Jiang, Lin; Li, Youyong; Wilde, Gerhard; Fuchs, Harald; Wang, Wenchong; Chi, Lifeng

    2018-05-31

    Non-planar organic molecules often form amorphous films via vapor phase deposition on surfaces. In this study, we demonstrate for the first time that direct crystalline growth of non-planar NPB is possible when the orientation of initially deposited molecules on a PTCDA nanocrystal template is controlled to make it analogous to the structure of the molecular crystal. The crystalline NPB nanowires can be further positioned by controlling the site-selective growth of PTCDA nanocrystal templates at pre-determined locations. Short channel bottom contact OFET array with the NPB nanowires directly grown on electrodes were subsequently fabricated. The hole mobility of NPB nanowires is improved by 40-fold in comparison to that of the amorphous films.

  13. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  14. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  15. Influence of indium supply on Au-catalyzed InGaAs nanowire growth studied by in situ X-ray diffraction

    NASA Astrophysics Data System (ADS)

    Sasaki, Takuo; Takahasi, Masamitu

    2017-06-01

    In this study, we analyzed the influence of indium supply on the growth dynamics of gold-catalyzed InGaAs nanowires by in situ synchrotron X-ray diffraction. A high In/Ga supply ratio results in strong size inhomogeneity of Au particles and interrupts the nanowire growth at a certain point of time. Based on the experimental results, we discussed the state of Au catalysts with high indium content during the nanowire growth. We found that a growth temperature below the eutectic temperature is essential to avoid the growth interruption and maintain the nanowire growth. The high In/Ga ratio necessitates accurate size control of Au particles before growth for further improvement of the nanowire growth.

  16. Highly catalytic and stabilized titanium nitride nanowire array-decorated graphite felt electrodes for all vanadium redox flow batteries

    NASA Astrophysics Data System (ADS)

    Wei, L.; Zhao, T. S.; Zeng, L.; Zeng, Y. K.; Jiang, H. R.

    2017-02-01

    In this work, we prepare a highly catalytic and stabilized titanium nitride (TiN) nanowire array-decorated graphite felt electrode for all vanadium redox flow batteries (VRFBs). Free-standing TiN nanowires are synthesized by a two-step process, in which TiO2 nanowires are first grown onto the surface of graphite felt via a seed-assisted hydrothermal method and then converted to TiN through nitridation reaction. When applied to VRFBs, the prepared electrode enables the electrolyte utilization and energy efficiency to be 73.9% and 77.4% at a high current density of 300 mA cm-2, which are correspondingly 43.3% and 15.4% higher than that of battery assembled with a pristine electrode. More impressively, the present battery exhibits good stability and high capacity retention during the cycle test. The superior performance is ascribed to the significant improvement in the electrochemical kinetics and enlarged active sites toward V3+/V2+ redox reaction.

  17. Non-equilibrium induction of tin in germanium: towards direct bandgap Ge1−xSnx nanowires

    PubMed Central

    Biswas, Subhajit; Doherty, Jessica; Saladukha, Dzianis; Ramasse, Quentin; Majumdar, Dipanwita; Upmanyu, Moneesh; Singha, Achintya; Ochalski, Tomasz; Morris, Michael A.; Holmes, Justin D.

    2016-01-01

    The development of non-equilibrium group IV nanoscale alloys is critical to achieving new functionalities, such as the formation of a direct bandgap in a conventional indirect bandgap elemental semiconductor. Here, we describe the fabrication of uniform diameter, direct bandgap Ge1−xSnx alloy nanowires, with a Sn incorporation up to 9.2 at.%, far in excess of the equilibrium solubility of Sn in bulk Ge, through a conventional catalytic bottom-up growth paradigm using noble metal and metal alloy catalysts. Metal alloy catalysts permitted a greater inclusion of Sn in Ge nanowires compared with conventional Au catalysts, when used during vapour–liquid–solid growth. The addition of an annealing step close to the Ge-Sn eutectic temperature (230 °C) during cool-down, further facilitated the excessive dissolution of Sn in the nanowires. Sn was distributed throughout the Ge nanowire lattice with no metallic Sn segregation or precipitation at the surface or within the bulk of the nanowires. The non-equilibrium incorporation of Sn into the Ge nanowires can be understood in terms of a kinetic trapping model for impurity incorporation at the triple-phase boundary during growth. PMID:27095012

  18. Analysis of magic lengths in growth of supported metallic nanowires

    NASA Astrophysics Data System (ADS)

    Han, Yong

    2014-12-01

    Metallic nanowires can exhibit fascinating physical properties. These unique properties often originate primarily from the quantum confinement of free electrons in a potential well, while electron-electron interactions do not play a decisive role. A recent experimental study shows that self-assembled Ir nanowires grown on Ge(001) surface have a strong length preference: the nanowire lengths are an integer multiple of 4.8 nm. In this paper, a free-electron-gas model for geometries corresponding to the nanowires is used to analyze the selection of these preferred or magic lengths. The model shows that the inclusion of even numbers of free electrons in an Ir nanowire produces these magic lengths once an electron spillage effect is taken into account. The model also shows that the stability of the nanowire diminishes with its increasing length, and consequently suggests why no long nanowires are observed in experiments. It is also shown that applying generic results for quantum size effects in a nanofilm geometry is not adequate to accurately describe the length selection in the rather different nanowire geometry, where the transverse dimensions are smaller than the electron Fermi wavelength. Finally, monatomic Au chain growth on Ge(001) surface is also analyzed. In contrast to Ir nanowires, the model shows that the stability of an Au chain depends strongly on the extent of electron spillage.

  19. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  20. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  1. Directed growth of horizontally aligned gallium nitride nanowires for nanoelectromechanical resonator arrays.

    PubMed

    Henry, Tania; Kim, Kyungkon; Ren, Zaiyuan; Yerino, Christopher; Han, Jung; Tang, Hong X

    2007-11-01

    We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.

  2. Nanophase diagram of binary eutectic Au-Ge nanoalloys for vapor-liquid-solid semiconductor nanowires growth

    NASA Astrophysics Data System (ADS)

    Lu, Haiming; Meng, Xiangkang

    2015-06-01

    Although the vapor-liquid-solid growth of semiconductor nanowire is a non-equilibrium process, the equilibrium phase diagram of binary alloy provides important guidance on the growth conditions, such as the temperature and the equilibrium composition of the alloy. Given the small dimensions of the alloy seeds and the nanowires, the known phase diagram of bulk binary alloy cannot be expected to accurately predict the behavior of the nanowire growth. Here, we developed a unified model to describe the size- and dimensionality-dependent equilibrium phase diagram of Au-Ge binary eutectic nanoalloys based on the size-dependent cohesive energy model. It is found that the liquidus curves reduce and shift leftward with decreasing size and dimensionality. Moreover, the effects of size and dimensionality on the eutectic composition are small and negligible when both components in binary eutectic alloys have the same dimensionality. However, when two components have different dimensionality (e.g. Au nanoparticle-Ge nanowire usually used in the semiconductor nanowires growth), the eutectic composition reduces with decreasing size.

  3. Template-assisted growth of transparent plasmonic nanowire electrodes

    NASA Astrophysics Data System (ADS)

    Caterina Giordano, Maria; Repetto, Diego; Mennucci, Carlo; Carrara, Angelica; Buatier de Mongeot, Francesco

    2016-12-01

    Self-organized nanowire arrays are confined by glancing-angle Au deposition on nanopatterned glass templates prepared by ion beam sputtering. The semi-transparent 1D nanowire arrays are extended over large cm2 areas and are endowed with excellent electrical conductivity competitive with the best transparent conductive oxides (sheet resistance in the range of 5-20 Ohm sq-1). In addition, the nanowires support localized surface plasmon (LSP) resonances, which are easily tunable into the visible and near infrared spectrum and are selectively excited with incident light polarized perpendicularly to the wires. Such substrates, thus, behave as multifunctional nanoelectrodes, which combine good optoelectronic performance with dichroic plasmonic excitation. The electrical percolation process of the Au nanoelectrodes was monitored in situ during growth at glancing angle, both on flat and nanopatterned glass templates. In the first case, we observed a universal scaling of the differential percolation rate, independently of the glancing deposition angle, while deviations from the universal scaling were observed when Au was confined on nanopatterned templates. In the latter case, the pronounced shadowing effect promotes the growth of locally connected 1D Au nanosticks on the ‘illuminated’ ripple ridges, thus, introducing strong anisotropies with respect to the case of a 2D percolating network.

  4. Highly patterned growth of SnO2 nanowires using a sub-atmospheric vapor-liquid-solid deposition

    NASA Astrophysics Data System (ADS)

    Akbari, M.; Mohajerzadeh, S.

    2017-08-01

    We report the realization of tin-oxide nanowires on patterned structures using a vapor-liquid-solid (VLS) process. While gold acts as the catalyst for the growth of wires, a tin-oxide containing sol-gel solution is spin coated on silicon substrate to act as the source for SnO vapor. The growth of tin-oxide nano-structures occurs mostly at the vicinity of the pre-deposited solution. By patterning the gold as the catalyst material, one is able to observe the growth at desired places. The growth of nanowires is highly dense within 100 µm away from such in situ source and their length is of the order of 5 µm. By further distancing from the source, the growth becomes more limited and nanowires become shorter and more sparsely distributed. The growth of nanowires has been studied using scanning and transmission electron microscopy tools while their composition has been investigated using XRD and EDS analyses. As a novel application, we have employed the grown nanowires as electron detection elements to measure the emitted electrons from electron sources. This configuration can be further used as electron detectors for scanning electron microscopes.

  5. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  6. In situ monitoring of laser-assisted hydrothermal growth of ZnO nanowires: thermally deactivating growth kinetics.

    PubMed

    In, Jung Bin; Kwon, Hyuk-Jun; Lee, Daeho; Ko, Seung Hwan; Grigoropoulos, Costas P

    2014-02-26

    The laser-assisted hydrothermal growth kinetics of a cluster of ZnO nanowires are studied based on optical in situ growth monitoring. The growth yields are orders of magnitude higher than those of conventional hydrothermal methods that use bulk heating. This remarkable improvement is attributed to suppression of precursor depletion occurring by homogeneous growth reactions, as well as to enhanced mass transport. The obtained in situ data show gradually decaying growth kinetics even with negligible precursor consumption. It is revealed that the growth deceleration is caused by thermal deactivation resulting from heat dissipation through the growing nanowires. Finally, it is demonstrated that the tailored temporal modulation of the input power enables sustained growth to extended dimensions. These results provide a key to highly efficient use of growth precursors that has been pursued for industrial use of this functional metal oxide semiconductor. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Performance of ethanol electro-oxidation on Ni-Cu alloy nanowires through composition modulation.

    PubMed

    Tian, Xi-Ke; Zhao, Xiao-Yu; Zhang, Li-de; Yang, Chao; Pi, Zhen-Bang; Zhang, Su-Xin

    2008-05-28

    To reduce the cost of the catalyst for direct ethanol fuel cells and improve its catalytic activity, highly ordered Ni-Cu alloy nanowire arrays have been fabricated successfully by differential pulse current electro-deposition into the pores of a porous anodic alumina membrane (AAMs). The energy dispersion spectrum, scanning and transmission electron microscopy were utilized to characterize the composition and morphology of the Ni-Cu alloy nanowire arrays. The results reveal that the nanowires in the array are uniform, well isolated and parallel to each other. The catalytic activity of the nanowire electrode arrays for ethanol oxidation was tested and the binary alloy nanowire array possesses good catalytic activity for the electro-oxidation of ethanol. The performance of ethanol electro-oxidation was controlled by varying the Cu content in the Ni-Cu alloy and the Ni-Cu alloy nanowire electrode shows much better stability than the pure Ni one.

  8. Twin-mediated epitaxial growth of highly lattice-mismatched Cu/Ag core-shell nanowires.

    PubMed

    Weng, Wei-Lun; Hsu, Chin-Yu; Lee, Jheng-Syun; Fan, Hsin-Hsin; Liao, Chien-Neng

    2018-05-31

    Lattice-mismatch is an important factor for the heteroepitaxial growth of core-shell nanostructures. A large lattice-mismatch usually leads to a non-coherent interface or a polycrystalline shell layer. In this study, a conformal Ag layer is coated on Cu nanowires with dense nanoscale twin boundaries through a galvanic replacement reaction. Despite a large lattice mismatch between Ag and Cu (∼12.6%), the Ag shell replicates the twinning structure in Cu nanowires and grows epitaxially on the nanotwinned Cu nanowire. A twin-mediated growth mechanism is proposed to explain the epitaxy of high lattice-mismatch bimetallic systems in which the misfit dislocations are accommodated by coherent twin boundaries.

  9. Growth of antimony doped P-type zinc oxide nanowires for optoelectronics

    DOEpatents

    Wang, Zhong Lin; Pradel, Ken

    2016-09-27

    In a method of growing p-type nanowires, a nanowire growth solution of zinc nitrate (Zn(NO.sub.3).sub.2), hexamethylenetetramine (HMTA) and polyethylenemine (800 M.sub.w PEI) is prepared. A dopant solution to the growth solution, the dopant solution including an equal molar ration of sodium hydroxide (NaOH), glycolic acid (C.sub.2H.sub.4O.sub.3) and antimony acetate (Sb(CH.sub.3COO).sub.3) in water is prepared. The dopant solution and the growth solution combine to generate a resulting solution that includes antimony to zinc in a ratio of between 0.2% molar to 2.0% molar, the resulting solution having a top surface. An ammonia solution is added to the resulting solution. A ZnO seed layer is applied to a substrate and the substrate is placed into the top surface of the resulting solution with the ZnO seed layer facing downwardly for a predetermined time until Sb-doped ZnO nanowires having a length of at least 5 .mu.m have grown from the ZnO seed layer.

  10. Theoretical analysis of the axial growth of nanowires starting with a binary eutectic droplet via vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Liu, Qing; Li, Hejun; Zhang, Yulei; Zhao, Zhigang

    2018-06-01

    A series of theoretical analysis is carried out for the axial vapor-liquid-solid (VLS) growth of nanowires starting with a binary eutectic droplet. The growth model considering the entire process of axial VLS growth is a development of the approaches already developed by previous studies. In this model, the steady and unsteady state growth are considered both. The amount of solute species in a variable liquid droplet, the nanowire length, radius, growth rate and all other parameters during the entire axial growth process are treated as functions of growth time. The model provides theoretical predictions for the formation of nanowire shape, the length-radius and growth rate-radius dependences. It is also suggested by the model that the initial growth of single nanowire is significantly affected by Gibbs-Thompson effect due to the shape change. The model was applied on predictions of available experimental data of Si and Ge nanowires grown from Au-Si and Au-Ge systems respectively reported by other works. The calculations with the proposed model are in satisfactory agreement with the experimental results of the previous works.

  11. Nanowire growth process modeling and reliability models for nanodevices

    NASA Astrophysics Data System (ADS)

    Fathi Aghdam, Faranak

    Nowadays, nanotechnology is becoming an inescapable part of everyday life. The big barrier in front of its rapid growth is our incapability of producing nanoscale materials in a reliable and cost-effective way. In fact, the current yield of nano-devices is very low (around 10 %), which makes fabrications of nano-devices very expensive and uncertain. To overcome this challenge, the first and most important step is to investigate how to control nano-structure synthesis variations. The main directions of reliability research in nanotechnology can be classified either from a material perspective or from a device perspective. The first direction focuses on restructuring materials and/or optimizing process conditions at the nano-level (nanomaterials). The other direction is linked to nano-devices and includes the creation of nano-electronic and electro-mechanical systems at nano-level architectures by taking into account the reliability of future products. In this dissertation, we have investigated two topics on both nano-materials and nano-devices. In the first research work, we have studied the optimization of one of the most important nanowire growth processes using statistical methods. Research on nanowire growth with patterned arrays of catalyst has shown that the wire-to-wire spacing is an important factor affecting the quality of resulting nanowires. To improve the process yield and the length uniformity of fabricated nanowires, it is important to reduce the resource competition between nanowires during the growth process. We have proposed a physical-statistical nanowire-interaction model considering the shadowing effect and shared substrate diffusion area to determine the optimal pitch that would ensure the minimum competition between nanowires. A sigmoid function is used in the model, and the least squares estimation method is used to estimate the model parameters. The estimated model is then used to determine the optimal spatial arrangement of catalyst arrays

  12. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE PAGES

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin; ...

    2015-04-06

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  13. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  14. InP Nanoflag Growth from a Nanowire Template by in Situ Catalyst Manipulation.

    PubMed

    Kelrich, Alexander; Sorias, Ofir; Calahorra, Yonatan; Kauffmann, Yaron; Gladstone, Ran; Cohen, Shimon; Orenstein, Meir; Ritter, Dan

    2016-04-13

    Quasi-two-dimensional semiconductor materials are desirable for electronic, photonic, and energy conversion applications as well as fundamental science. We report on the synthesis of indium phosphide flag-like nanostructures by epitaxial growth on a nanowire template at 95% yield. The technique is based on in situ catalyst unpinning from the top of the nanowire and its induced migration along the nanowire sidewall. Investigation of the mechanism responsible for catalyst movement shows that its final position is determined by the structural defect density along the nanowire. The crystal structure of the "flagpole" nanowire is epitaxially transferred to the nanoflag. Pure wurtzite InP nanomembranes with just a single stacking fault originating from the defect in the flagpole that pinned the catalyst were obtained. Optical characterization shows efficient highly polarized photoluminescence at room temperature from a single nanoflag with up to 90% degree of linear polarization. Electric field intensity enhancement of the incident light was calculated to be 57, concentrated at the nanoflag tip. The presented growth method is general and thus can be employed for achieving similar nanostructures in other III-V semiconductor material systems with potential applications in active nanophotonics.

  15. VLS growth of alternating InAsP/InP heterostructure nanowires for multiple-quantum-dot structures.

    PubMed

    Tateno, Kouta; Zhang, Guoqiang; Gotoh, Hideki; Sogawa, Tetsuomi

    2012-06-13

    We investigated the Au-assisted growth of alternating InAsP/InP heterostructures in wurtzite InP nanowires on InP(111)B substrates for constructing multiple-quantum-dot structures. Vertical InP nanowires without stacking faults were obtained at a high PH(3)/TMIn mole flow ratio of 300-1000. We found that the growth rate changed largely when approximately 40 min passed. Ten InAsP layers were inserted in the InP nanowire, and it was found that both the InP growth rate and the background As level increased after the As supply. We also grew the same structure using TBAs/TBP and could reduce the As level in the InP segments. A simulation using a finite-difference time-domain method suggests that the nanowire growth was dominated by the diffusion of the reaction species with long residence time on the surface. For TBAs/TBP, when the source gases were changed, the formed surface species showed a short diffusion length so as to reduce the As background after the InAsP growth.

  16. Nanowire growth kinetics in aberration corrected environmental transmission electron microscopy

    DOE PAGES

    Chou, Yi -Chia; Panciera, Federico; Reuter, Mark C.; ...

    2016-03-15

    Here, we visualize atomic level dynamics during Si nanowire growth using aberration corrected environmental transmission electron microscopy, and compare with lower pressure results from ultra-high vacuum microscopy. We discuss the importance of higher pressure observations for understanding growth mechanisms and describe protocols to minimize effects of the higher pressure background gas.

  17. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    NASA Astrophysics Data System (ADS)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  18. Ultralight Conductive Silver Nanowire Aerogels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Fang; Lan, Pui Ching; Freyman, Megan C.

    Low-density metal foams have many potential applications in electronics, energy storage, catalytic supports, fuel cells, sensors, and medical devices. Here in this work, we report a new method for fabricating ultralight, conductive silver aerogel monoliths with predictable densities using silver nanowires. Silver nanowire building blocks were prepared by polyol synthesis and purified by selective precipitation. Silver aerogels were produced by freeze-casting nanowire aqueous suspensions followed by thermal sintering to weld the nanowire junctions. As-prepared silver aerogels have unique anisotropic microporous structures, with density precisely controlled by the nanowire concentration, down to 4.8 mg/cm 3 and an electrical conductivity up tomore » 51 000 S/m. Lastly, mechanical studies show that silver nanowire aerogels exhibit “elastic stiffening” behavior with a Young’s modulus up to 16 800 Pa.« less

  19. Ultralight Conductive Silver Nanowire Aerogels

    DOE PAGES

    Qian, Fang; Lan, Pui Ching; Freyman, Megan C.; ...

    2017-09-05

    Low-density metal foams have many potential applications in electronics, energy storage, catalytic supports, fuel cells, sensors, and medical devices. Here in this work, we report a new method for fabricating ultralight, conductive silver aerogel monoliths with predictable densities using silver nanowires. Silver nanowire building blocks were prepared by polyol synthesis and purified by selective precipitation. Silver aerogels were produced by freeze-casting nanowire aqueous suspensions followed by thermal sintering to weld the nanowire junctions. As-prepared silver aerogels have unique anisotropic microporous structures, with density precisely controlled by the nanowire concentration, down to 4.8 mg/cm 3 and an electrical conductivity up tomore » 51 000 S/m. Lastly, mechanical studies show that silver nanowire aerogels exhibit “elastic stiffening” behavior with a Young’s modulus up to 16 800 Pa.« less

  20. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  1. Electrochemical Growth of Single-Crystal Metal Nanowires via a Two-Dimensional Nucleation and Growth Mechanism.

    PubMed

    Tian, Mingliang; Wang, Jinguo; Kurtz, James; Mallouk, Thomas E; Chan, M H W

    2003-07-01

    Metallic nanowires (Au, Ag, Cu, Ni, Co, and Rh) with an average diameter of 40 nm and a length of 3-5 μm have been fabricated by electrodeposition in the pores of track-etched polycarbonate membranes. Structural characterizations by transmission electron microscopy (TEM) and electron diffraction showed that nanowires of Au, Ag, and Cu are single-crystalline with a preferred [111] orientation, whereas Ni, Co, and Rh wires are polycrystalline. Possible mechanisms responsible for nucleation and growth for single-crystal noble metals versus polycrystalline group VIII-B metals are discussed.

  2. Topotaxial growth of α-Fe{sub 2}O{sub 3} nanowires on iron substrate in thermal annealing method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Himanshu, E-mail: himsri@rrcat.gov.in; Srivastava, A. K.; Babu, Mahendra

    2016-06-28

    A detail cross-sectional transmission electron microscopy of as-grown α-Fe{sub 2}O{sub 3} nanowire sample, synthesized on iron substrate by thermal annealing method, was carried out to understand the mechanism of growth in this system. Iron undergoes sequential oxidation to form a layered structure of Fe/FeO/Fe{sub 3}O{sub 4}/α-Fe{sub 2}O{sub 3}. α-Fe{sub 2}O{sub 3} nanowires grow on to the top of α-Fe{sub 2}O{sub 3} layer. It was found that subsequent oxide layers grow topotaxially on the grains of iron, which results in a direct orientation relationship between the α-Fe{sub 2}O{sub 3} nanowire and the parent grain of iron. The results also showed thatmore » the grains of α-Fe{sub 2}O{sub 3} layer, which were uniquely oriented in [110] direction, undergo highly anisotropic growth to form the nanowire. This anisotropic growth occurs at a twin interface, given by (−11−1), in the α-Fe{sub 2}O{sub 3} layer. It was concluded that the growth at twin interface could be the main driving factor for such anisotropic growth. These observations are not only helpful in understanding the growth mechanism of α-Fe{sub 2}O{sub 3} nanowires, but it also demonstrates a way of patterning the nanowires by controlling the texture of iron substrate.« less

  3. High-density defects on PdAg nanowire networks as catalytic hot spots for efficient dehydrogenation of formic acid and reduction of nitrate.

    PubMed

    Liu, Hu; Yu, Yongsheng; Yang, Weiwei; Lei, Wenjuan; Gao, Manyi; Guo, Shaojun

    2017-07-13

    Controlling the surface defects of nanocrystals is a new way of tuning/boosting their catalytic properties. Herein, we report networked PdAg nanowires (NWs) with high-density defects as catalytic hot spots for efficient catalytic dehydrogenation of formic acid (FA) and catalytic reduction of nitrates. The networked PdAg NWs exhibit composition-dependent catalytic activity for the dehydrogenation reaction of FA without any additive, with Pd 5 Ag 5 NWs exhibiting the highest activity. They also show good durability, reflected by the retention of their initial activity during the dehydrogenation reaction of FA even after five cycles. Their initial TOF is 419 h -1 at 60 °C in water solution, much higher than those of the most Pd-based catalysts with a support. Moreover, they can efficiently reduce nitrates to alleviate nitrate pollution in water (conversion yield >99%). This strategy opens up a new green synthetic technique to design support-free heterogeneous catalysts with high-density defects as catalytic hot spots for efficient dehydrogenation catalysis of FA to meet the requirement of fuel cell applications and catalytic reduction of nitrates in water polluted with nitrates.

  4. Direct selective growth of ZnO nanowire arrays from inkjet-printed zinc acetate precursor on a heated substrate

    PubMed Central

    2013-01-01

    Inkjet printing of functional materials has drawn tremendous interest as an alternative to the conventional photolithography-based microelectronics fabrication process development. We introduce direct selective nanowire array growth by inkjet printing of Zn acetate precursor ink patterning and subsequent hydrothermal ZnO local growth without nozzle clogging problem which frequently happens in nanoparticle inkjet printing. The proposed process can directly grow ZnO nanowires in any arbitrary patterned shape, and it is basically very fast, low cost, environmentally benign, and low temperature. Therefore, Zn acetate precursor inkjet printing-based direct nanowire local growth is expected to give extremely high flexibility in nanomaterial patterning for high-performance electronics fabrication especially at the development stage. As a proof of concept of the proposed method, ZnO nanowire network-based field effect transistors and ultraviolet photo-detectors were demonstrated by direct patterned grown ZnO nanowires as active layer. PMID:24252130

  5. Shape Engineering Driven by Selective Growth of SnO2 on Doped Ga2O3 Nanowires.

    PubMed

    Alonso-Orts, Manuel; Sánchez, Ana M; Hindmarsh, Steven A; López, Iñaki; Nogales, Emilio; Piqueras, Javier; Méndez, Bianchi

    2017-01-11

    Tailoring the shape of complex nanostructures requires control of the growth process. In this work, we report on the selective growth of nanostructured tin oxide on gallium oxide nanowires leading to the formation of SnO 2 /Ga 2 O 3 complex nanostructures. Ga 2 O 3 nanowires decorated with either crossing SnO 2 nanowires or SnO 2 particles have been obtained in a single step treatment by thermal evaporation. The reason for this dual behavior is related to the growth direction of trunk Ga 2 O 3 nanowires. Ga 2 O 3 nanowires grown along the [001] direction favor the formation of crossing SnO 2 nanowires. Alternatively, SnO 2 forms rhombohedral particles on [110] Ga 2 O 3 nanowires leading to skewer-like structures. These complex oxide structures were grown by a catalyst-free vapor-solid process. When pure Ga and tin oxide were used as source materials and compacted powders of Ga 2 O 3 acted as substrates, [110] Ga 2 O 3 nanowires grow preferentially. High-resolution transmission electron microscopy analysis reveals epitaxial relationship lattice matching between the Ga 2 O 3 axis and SnO 2 particles, forming skewer-like structures. The addition of chromium oxide to the source materials modifies the growth direction of the trunk Ga 2 O 3 nanowires, growing along the [001], with crossing SnO 2 wires. The SnO 2 /Ga 2 O 3 junctions does not meet the lattice matching condition, forming a grain boundary. The electronic and optical properties have been studied by XPS and CL with high spatial resolution, enabling us to get both local chemical and electronic information on the surface in both type of structures. The results will allow tuning optical and electronic properties of oxide complex nanostructures locally as a function of the orientation. In particular, we report a dependence of the visible CL emission of SnO 2 on its particular shape. Orange emission dominates in SnO 2 /Ga 2 O 3 crossing wires while green-blue emission is observed in SnO 2 particles attached to Ga 2

  6. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    PubMed

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  7. Atomic Scale Analysis of the Enhanced Electro- and Photo-Catalytic Activity in High-Index Faceted Porous NiO Nanowires

    NASA Astrophysics Data System (ADS)

    Shen, Meng; Han, Ali; Wang, Xijun; Ro, Yun Goo; Kargar, Alireza; Lin, Yue; Guo, Hua; Du, Pingwu; Jiang, Jun; Zhang, Jingyu; Dayeh, Shadi A.; Xiang, Bin

    2015-02-01

    Catalysts play a significant role in clean renewable hydrogen fuel generation through water splitting reaction as the surface of most semiconductors proper for water splitting has poor performance for hydrogen gas evolution. The catalytic performance strongly depends on the atomic arrangement at the surface, which necessitates the correlation of the surface structure to the catalytic activity in well-controlled catalyst surfaces. Herein, we report a novel catalytic performance of simple-synthesized porous NiO nanowires (NWs) as catalyst/co-catalyst for the hydrogen evolution reaction (HER). The correlation of catalytic activity and atomic/surface structure is investigated by detailed high resolution transmission electron microscopy (HRTEM) exhibiting a strong dependence of NiO NW photo- and electrocatalytic HER performance on the density of exposed high-index-facet (HIF) atoms, which corroborates with theoretical calculations. Significantly, the optimized porous NiO NWs offer long-term electrocatalytic stability of over one day and 45 times higher photocatalytic hydrogen production compared to commercial NiO nanoparticles. Our results open new perspectives in the search for the development of structurally stable and chemically active semiconductor-based catalysts for cost-effective and efficient hydrogen fuel production at large scale.

  8. Length distributions of Au-catalyzed III-V nanowires in different regimes of the diffusion-induced growth

    NASA Astrophysics Data System (ADS)

    Berdnikov, Y.; Zhiglinsky, A. A.; Rylkova, M. V.; Dubrovskii, V. G.

    2017-11-01

    We present a model for kinetic broadening effects on the length distributions of Au-catalyzed III-V nanowires obtained in the growth regime with adatom diffusion from the substrate and the nanowire sidewalls to the top. We observe three different regimes for the length distribution evolution with time. For short growth times, the length distribution is sub-Poissonian, converting to broader than Poissonian with increasing the mean length above a certain threshold value. After the diffusion flux from the nanowire sidewalls has stabilized, the length distribution variance increases linearly with the mean length, as in the Poissonian process.

  9. Growth of catalyst-free high-quality ZnO nanowires by thermal evaporation under air ambient

    PubMed Central

    2012-01-01

    ZnO nanowires have been successfully fabricated on Si substrate by simple thermal evaporation of Zn powder under air ambient without any catalyst. Morphology and structure analyses indicated that ZnO nanowires had high purity and perfect crystallinity. The diameter of ZnO nanowires was 40 to 100 nm, and the length was about several tens of micrometers. The prepared ZnO nanowires exhibited a hexagonal wurtzite crystal structure. The growth of the ZnO nanostructure was explained by the vapor-solid mechanism. The simplicity, low cost and fewer necessary apparatuses of the process would suit the high-throughput fabrication of ZnO nanowires. The ZnO nanowires fabricated on Si substrate are compatible with state-of-the-art semiconductor industry. They are expected to have potential applications in functional nanodevices. PMID:22502639

  10. Aligned silica nanowires on the inner wall of bubble-like silica film: the growth mechanism and photoluminescence.

    PubMed

    Chen, Yiqing; Zhou, Qingtao; Jiang, Haifeng; Su, Yong; Xiao, Haihua; Zhu, Li-Ang; Xu, Liang

    2006-02-28

    Large area, aligned amorphous silica nanowires grow on the inner wall of bubble-like silica film, which is prepared by thermal evaporation of a molten gallium-silicon alloy in a flow of ammonia. These nanowires are 10-20 nm in diameter and 0.5-1.5 µm in length. The bubble-like silica film functions as a substrate, guiding the growth of silica nanowires by a vapour-solid process. This work helps us to clearly elucidate the growth mechanism of aligned amorphous silica nanowires, ruling out the possibility of liquid gallium acting as a nucleation substrate for the growth of the aligned silica nanowires. A broad emission band from 290 to 600 nm is observed in the photoluminescence (PL) spectrum of these nanowires. There are seven PL peaks: two blue emission peaks at 430 nm (2.88 eV) and 475 nm (2.61 eV); and five ultraviolet emission peaks at 325 nm (3.82 eV), 350 nm (3.54 eV), 365 nm (3.40 eV), 385 nm (3.22 eV) and 390 nm (3.18 eV), which may be related to various oxygen defects.

  11. Formation of ultralong copper nanowires by hydrothermal growth for transparent conducting applications

    NASA Astrophysics Data System (ADS)

    Balela, Mary Donnabelle L.; Tan, Michael

    2017-07-01

    Transparent conducting electrodes are key components of optoelectronic devices, such as touch screens, organic light emitting diodes (OLEDs) and solar cells. Recent market surveys have shown that the demands for these devices are rapidly growing at a tremendous rate. Semiconducting oxides, in particular indium tin oxide (ITO) are the material of choice for transparent conducting electrodes. However, these conventional oxides are typically brittle, which limits their applicability in flexible electronics. Metal nanowires, e.g. copper (Cu) nanowires, are considered as the best candidate as substitute for ITO due to their excellent mechanical and electrical properties. In this paper, ultralong copper (Cu) nanowires with were successfully prepared by hydrothermal growth at 50-80°C for 1 h. Ethylenediamine was employed as the structure-directing agents, while hydrazine was used as the reductant. In situ mixed potential measurement was also carried out to monitor Cu deposition. Higher temperature shifted the mixed potential negatively, leading to thicker Cu nanowires. Transparent conducting electrode, with a sheet resistance of 197 Ω sq-1 at an optical transmittance of around 61 %, was fabricated with the Cu nanowire ink.

  12. Tailoring the vapor-liquid-solid growth toward the self-assembly of GaAs nanowire junctions.

    PubMed

    Dai, Xing; Dayeh, Shadi A; Veeramuthu, Vaithianathan; Larrue, Alexandre; Wang, Jian; Su, Haibin; Soci, Cesare

    2011-11-09

    New insights into understanding and controlling the intriguing phenomena of spontaneous merging (kissing) and the self-assembly of monolithic Y- and T-junctions is demonstrated in the metal-organic chemical vapor deposition growth of GaAs nanowires. High-resolution transmission electron microscopy for determining polar facets was coupled to electrostatic-mechanical modeling and position-controlled synthesis to identify nanowire diameter, length, and pitch, leading to junction formation. When nanowire patterns are designed so that the electrostatic energy resulting from the interaction of polar surfaces exceeds the mechanical energy required to bend the nanowires to the point of contact, their fusion can lead to the self-assembly of monolithic junctions. Understanding and controlling this phenomenon is a great asset for the realization of dense arrays of vertical nanowire devices and opens up new ways toward the large scale integration of nanowire quantum junctions or nanowire intracellular probes.

  13. Enhanced electronic and electrochemical properties of core-shelled V2O5-Pt nanowires

    NASA Astrophysics Data System (ADS)

    Pan, Ko-Ying; Wei, Da-Hua

    2018-01-01

    Platinum nanoparticles (Pt NPs) were decorated on vanadium pentoxide nanowires (V2O5 NWs) to form the core-shelled vanadium-platinum nanowires (Pt@V2O5 NWs) and their electrochemical activities for methanol oxidation were investigated. The synthetic procedure involved the synthesis of abundant vanadium pentoxide nanowires (V2O5 NWs) by a direct vapor-solid growth process (VS method), followed by atomic layer depositions (ALD) of platinum nanoparticles (Pt NPs) onto the V2O5 NWs. After the physical examinations, three designed deposition parameters (50, 100 and 150 cycles) of Pt NPs onto the V2O5 NWs by ALD process were successful. From the measurements of current-voltage (I-V) and cyclic voltammetry (CV) curves respectively, both the conductivity and the ratio of the forward anodic peak current (IF) to the reverse anodic peak current (IR) are enhancing proportionately to the deposition cycles of ALD process, which denotes that coating Pt atomic layers onto V2O5 nanowires indeed improves the catalytic performances than that of pure V2O5 nanowires.

  14. Guided Growth of Horizontal ZnSe Nanowires and their Integration into High-Performance Blue-UV Photodetectors.

    PubMed

    Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2015-07-15

    Perfectly aligned horizontal ZnSe nano-wires are obtained by guided growth, and easily integrated into high-performance blue-UV photodetectors. Their crystal phase and crystallographic orientation are controlled by the epitaxial relations with six different sapphire planes. Guided growth paves the way for the large-scale integration of nanowires into optoelectronic devices. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Bottle-brush-shaped heterostructures of NiO-ZnO nanowires: growth study and sensing properties

    NASA Astrophysics Data System (ADS)

    Baratto, C.; Kumar, R.; Comini, E.; Ferroni, M.; Campanini, M.

    2017-11-01

    We present here heterostructured ZnO-NiO nanowires (NWs), constituted by a core of single crystalline ZnO NWs, covered by poly-crystalline NiO nanorods (NRs). The bottle-brush shape was investigated by scanning electron microscopy and transmission electron microscope, confirming that a columnar growth of NiO occurred over the ZnO core, with a preferred orientation of NiO over ZnO NWs. The heterostructured devices are proposed for gas sensing application. Bare ZnO NWs and heterostructured sensors with two different thicknesses of NiO poly-crystalline NRs were analysed for acetone, ethanol, NO2 and H2 detection. All sensors maintained n-type sensing mechanism, with improved sensing performance for lower thickness of NiO, due to high catalytic activity of NiO. The sensing dynamic is also strongly modified by the presence of heterojunction of NiO/ZnO, with a reduction of response and recovery times towards ethanol and acetone at 400 °C.

  16. Growth Texture and Mechanism of Zinc Nanowires Produced by Mechanical Elongation of Nanocontacts.

    PubMed

    Yamabe, Kammu; Kizuka, Tokushi

    2018-01-01

    Two zinc nanotips were brought into contact and elongated inside a transmission electron microscope, thereby growing single-crystal nanowires. The growth dynamics was observed in situ via a lattice imaging method. The preferential crystal growth directions were identified as [101-0], [112-0], [101-2-], and [0001]. Of these, the nanowires grown along the [101-0] and [112-0] directions accounted for 75% of the total and were surrounded by low-energy side surfaces, i.e., {0001}, {101-1}, and {101-0}. On the basis of these features, models of the nanowire morphology were proposed. In either growth direction, the tensile force aligned parallel to the direction along which slip events corresponding to the predominant slip system were unlikely to occur. This led to a high tensile stress for extracting atoms from the growth region, i.e., the promotion of nanowire growth.

  17. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  18. Investigation of growth parameters influence on self-catalyzed ITO nanowires by high RF-power sputtering.

    PubMed

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-02-15

    ITO nanowires have been successfully fabricated using a radio-frequency sputtering technique with a high RF-power of 250W. The fabrication of the ITO nanowires has been optimized through the study of oxygen flow rates, temperatures and RF-power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target has been first observed and the mechanism for the difference has been discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method has demonstrated good conductivity (15Ω/sq) and a transmittance of more than 64% at a wavelength longer than 550nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices. © 2018 IOP Publishing Ltd.

  19. Growth control, structure, chemical state, and photoresponse of CuO-CdS core-shell heterostructure nanowires.

    PubMed

    El Mel, A A; Buffière, M; Bouts, N; Gautron, E; Tessier, P Y; Henzler, K; Guttmann, P; Konstantinidis, S; Bittencourt, C; Snyders, R

    2013-07-05

    The growth of single-crystal CuO nanowires by thermal annealing of copper thin films in air is studied. We show that the density, length, and diameter of the nanowires can be controlled by tuning the morphology and structure of the copper thin films deposited by DC magnetron sputtering. After identifying the optimal conditions for the growth of CuO nanowires, chemical bath deposition is employed to coat the CuO nanowires with CdS in order to form p-n nanojunction arrays. As revealed by high-resolution TEM analysis, the thickness of the polycrystalline CdS shell increases when decreasing the diameter of the CuO core for a given time of CdS deposition. Near-edge x-ray absorption fine-structure spectroscopy combined with transmission x-ray microscopy allows the chemical analysis of isolated nanowires. The absence of modification in the spectra at the Cu L and O K edges after the deposition of CdS on the CuO nanowires indicates that neither Cd nor S diffuse into the CuO phase. We further demonstrate that the core-shell nanowires exhibit the I-V characteristic of a resistor instead of a diode. The electrical behavior of the device was found to be photosensitive, since increasing the incident light intensity induces an increase in the collected electrical current.

  20. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  1. Controlled Growth of Parallel Oriented ZnO Nanostructural Arrays on Ga2O3 Nanowires

    DTIC Science & Technology

    2008-11-01

    Controlled Growth of Parallel Oriented ZnO Nanostructural Arrays on Ga2O3 Nanowires Lena Mazeina,* Yoosuf N. Picard, and Sharka M. Prokes Electronics...Manuscript ReceiVed NoVember 6, 2008 ABSTRACT: Novel hierarchical ZnO- Ga2O3 nanostructures were fabricated via a two stage growth process. Nanowires of Ga2O3 ...nanobrushes (NBs) with Ga2O3 as the core and ZnO as the branches self-assembling symmetrically in six equiangular directions around the core

  2. Fabrication and characterization of a flow-through nanoporous gold nanowire/AAO composite membrane.

    PubMed

    Liu, L; Lee, W; Huang, Z; Scholz, R; Gösele, U

    2008-08-20

    The fabrication of a composite membrane of nanoporous gold nanowires and anodic aluminum oxide (AAO) is demonstrated by the electrodeposition of Au-Ag alloy nanowires into an AAO membrane, followed by selective etching of silver from the alloy nanowires. This composite membrane is advantageous for flow-through type catalytic reactions. The morphology evolution of the nanoporous gold nanowires as a function of the diameter of the Au-Ag nanowire 'precursors' is also investigated.

  3. Vapor-liquid-solid epitaxial growth of Si 1-xGe x alloy nanowires. Composition dependence on precursor reactivity and morphology control for vertical forests

    DOE PAGES

    Choi, S. G.; Manandhar, P.; Picraux, S. T.

    2015-07-07

    The growth of high-density group IV alloy nanowire forests is critical for exploiting their unique functionalities in many applications. Here, the compositional dependence on precursor reactivity and optimized conditions for vertical growth are studied for Si 1- x Ge x alloy nanowires grown by the vapor-liquid-solid method. The nanowire composition versus gas partial-pressure ratio for germane-silane and germane-disilane precursor combinations is obtained at 350°C over a wide composition range (0.05 ≤ x ≤ 0.98) and a generalized model to predict composition for alloy nanowires is developed based on the relative precursor partial pressures and reactivity ratio. In combination with germane,more » silane provides more precise compositional control at high Ge concentrations (x > 0.7), whereas disilane greatly increases the Si concentration for a given gas ratio and enables more precise alloy compositional control at small Ge concentrations (x < 0.3). Vertically oriented, non-kinking nanowire forest growth on Si (111) substrates is then discussed for silane/germane over a wide range of compositions, with temperature and precursor partial pressure optimized by monitoring the nanowire growth front using in-situ optical reflectance. For high Ge compositions (x ≈ 0.9), a “two-step” growth approach with nucleation at higher temperatures results in nanowires with high-density and uniform vertical orientation. Furthermore, increasing Si content (x ≈ 0.8), the optimal growth window is shifted to higher temperatures, which minimizes nanowire kinking morphologies. For Si-rich Si 1- x Ge x alloys (x ≈ 0.25), vertical nanowire growth is enhanced by single-step, higher-temperature growth at reduced pressures.« less

  4. Growth and Characterization of Chalcogenide Alloy Nanowires with Controlled Spatial Composition Variation for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Nichols, Patricia

    The energy band gap of a semiconductor material critically influences the operating wavelength of an optoelectronic device. Realization of any desired band gap, or even spatially graded band gaps, is important for applications such as lasers, light-emitting diodes (LEDs), solar cells, and detectors. Compared to thin films, nanowires offer greater flexibility for achieving a variety of alloy compositions. Furthermore, the nanowire geometry permits simultaneous incorporation of a wide range of compositions on a single substrate. Such controllable alloy composition variation can be realized either within an individual nanowire or between distinct nanowires across a substrate. This dissertation explores the control of spatial composition variation in ternary alloy nanowires. Nanowires were grown by the vapor-liquid-solid (VLS) mechanism using chemical vapor deposition (CVD). The gas-phase supersaturation was considered in order to optimize the deposition morphology. Composition and structure were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive x-ray spectroscopy (EDS), and x-ray diffraction (XRD). Optical properties were investigated through photoluminescence (PL) measurements. The chalcogenides selected as alloy endpoints were lead sulfide (PbS), cadmium sulfide (CdS), and cadmium selenide (CdSe). Three growth modes of PbS were identified, which included contributions from spontaneously generated catalyst. The resulting wires were found capable of lasing with wavelengths over 4000 nm, representing the longest known wavelength from a sub-wavelength wire. For CdxPb1-xS nanowires, it was established that the cooling process significantly affects the alloy composition and structure. Quenching was critical to retain metastable alloys with x up to 0.14, representing a new composition in nanowire form. Alternatively, gradual cooling caused phase segregation, which created heterostructures with light emission in

  5. Template-Assisted Scalable Nanowire Networks.

    PubMed

    Friedl, Martin; Cerveny, Kris; Weigele, Pirmin; Tütüncüoglu, Gozde; Martí-Sánchez, Sara; Huang, Chunyi; Patlatiuk, Taras; Potts, Heidi; Sun, Zhiyuan; Hill, Megan O; Güniat, Lucas; Kim, Wonjong; Zamani, Mahdi; Dubrovskii, Vladimir G; Arbiol, Jordi; Lauhon, Lincoln J; Zumbühl, Dominik M; Fontcuberta I Morral, Anna

    2018-04-11

    Topological qubits based on Majorana Fermions have the potential to revolutionize the emerging field of quantum computing by making information processing significantly more robust to decoherence. Nanowires are a promising medium for hosting these kinds of qubits, though branched nanowires are needed to perform qubit manipulations. Here we report a gold-free templated growth of III-V nanowires by molecular beam epitaxy using an approach that enables patternable and highly regular branched nanowire arrays on a far greater scale than what has been reported thus far. Our approach relies on the lattice-mismatched growth of InAs on top of defect-free GaAs nanomembranes yielding laterally oriented, low-defect InAs and InGaAs nanowires whose shapes are determined by surface and strain energy minimization. By controlling nanomembrane width and growth time, we demonstrate the formation of compositionally graded nanowires with cross-sections less than 50 nm. Scaling the nanowires below 20 nm leads to the formation of homogeneous InGaAs nanowires, which exhibit phase-coherent, quasi-1D quantum transport as shown by magnetoconductance measurements. These results are an important advance toward scalable topological quantum computing.

  6. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  7. Tailoring the Hydrothermal Synthesis of Stainless Steel Wire Sieve-Supported Ag-Doped ZnO Nanowires to Optimize Their Photo-catalytic Activity

    NASA Astrophysics Data System (ADS)

    Jing, W. X.; Shi, J. F.; Xu, Z. P.; Jiang, Z. D.; Wei, Z. Y.; Zhou, F.; Wu, Q.; Cui, Q. B.

    2018-03-01

    Batches of un-doped and Ag-doped ZnO nanowires (ZnONWs) were prepared hydrothermally on stainless steel wire sieves at varied Zn2+ concentrations of the growth solution and at different Ag+ concentrations of the silver nitrate solution. Methylene blue solution was degraded with these as-prepared ZnONWs in the presences of ultraviolet irradiation. It is found that both the processing parameters greatly affect the surface textures, wettability, and photo-activity of the ZnONWs. The latter synthesizing parameter is optimized only after the former one has been finely regulated. The un-doped and Ag-doped ZnONWs at Zn2+ concentration of 75 mM of the growth solution and at Ag+ concentration of3 mM of the silver nitrate solution both produce Gaussian rough surfaces and in each batch are most hydrophilic. Therefore, in the related batch the contacting surface area of the catalyst is the largest, the hydroxyl radicals attached on the top ends of corresponding ZnONWs the most, and the catalytic activity of these catalysts the optimal. Besides these, the latter synthesizing parameter affects the photo-activity of Ag-doped ZnONWs more significantly than the former one does that of un-doped ZnONWs.

  8. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  9. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  10. Understanding the Vapor-Liquid-Solid and Vapor-Solid-Solid Mechanisms of Si Nanowire Growth to Synthetically Encode Precise Nanoscale Morphology

    NASA Astrophysics Data System (ADS)

    Pinion, Christopher William

    Precise patterning of semiconductor materials utilizing top-down lithographic techniques is integral to the advanced electronics we use on a daily basis. However, continuing development of these lithographic technologies often results in the trade-off of either high cost or low throughput, and three-dimensional (3D) patterning can be difficult to achieve. Bottom-up, chemical methods to control the 3D nanoscale morphology of semiconductor nanostructures have received significant attention as a complementary technique. Semiconductor nanowires, nanoscale filaments of semiconductor material 10-500 nm in diameter and 1-50 microns in length, are an especially promising platform because the wire composition can be modulated during growth and the high aspect ratio, one-dimensional structure enables integration in a range of devices. In this thesis, we first report a bottom-up method to break the conventional "wire" symmetry and synthetically encode a high-resolution array of arbitrary shapes along the nanowire growth axis. Rapid modulation of phosphorus doping combined with selective wet-chemical etching enables morphological features as small as 10 nm to be patterned over wires more than 50 ?m in length. Next, our focus shifts to more fundamental studies of the nanowire synthetic mechanisms. We presented comprehensive experimental measurements on the growth rate of Au catalyzed Si nanowires and developed a kinetic model of vapor-liquid-solid growth. Our analysis revealed an abrupt transition from a diameter-independent growth rate that is limited by incorporation to a diameter-dependent growth rate that is limited by crystallization. While investigating the vapor-liquid-solid mechanism, we noticed instances of unique catalyst behavior. Upon further study, we showed that it is possible to instantaneously and reversibly switch the phase of the catalyst between a liquid and superheated solid state under isothermal conditions above the eutectic temperature. The solid catalyst

  11. Growth strategies to control tapering in Ge nanowires

    NASA Astrophysics Data System (ADS)

    Periwal, P.; Baron, T.; Gentile, P.; Salem, B.; Bassani, F.

    2014-04-01

    We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs). Ge NWs were grown on Si (111) substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  12. Zn(1-x)MnxTe diluted magnetic semiconductor nanowires grown by molecular beam epitaxy.

    PubMed

    Zaleszczyk, Wojciech; Janik, Elzbieta; Presz, Adam; Dłuzewski, Piotr; Kret, Sławomir; Szuszkiewicz, Wojciech; Morhange, Jean-François; Dynowska, Elzbieta; Kirmse, Holm; Neumann, Wolfgang; Petroutchik, Aleksy; Baczewski, Lech T; Karczewski, Grzegorz; Wojtowicz, Tomasz

    2008-11-01

    It is shown that the growth of II-VI diluted magnetic semiconductor nanowires is possible by the catalytically enhanced molecular beam epitaxy (MBE). Zn(1-x)MnxTe NWs with manganese content up to x=0.60 were produced by this method. X-ray diffraction, Raman spectroscopy, and temperature dependent photoluminescence measurements confirm the incorporation of Mn(2+) ions in the cation substitutional sites of the ZnTe matrix of the NWs.

  13. Preparation and electrochemistry of Pd-Ni/Si nanowire nanocomposite catalytic anode for direct ethanol fuel cell.

    PubMed

    Miao, Fengjuan; Tao, Bairui; Chu, Paul K

    2012-04-28

    A new silicon-based anode suitable for direct ethanol fuel cells (DEFCs) is described. Pd-Ni nanoparticles are coated on Si nanowires (SiNWs) by electroless co-plating to form the catalytic materials. The electrocatalytic properties of the SiNWs and ethanol oxidation on the Pd-Ni catalyst (Pd-Ni/SiNWs) are investigated electrochemically. The effects of temperature and working potential limit in the anodic direction on ethanol oxidation are studied by cyclic voltammetry. The Pd-Ni/SiNWs electrode exhibits higher electrocatalytic activity and better long-term stability in an alkaline solution. It also yields a larger current density and negative onset potential thus boding well for its application to fuel cells. This journal is © The Royal Society of Chemistry 2012

  14. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    NASA Astrophysics Data System (ADS)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  15. Stages in molecular beam epitaxy growth of GaAs nanowires studied by x-ray diffraction.

    PubMed

    Mariager, Simon O; Lauridsen, Søren L; Sørensen, Claus B; Dohn, Asmus; Willmott, Phillip R; Nygård, Jesper; Feidenhans'l, Robert

    2010-03-19

    GaAs nanowires were grown by molecular beam epitaxy and studied by glancing-angle x-ray diffraction during five different stages of the growth process. An entire forest of randomly positioned epitaxial nanowires was sampled simultaneously and a large variation in the Au-Ga catalyst was found. Au, AuGa, AuGa(2) and the hexagonal beta phase were all identified in several orientations and in similar amounts. The nanowires are shown to consist of regular zinc blende crystal, its twin and the hexagonal wurtzite. The evolution of the various Au-Ga catalysts and the development in the twin to the wurtzite abundance ratio indicate that the Au catalyst is saturated upon initiation of growth leading to an increased amount of wurtzite structure in the wires. A specular x-ray scan identifies the various Au-Ga alloys, three Au lattice constants and a rough interface between nanowires and catalyst. Reciprocal space maps were obtained around Au Bragg points and show the development of the Au catalyst from a distribution largely oriented with respect to the lattice to a non-uniform distribution with several well-defined lattice constants.

  16. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    PubMed

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  17. Investigation of the influence of growth parameters on self-catalyzed ITO nanowires by high RF-power sputtering

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-04-01

    Tin-doped indium oxide (ITO) nanowires are successfully fabricated using a radio frequency (RF) sputtering technique with a high RF power of 250 W. The fabrication of the ITO nanowires is optimized through the study of oxygen flow rates, temperatures and RF power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target is observed and the mechanism for the difference is discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method demonstrated good conductivity (15 Ω sq-1) and a transmittance of more than 64% at a wavelength longer than 550 nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices.

  18. Mono- and polynucleation, atomistic growth, and crystal phase of III-V nanowires under varying group V flow

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2015-05-01

    We present a refined model for the vapor-liquid-solid growth and crystal structure of Au-catalyzed III-V nanowires, which revisits several assumptions used so far and is capable of describing the transition from mononuclear to polynuclear regime and ultimately to regular atomistic growth. We construct the crystal phase diagrams and calculate the wurtzite percentages, elongation rates, critical sizes, and polynucleation thresholds of Au-catalyzed GaAs nanowires depending on the As flow. We find a non-monotonic dependence of the crystal phase on the group V flow, with the zincblende structure being preferred at low and high group V flows and the wurtzite structure forming at intermediate group V flows. This correlates with most of the available experimental data. Finally, we discuss the atomistic growth picture which yields zincblende crystal structure and should be very advantageous for fabrication of ternary III-V nanowires with well-controlled composition and heterointerfaces.

  19. Controlled Growth of Rubrene Nanowires by Eutectic Melt Crystallization

    NASA Astrophysics Data System (ADS)

    Chung, Jeyon; Hyon, Jinho; Park, Kyung-Sun; Cho, Boram; Baek, Jangmi; Kim, Jueun; Lee, Sang Uck; Sung, Myung Mo; Kang, Youngjong

    2016-03-01

    Organic semiconductors including rubrene, Alq3, copper phthalocyanine and pentacene are crystallized by the eutectic melt crystallization. Those organic semiconductors form good eutectic systems with the various volatile crystallizable additives such as benzoic acid, salicylic acid, naphthalene and 1,3,5-trichlorobenzene. Due to the formation of the eutectic system, organic semiconductors having originally high melting point (Tm > 300 °C) are melted and crystallized at low temperature (Te = 40.8-133 °C). The volatile crystallizable additives are easily removed by sublimation. For a model system using rubrene, single crystalline rubrene nanowires are prepared by the eutectic melt crystallization and the eutectic-melt-assisted nanoimpinting (EMAN) technique. It is demonstrated that crystal structure and the growth direction of rubrene can be controlled by using different volatile crystallizable additives. The field effect mobility of rubrene nanowires prepared using several different crystallizable additives are measured and compared.

  20. Enhanced lithium ion battery cycling of silicon nanowire anodes by template growth to eliminate silicon underlayer islands.

    PubMed

    Cho, Jeong-Hyun; Picraux, S Tom

    2013-01-01

    It is well-known that one-dimensional nanostructures reduce pulverization of silicon (Si)-based anode materials during Li ion cycling because they allow lateral relaxation. However, even with improved designs, Si nanowire-based structures still exhibit limited cycling stability for extended numbers of cycles, with the specific capacity retention with cycling not showing significant improvements over commercial carbon-based anode materials. We have found that one important reason for the lack of long cycling stability can be the presence of milli- and microscale Si islands which typically form under nanowire arrays during their growth. Stress buildup in these Si island underlayers with cycling results in cracking, and the loss of specific capacity for Si nanowire anodes, due to progressive loss of contact with current collectors. We show that the formation of these parasitic Si islands for Si nanowires grown directly on metal current collectors can be avoided by growth through anodized aluminum oxide templates containing a high density of sub-100 nm nanopores. Using this template approach we demonstrate significantly enhanced cycling stability for Si nanowire-based lithium-ion battery anodes, with retentions of more than ~1000 mA·h/g discharge capacity over 1100 cycles.

  1. Nanowire growth by an electron beam induced massive phase transformation

    DOE PAGES

    Sood, Shantanu; Kisslinger, Kim; Gouma, Perena

    2014-11-15

    Tungsten trioxide nanowires of a high aspect ratio have been synthesized in-situ in a TEM under an electron beam of current density 14A/cm² due to a massive polymorphic reaction. Sol-gel processed pseudocubic phase nanocrystals of tungsten trioxide were seen to rapidly transform to one dimensional monoclinic phase configurations, and this reaction was independent of the substrate on which the material was deposited. The mechanism of the self-catalyzed polymorphic transition and accompanying radical shape change is a typical characteristic of metastable to stable phase transformations in nanostructured polymorphic metal oxides. A heuristic model is used to confirm the metastable to stablemore » growth mechanism. The findings are important to the control electron beam deposition of nanowires for functional applications starting from colloidal precursors.« less

  2. Nucleation and growth mechanism of Co-Pt alloy nanowires electrodeposited within alumina template

    NASA Astrophysics Data System (ADS)

    Srivastav, Ajeet K.; Shekhar, Rajiv

    2015-01-01

    Co-Pt alloy nanowires were electrodeposited by direct current electrodeposition within nanoporous alumina templates with varying deposition potentials. The effect of deposition potential on nucleation and growth mechanisms during electrodeposition of Co-Pt alloy nanowires was investigated. The less negative deposition potential (-0.9 V) favours the instantaneous nucleation mechanism. The positive deviation from theoretical instantaneous and progressive nucleation mechanisms occurs at higher negative deposition potentials. The hysteresis behaviour and magnetic properties of electrodeposited Co-Pt alloy nanowires altered with varying deposition potential. The easy magnetization direction was in direction perpendicular to the wire axis. The deposition potential dependent change in hysteresis behaviour with increased coercivity and scattered remanence ratio was observed. This is attributed to better crystallinity with reduced defect density and hydrogen evolution causing structural changes at more negative deposition potentials.

  3. Growth and characterization of β-Ga2O3 nanowires obtained on not-catalyzed and Au/Pt catalyzed substrates

    NASA Astrophysics Data System (ADS)

    Calestani, Davide; Alabi, Aderemi Babatunde; Coppedè, Nicola; Villani, Marco; Lazzarini, Laura; Fabbri, Filippo; Salviati, Giancarlo; Zappettini, Andrea

    2017-01-01

    In recent years, a large interest has been reported on low-dimensional β-Ga2O3 structures, like nanowires, nanobelts, nanorods or nanosheets, because of their peculiar and sometimes superior properties. These properties, however, can be strongly affected by the growth procedure, especially if metal growth catalysts are used. In this work we report the successful synthesis of β-Ga2O3 nanowires/nanobelts using a simple combination of thermal evaporation of a metallic Ga source and controlled oxidation. The same growth procedure has been used to grow nanostructures on different kind of substrates (silicon and alumina), without catalyst as well as with Au or Pt deposited on the substrates, in order to promote the nucleation of nanowires. The morphological, structural and optical properties of the obtained nanostructures have been characterized and compared. Different growth distributions on the substrates and possible growth mechanisms have been highlighted, while a strong increase in luminescence intensity has been observed on samples grown with Au and Pt catalysts.

  4. Synthesis and visible-light-induced catalytic activity of Ag2S-coupled TiO2 nanoparticles and nanowires

    NASA Astrophysics Data System (ADS)

    Xie, Yi; Heo, Sung Hwan; Kim, Yong Nam; Yoo, Seung Hwa; Cho, Sung Oh

    2010-01-01

    We present the synthesis and visible-light-induced catalytic activity of Ag2S-coupled TiO2 nanoparticles (NPs) and TiO2 nanowires (NWs). Through a simple wet chemical process from a mixture of peroxo titanic acid (PTA) solution, thiourea and AgAc, a composite of Ag2S NPs and TiO2 NPs with sizes of less than 7 nm was formed. When the NP composite was further treated with NaOH solution followed by annealing at ambient conditions, a new nanocomposite material comprising Ag2S NPs on TiO2 NWs was created. Due to the coupling with such a low bandgap material as Ag2S, the TiO2 nanocomposites could have a visible-light absorption capability much higher than that of pure TiO2. As a result, the synthesized Ag2S/TiO2 nanocomposites exhibited much higher catalytic efficiency for the decomposition of methyl orange than commercial TiO2 (Degussa P25, Germany) under visible light.

  5. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    PubMed Central

    Panciera, F.; Chou, Y.-C.; Reuter, M.C.; Zakharov, D.; Stach, E.A.; Hofmann, S.; Ross, F.M.

    2016-01-01

    Nanowire growth by the vapor-liquid-solid process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid state lighting and single photon sources to thermoelectric devices. Here we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyze nanowire growth as a “mixing bowl”, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystals that are then incorporated into the nanowires by further growth. We demonstrate this concept by epitaxially incorporating metal silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures. PMID:26168344

  6. Autoclave growth, magnetic, and optical properties of GdB6 nanowires

    NASA Astrophysics Data System (ADS)

    Han, Wei; Wang, Zhen; Li, Qidong; Liu, Huatao; Fan, Qinghua; Dong, Youzhong; Kuang, Quan; Zhao, Yanming

    2017-12-01

    High-quality single crystalline gadolinium hexaboride (GdB6) nanowires have been successfully prepared at very low temperatures of 200-240 °C by a high pressure solid state (HPSS) method in an autoclave with a new chemical reaction route, where Gd, H3BO3, Mg and I2 were used as raw materials. The crystal structure, morphology, valence, magnetic and optical absorption properties were investigated using XRD, FESEM, HRTEM, XPS, SQUID magnetometry and optical measurements. HRTEM images and SAED patterns reveal that the GdB6 nanowires are single crystalline with a preferred growth direction along [001]. The XPS spectrum suggests that the valence of Gd ion in GdB6 is trivalent. The effective magnetic momentum per Gd3+ in GdB6 is about 6.26 μB. The optical properties exhibit weak absorption in the visible light range, but relatively strong absorbance in the NIR and UV range. Low work function and high NIR absorption can make GdB6 nanowires a potential solar radiation shielding material for solar cells or other NIR blocking applications.

  7. Electrodeposition of Rhodium Nanowires Arrays and Their Morphology-Dependent Hydrogen Evolution Activity

    PubMed Central

    Zhang, Liqiu; Liu, Lichun; Wang, Hongdan; Shen, Hongxia; Cheng, Qiong; Yan, Chao; Park, Sungho

    2017-01-01

    This work reports on the electrodeposition of rhodium (Rh) nanowires with a controlled surface morphology synthesized using an anodic aluminum oxide (AAO) template. Vertically aligned Rh nanowires with a smooth and coarse morphology were successfully deposited by adjusting the electrode potential and the concentration of precursor ions and by involving a complexing reagent in the electrolyte solution. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses were used to follow the morphological evolution of Rh nanowires. As a heterogeneous electrocatalyst for hydrogen evolution reactions (HER), the coarse Rh nanowire array exhibited an enhanced catalytic performance respect to smooth ones due to the larger surface area to mass ratio and the higher density of catalytically active defects, as evidenced by voltammetric measurements and TEM. Results suggest that the morphology of metallic nanomaterials could be readily engineered by electrodeposition. The controlled electrodeposition offers great potential for the development of an effective synthesis tool for heterogeneous catalysts with a superior performance for wide applications. PMID:28467375

  8. PREFACE: Synthesis and integration of nanowires

    NASA Astrophysics Data System (ADS)

    Samuelson, L.

    2006-06-01

    The field of semiconductor nanowires has attracted much attention in recent years, from the areas of basic materials science, advanced characterization and technology, as well as from the perspective of the applications of nanowires. Research on large-sized whiskers and wires had already begun in the 1960s with the pioneering work of Wagner, as well as by other researchers. It was, however, in the early 1990s that Kenji Hiruma at Hitachi Central Research Laboratories in Japan first succeeded in developing methods for the growth of nanowires with dimensions on the scale of 10-100 nm, thereby initiating the field of growth and applications of nanowires, with a strong emphasis on epitaxial nucleation of nanowires on a single-crystalline substrate. Starting from the mid-1990s, the field developed very rapidly with the number of papers on the subject growing from ten per year to several thousand papers on the subject published annually today, although with a rather generous definition of the concept of nanowires. With this rapid development we have seen many new and different approaches to the growth of nanowires, technological advances leading to a more well-controlled formation of nanowires, new innovative methods for the characterization of structures, as well as a wealth of approaches towards the use of nanowires in electronics, photonics and sensor applications. This issue contains contributions from many different laboratories, each adding significant detail to the development of the field of research. The contributions cover issues such as basic growth, advanced characterization and technology, and application of nanowires. I would like to acknowledge the shared responsibilities for this special issue of Nanotechnology on the synthesis and integration of nanowires with my co-Editors, S Tong Lee and M Sunkara, as well as the highly professional support from Dr Nina Couzin, Dr Ian Forbes and the Nanotechnology team from the Institute of Physics Publishing.

  9. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    PubMed

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  10. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    DOE PAGES

    Panciera, F.; Chou, Y. -C.; Reuter, M. C.; ...

    2015-07-13

    Nanowire growth by the vapour–liquid–solid (VLS) process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid-state lighting and single-photon sources to thermoelectric devices. Here, we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyse nanowire growth as a ‘mixing bowl’, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystalsmore » that are then incorporated into the nanowires by further growth. Furthermore, we demonstrate this concept by epitaxially incorporating metal-silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures.« less

  11. Catalyst-free, III-V nanowire photovoltaics

    NASA Astrophysics Data System (ADS)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  12. Simultaneous growth of pure hyperbranched Zn3As2 structures and long Ga2O3 nanowires.

    PubMed

    Li, Jianye; Wang, Lung-Shen; Buchholz, D Bruce; Chang, Robert P H

    2009-05-01

    Through a facile and highly repeatable chemical vapor method, pure three-dimensional hyperbranched Zn(3)As(2) structures and ultralong Ga(2)O(3) nanowires were simultaneously grown with controllable locations in the same experiment. The hyperbranched Zn(3)As(2) consists of cone-shaped submicro-/nanowires and has a single-crystalline tetragonal structure. This is the first report of nano Zn(3)As(2) and hyperbranched Zn(3)As(2) structures. The as-grown Ga(2)O(3) nanowires are monoclinic single crystals. A vapor-solid-solid mechanism is suggested for the growth of the Ga(2)O(3) nanowires, and a vapor-solid mechanism, for the Zn(3)As(2) structures.

  13. Self-limited growth of the CaF nanowire on the Si(5 5 12)-2 × 1 template

    NASA Astrophysics Data System (ADS)

    Kim, Hidong; Duvjir, Ganbat; Dugerjav, Otgonbayar; Li, Huiting; Motlak, Moaaed; Arvisbaatar, Amarmunkh; Seo, Jae M.

    2012-10-01

    The atomic structure and interfacial bonding of the ordered-and-isolated CaF nanowires on Si(5 5 12)-2 × 1 have been disclosed by scanning tunneling microscopy and synchrotron photoemission spectroscopy. Initially, CaF molecules dissociated from thermally deposited CaF2 molecules are adsorbed preferentially on the chain structures of Si(5 5 12)-2 × 1 held at 500 °C. With increasing CaF2 deposition amount, one-dimensional (1D) CaF nanowires composed of (113) and (111) facets are formed. The line density of these CaF nanowires increases as a function of deposition amount. Finally, at a submonolayer coverage, the surface is saturated with these 1D nanowires except for the (225) subunit, while the original period of Si(5 5 12)-2 × 1, 5.35 nm, is preserved. It has been deduced by the present studies that, owing to these preferential adsorption of CaF and facet-dependent growth of a CaF layer within a unit periodic length of Si(5 5 12)-2 × 1, such a self-limited growth of the CaF nanowire with a high aspect ratio becomes possible.

  14. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  15. Lattice diffusion and vapor solid growths forming nanoarchitectures on ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Sombrio, Guilherme; Rivaldo-Gómez, C. M.; Pomar, Cesar A. D.; Souza, Jose A.

    2017-12-01

    We report hierarchical nanoarchitectures formed on the tips and sidewalls of ZnO nanowires which is formed on the top of microtubes. The whole growth process of these micro/nanostructures during thermal oxidation combines lattice/grain/surface ionic diffusion along with vapor solid mechanism. All the process takes place along with the presence of an electric current, which plays an important role forming the ZnO molecules due to Zn metal evaporation and attracting them to condense into nanostructures of several morphologies. The observation of a very long needle-like nanowire reveals the stack nature of the growth. These nanoarchitectures are rarely observed experimentally. Raman scattering confirms phonon confinement in the nanostructures. Photoluminescence measurements indicate a route for engineering defects on the surface of ZnO microtubes after the complete coalescence of the nanostructures through heat treatment. This experiment would be useful for improving nanostructure organization which could provide an impact in the manufacturability of nanostructure-based systems.

  16. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  17. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  18. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  19. Controlled growth of CH3NH3PbI3 nanowires in arrays of open nanofluidic channels.

    PubMed

    Spina, Massimo; Bonvin, Eric; Sienkiewicz, Andrzej; Náfrádi, Bálint; Forró, László; Horváth, Endre

    2016-01-25

    Spatial positioning of nanocrystal building blocks on a solid surface is a prerequisite for assembling individual nanoparticles into functional devices. Here, we report on the graphoepitaxial liquid-solid growth of nanowires of the photovoltaic compound CH3NH3PbI3 in open nanofluidic channels. The guided growth, visualized in real-time with a simple optical microscope, undergoes through a metastable solvatomorph formation in polar aprotic solvents. The presently discovered crystallization leads to the fabrication of mm(2)-sized surfaces composed of perovskite nanowires having controlled sizes, cross-sectional shapes, aspect ratios and orientation which have not been achieved thus far by other deposition methods. The automation of this general strategy paves the way towards fabrication of wafer-scale perovskite nanowire thin films well-suited for various optoelectronic devices, e.g. solar cells, lasers, light-emitting diodes and photodetectors.

  20. Investigation of ZnO Nanowire Interfaces for Multi-Scale Composites

    DTIC Science & Technology

    2012-03-06

    growth of zinc oxide ( ZnO ) nanowires on the surface of the...through the growth of zinc oxide ( ZnO ) nanowires on the surface of the reinforcing fibers. The nanowires functionally grade the interface, improve bonding...bulk composite. This has been accomplished through the growth of zinc oxide ( ZnO ) nanowires on the surface of the reinforcing fibers. ZnO

  1. Simulation of Nanowires on Metal Vicinal Surfaces: Effect of Growth Parameters and Energetic Barriers

    NASA Astrophysics Data System (ADS)

    Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.

    2012-02-01

    Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.

  2. Electrical conductivity measurements of bacterial nanowires from Pseudomonas aeruginosa

    NASA Astrophysics Data System (ADS)

    Maruthupandy, Muthusamy; Anand, Muthusamy; Maduraiveeran, Govindhan; Sait Hameedha Beevi, Akbar; Jeeva Priya, Radhakrishnan

    2015-12-01

    The extracellular appendages of bacteria (flagella) that transfer electrons to electrodes are called bacterial nanowires. This study focuses on the isolation and separation of nanowires that are attached via Pseudomonas aeruginosa bacterial culture. The size and roughness of separated nanowires were measured using transmission electron microscopy (TEM) and atomic force microscopy (AFM), respectively. The obtained bacterial nanowires indicated a clear image of bacterial nanowires measuring 16 nm in diameter. The formation of bacterial nanowires was confirmed by microscopic studies (AFM and TEM) and the conductivity nature of bacterial nanowire was investigated by electrochemical techniques. Cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS), which are nondestructive voltammetry techniques, suggest that bacterial nanowires could be the source of electrons—which may be used in various applications, for example, microbial fuel cells, biosensors, organic solar cells, and bioelectronic devices. Routine analysis of electron transfer between bacterial nanowires and the electrode was performed, providing insight into the extracellular electron transfer (EET) to the electrode. CV revealed the catalytic electron transferability of bacterial nanowires and electrodes and showed excellent redox activities. CV and EIS studies showed that bacterial nanowires can charge the surface by producing and storing sufficient electrons, behave as a capacitor, and have features consistent with EET. Finally, electrochemical studies confirmed the development of bacterial nanowires with EET. This study suggests that bacterial nanowires can be used to fabricate biomolecular sensors and nanoelectronic devices.

  3. Far field emission profile of pure wurtzite InP nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bulgarini, Gabriele, E-mail: g.bulgarini@tudelft.nl; Reimer, Michael E.; Zwiller, Val

    2014-11-10

    We report on the far field emission profile of pure wurtzite InP nanowires in comparison to InP nanowires with predominantly zincblende crystal structure. The emission profile is measured on individual nanowires using Fourier microscopy. The most intense photoluminescence of wurtzite nanowires is collected at small angles with respect to the nanowire growth axis. In contrast, zincblende nanowires present a minimum of the collected light intensity in the direction of the nanowire growth. Results are explained by the orientation of electric dipoles responsible for the photoluminescence, which is different from wurtzite to zincblende. Wurtzite nanowires have dipoles oriented perpendicular to themore » nanowire growth direction, whereas zincblende nanowires have dipoles oriented along the nanowire axis. This interpretation is confirmed by both numerical simulations and polarization dependent photoluminescence spectroscopy. Knowledge of the dipole orientation in nanostructures is crucial for developing a wide range of photonic devices such as light-emitting diodes, photodetectors, and solar cells.« less

  4. How Copper Nanowires Grow and How To Control Their Properties.

    PubMed

    Ye, Shengrong; Stewart, Ian E; Chen, Zuofeng; Li, Bo; Rathmell, Aaron R; Wiley, Benjamin J

    2016-03-15

    Scalable, solution-phase nanostructure synthesis has the promise to produce a wide variety of nanomaterials with novel properties at a cost that is low enough for these materials to be used to solve problems. For example, solution-synthesized metal nanowires are now being used to make low cost, flexible transparent electrodes in touch screens, organic light-emitting diodes (OLEDs), and solar cells. There has been a tremendous increase in the number of solution-phase syntheses that enable control over the assembly of atoms into nanowires in the last 15 years, but proposed mechanisms for nanowire formation are usually qualitative, and for many syntheses there is little consensus as to how nanowires form. It is often not clear what species is adding to a nanowire growing in solution or what mechanistic step limits its rate of growth. A deeper understanding of nanowire growth is important for efficiently directing the development of nanowire synthesis toward producing a wide variety of nanostructure morphologies for structure-property studies or producing precisely defined nanostructures for a specific application. This Account reviews our progress over the last five years toward understanding how copper nanowires form in solution, how to direct their growth into nanowires with dimensions ideally suited for use in transparent conducting films, and how to use copper nanowires as a template to grow core-shell nanowires. The key advance enabling a better understanding of copper nanowire growth is the first real-time visualization of nanowire growth in solution, enabling the acquisition of nanowire growth kinetics. By measuring the growth rate of individual nanowires as a function of concentration of the reactants and temperature, we show that a growing copper nanowire can be thought of as a microelectrode that is charged with electrons by hydrazine and grows through the diffusion-limited addition of Cu(OH)2(-). This deeper mechanistic understanding, coupled to an

  5. Silica Nanowires: Growth, Integration, and Sensing Applications

    PubMed Central

    Kaushik, Ajeet; Kumar, Rajesh; Huey, Eric; Bhansali, Shekhar; Nair, Narayana; Nanir, Madhavan

    2014-01-01

    This review (with 129 refs.) gives an overview on how the integration of silica nanowires (NWs) into micro-scale devices has resulted, in recent years, in simple yet robust nano-instrumentation with improved performance in targeted application areas such as sensing. This has been achieved by the use of appropriate techniques such as di-electrophoresis and direct vapor-liquid-growth phenomena, to restrict the growth of NWs to site-specific locations. This also has eliminated the need for post-growth processing and enables nanostructures to be placed on pre-patterned substrates. Various kinds of NWs have been investigated to determine how their physical and chemical properties can be tuned for integration into sensing structures. NWs integrated onto interdigitated micro-electrodes have been applied to the determination of gases and biomarkers. The technique of directly growing NWs eliminates the need for their physical transfer and thus preserves their structure and performance, and further reduces the costs of fabrication. The biocompatibility of NWs also has been studied with respect to possible biological applications. This review addresses the challenges in growth and integration of NWs to understand related mechanism on biological contact or gas exposure and sensing performance for personalized health and environmental monitoring. PMID:25382871

  6. Catalytic growth of vertically aligned SnS/SnS2 p-n heterojunctions

    NASA Astrophysics Data System (ADS)

    Degrauw, Aaron; Armstrong, Rebekka; Rahman, Ajara A.; Ogle, Jonathan; Whittaker-Brooks, Luisa

    2017-09-01

    Nanowire arrays of SnS/SnS2 p-n heterojunctions are grown on transparent indium tin oxide (ITO) coated-glass and Si/SiO2 substrates via chemical vapor transport (CVT). The nanowire arrays are comprised of individual SnS/SnS2 heterostructures that are highly oriented with their lengths and morphologies controlled by the CVT conditions (i.e. reaction temperature, flow rate, and reaction time). The growth and optoelectronic characterization of these well-defined SnS/SnS2 p-n heterostructures pave the way for the fabrication of highly efficient solar cell devices.

  7. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  9. Preparation of porous palladium nanowire arrays and their catalytic performance for hydrogen peroxide electroreduction in acid medium

    NASA Astrophysics Data System (ADS)

    Wang, Xin; Ye, Ke; Gao, Yinyi; Zhang, Hongyu; Cheng, Kui; Xiao, Xue; Wang, Guiling; Cao, Dianxue

    2016-01-01

    Nanoporous palladium supported on the carbon coated titanium carbide (C@TiC) nanowire arrays (Pd NP/C@TiC) are successfully prepared by a facile chemical vapor deposition of three-dimensional (3D) C@TiC substrate, followed by electrochemical codeposition of Pd-Ni and removal of Ni via dealloying. The structure and morphology of the obtained Pd NP/C@TiC electrodes are characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), field-emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). Cyclic voltammetry (CV), linear sweep voltammetry (LSV), chronoamperometry (CA) and electrochemical impedance spectroscopy (EIS) are used to examine the catalytic performances of the electrodes for H2O2 electroreduction in H2SO4 solution. The Pd NP/C@TiC electrode exhibits a largely effective specific surface area owing to its open nanoporous structure allowing the full utilization of Pd surface active sites. At the potential of 0.2 V in 2.0 mol L-1 H2O2 and 2 mol L-1 H2SO4 solutions, the reduction current density reaches 3.47 A mg-1, which is significantly higher than the catalytic activity of H2O2 electroreduction achieved previously with precious metals as catalysts.

  10. Large-scale fabrication of vertically aligned ZnO nanowire arrays

    DOEpatents

    Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo

    2013-02-05

    In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.

  11. The evolvement of pits and dislocations on TiO{sub 2}-B nanowires via oriented attachment growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao Bin; Chen Feng, E-mail: Fengchen@ecust.edu.c; Qu Wenwu

    2009-08-15

    TiO{sub 2}-B nanowires were synthesized by an ion exchanging-thermal treatment. The unique morphology of pits and dislocations interspersed on TiO{sub 2}-B nanowires were firstly characterized and studied by high-resolution transmission electron microscopy (HRTEM). Oriented attachment is suggested as an important growth mechanism in the evolvement of pits and dislocations on TiO{sub 2}-B nanowires. Lattice shears and fractures were originally formed during the ion exchanging process of the sodium titanate nanowires, which resulted in the formation of primary crystalline units and vacancies in the layered hydrogen titanate nanowires. Then the (110) lattice planes of TiO{sub 2}-B grown in [110] direction ismore » faster than the other lattice planes, which caused the exhibition of long dislocations on TiO{sub 2}-B nanowires. The enlargement of the vacancies, which was caused by the rearrangement of primary crystalline units, should be the reason of the formation of pits. Additionally, the transformation from TiO{sub 2}-B to anatase could be also elucidated by oriented attachment mechanism. - Graphical abstract: The unique morphology of pits and dislocations on TiO{sub 2}-B nanowires shown in high-resolution transmission electron microscopy (HRTEM) and a proposed evolvement mechanism of pits and dislocations on TiO{sub 2}-B nanowires.« less

  12. Controlling Growth High Uniformity Indium Selenide (In2Se3) Nanowires via the Rapid Thermal Annealing Process at Low Temperature.

    PubMed

    Hsu, Ya-Chu; Hung, Yu-Chen; Wang, Chiu-Yen

    2017-09-15

    High uniformity Au-catalyzed indium selenide (In 2 Se 3) nanowires are grown with the rapid thermal annealing (RTA) treatment via the vapor-liquid-solid (VLS) mechanism. The diameters of Au-catalyzed In 2 Se 3 nanowires could be controlled with varied thicknesses of Au films, and the uniformity of nanowires is improved via a fast pre-annealing rate, 100 °C/s. Comparing with the slower heating rate, 0.1 °C/s, the average diameters and distributions (standard deviation, SD) of In 2 Se 3 nanowires with and without the RTA process are 97.14 ± 22.95 nm (23.63%) and 119.06 ± 48.75 nm (40.95%), respectively. The in situ annealing TEM is used to study the effect of heating rate on the formation of Au nanoparticles from the as-deposited Au film. The results demonstrate that the average diameters and distributions of Au nanoparticles with and without the RTA process are 19.84 ± 5.96 nm (30.00%) and about 22.06 ± 9.00 nm (40.80%), respectively. It proves that the diameter size, distribution, and uniformity of Au-catalyzed In 2 Se 3 nanowires are reduced and improved via the RTA pre-treated. The systemic study could help to control the size distribution of other nanomaterials through tuning the annealing rate, temperatures of precursor, and growth substrate to control the size distribution of other nanomaterials. Graphical Abstract Rapid thermal annealing (RTA) process proved that it can uniform the size distribution of Au nanoparticles, and then it can be used to grow the high uniformity Au-catalyzed In 2 Se 3 nanowires via the vapor-liquid-solid (VLS) mechanism. Comparing with the general growth condition, the heating rate is slow, 0.1 °C/s, and the growth temperature is a relatively high growth temperature, > 650 °C. RTA pre-treated growth substrate can form smaller and uniform Au nanoparticles to react with the In 2 Se 3 vapor and produce the high uniformity In 2 Se 3 nanowires. The in situ annealing TEM is used to realize the effect of heating

  13. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm andmore » 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.« less

  14. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  15. Plasma nitriding induced growth of Pt-nanowire arrays as high performance electrocatalysts for fuel cells

    NASA Astrophysics Data System (ADS)

    Du, Shangfeng; Lin, Kaijie; Malladi, Sairam K.; Lu, Yaxiang; Sun, Shuhui; Xu, Qiang; Steinberger-Wilckens, Robert; Dong, Hanshan

    2014-09-01

    In this work, we demonstrate an innovative approach, combing a novel active screen plasma (ASP) technique with green chemical synthesis, for a direct fabrication of uniform Pt nanowire arrays on large-area supports. The ASP treatment enables in-situ N-doping and surface modification to the support surface, significantly promoting the uniform growth of tiny Pt nuclei which directs the growth of ultrathin single-crystal Pt nanowire (2.5-3 nm in diameter) arrays, forming a three-dimensional (3D) nano-architecture. Pt nanowire arrays in-situ grown on the large-area gas diffusion layer (GDL) (5 cm2) can be directly used as the catalyst electrode in fuel cells. The unique design brings in an extremely thin electrocatalyst layer, facilitating the charge transfer and mass transfer properties, leading to over two times higher power density than the conventional Pt nanoparticle catalyst electrode in real fuel cell environment. Due to the similar challenges faced with other nanostructures and the high availability of ASP for other material surfaces, this work will provide valuable insights and guidance towards the development of other new nano-architectures for various practical applications.

  16. Synthesis, Properties and Applications of Gallium Nitride Nanowires

    NASA Astrophysics Data System (ADS)

    Ma, Zheng

    This main focus of the work is on controlling the growth morphology in GaN and related nanowires. Two key results are presented: (1) demonstration of GaN nanowire growth in a newly discovered `serrated' morphology and (2) demonstration of Mn-doped, GaMnN nanowires by a new method. In (1) it is shown that simply by controlling the type of catalyst, size of the catalyst and the initial ratio of the precursor materials, GaN nanowire growth in a highly periodic serrated morphology can be obtained. Unlike regular non-serrated wires which grow in the non-polar [1010] direction, growth of the serrated wires is in the polar [0001] direction. The serrated faces are oriented in the semi-polar directions. Wires with serrated faces in both [1011] and [1122] semi-polar directions have been obtained. In (2) it has been shown that by using Au-Mn alloy catalyst method, GaMnN wire growth can be obtained. This is a significant result since this may be the first demonstration wherein Mn doping is achieved by introducing Mn as a catalyst rather than as a source material. The growth direction of these GaMnN wires is in the non-polar direction as in the case of non-serrated wires. Interestingly, unlike the non-serrated GaN wires, in this case the growth direction is [1120]. A second focus of the work is on the investigation of transport properties of serrated GaN nanowires and comparison with the non-serrated GaN nanowires. For the serrated nanowires our results indicate significant influence of surface effects on the electronic transport resulting in much higher electrical resistivity. A third focus of the work is on the investigation of magnetic properties of the GaMnN nanowires which indicates potential weak ferromagnetic behavior. This is consistent with low hole concentration and low Mn doping concentration (~0.5%) in these nanowires.

  17. Hierarchical, ultrathin single-crystal nanowires of CdS conveniently produced in laser-induced thermal field

    DOE PAGES

    Han, Li -Li; Xin, Huolin L.; Kulinich, Sergei A.; ...

    2015-07-16

    Hierarchical nanowires (HNWs) exhibit unique properties and have wide applications, while often suffering from imperfect structure. We report a facile strategy toward ultrathin CdS HNWs with monocrystal structure, where a continuous-wave (CW) Nd:YAG laser is employed to irradiate an oleic acid (OA) solution containing precursors and a light absorber. The high heating rate and large temperature gradient generated by the CW laser lead to the rapid formation of tiny zinc-blende CdS nanocrystals which then line up into nanowires with the help of OA molecules. Next, the nanowires experience a phase transformation from zinc-blende to wurtzite structure, and the transformation-induced stressmore » creates terraces on their surface, which promotes the growth of side branches and eventually results in monocrystal HNWs with an ultrathin diameter of 24 nm. The one-step synthesis of HNWs is conducted in air and completes in just 40 seconds, thus being very simple and rapid. The prepared CdS HNWs display photocatalytic performance superior to their nanoparticle counterparts, thus showing promise for catalytic applications in the future.« less

  18. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition

    PubMed Central

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 107 Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. PACS 77.55.D; 61.46.Km; 78.40.Fy PMID:25114641

  19. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    PubMed

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  20. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  1. Ten-gram scale SiC@SiO2 nanowires: high-yield synthesis towards industrialization, in situ growth mechanism and their peculiar photoluminescence and electromagnetic wave absorption properties.

    PubMed

    Li, Z J; Yu, H Y; Song, G Y; Zhao, J; Zhang, H; Zhang, M; Meng, A L; Li, Q D

    2017-02-01

    SiC@SiO 2 nanowires, as a functional nanocomposite, have attracted widespread attention due to their fascinating performance and broad application prospect. However, the low-cost, high yield preparation of large-scale SiC@SiO 2 nanowires is still a bottleneck, which hinders their industrial application. Herein, a carbothermal reduction strategy has been developed to synthesize SiC@SiO 2 nanowires, which breaks through the handicap of the traditional growth pattern that uses the aid of a substrate. Systematic characterization results illustrate that the yield of the as-obtained products greatly depends on the heating rate, and ten-gram scale SiC@SiO 2 nanowires (∼27.2 g) composed of a cubic β-SiC core and homogeneous amorphous SiO 2 coating are achieved under the optimum process parameters. The in situ mechanisms of expansion-insertion-growth and inhibition of expansion-package-obstruction are proposed to rationally interpret the growth process of SiC@SiO 2 nanowires and the effect of various heating rates, respectively. Furthermore, the SiC@SiO 2 nanowires display violet-blue photoluminescence and electromagnetic wave absorption properties. This study not only provides some beneficial suggestions for the commercial production of SiC@SiO 2 nanowires, but also reveals promising applications of SiC@SiO 2 nanowires in the optical and electromagnetic shielding fields. Moreover, the developed novel in situ growth mechanism enriches the growth theory of one-dimension nanomaterials and offers inspiration for their industrial-scale production.

  2. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  3. Magnetically Recoverable Pd/Fe 3O 4 Core-Shell Nanowire Clusters with Increased Hydrogenation Activity

    DOE PAGES

    Watt, John; Kotula, Paul G.; Huber, Dale L.

    2017-02-06

    Core-shell nanostructures are promising candidates for the next generation of catalysts due to synergistic effects which can arise from having two active species in close contact, leading to increased activity. Likewise, catalysts displaying added functionality, such as a magnetic response, can increase their scientific and industrial potential. Here, we synthesize Pd/Fe 3O 4 core-shell nanowire clusters and apply them as hydrogenation catalysts for an industrially important hydrogenation reaction; the conversion of acetophenone to 1-phenylethanol. During synthesis, the palladium nanowires self-assemble into clusters which act as a high surface area framework for the growth of a magnetic iron oxide shell. Wemore » demonstrate excellent catalytic activity due to the presence of palladium while the strong magnetic properties provided by the iron oxide shell enable facile catalyst recovery.« less

  4. Growth and characterization of manganese doped gallium nitride nanowires.

    PubMed

    Kumar, V Suresh; Kesavamoorthy, R; Kumar, J

    2008-08-01

    Manganese doped GaN nanowires have been grown by chemical vapour transport method on sapphire (0001) substrates in the temperature range of 800-1050 degrees C. The surface features of nanowires have been investigated using Scanning Electron Microscopy (SEM), Energy Dispersive X-ray analysis (EDAX), Raman scattering studies and Electron Paramagnetic Resonance (EPR). SEM images showed that the morphology of the one dimensional materials included straight nanorods and nanowires around 70-80 nm. Raman spectrum showed the GaMnN vibrational modes at 380, 432 and 445 cm(-1). EPR measurements were performed on Mn doped GaN nanowires in order to evaluate the magnetic behaviour.

  5. Nucleation and growth mechanism of self-catalyzed InAs nanowires on silicon

    NASA Astrophysics Data System (ADS)

    Gomes, U. P.; Ercolani, D.; Zannier, V.; David, J.; Gemmi, M.; Beltram, F.; Sorba, L.

    2016-06-01

    We report on the nucleation and growth mechanism of self-catalyzed InAs nanowires (NWs) grown on Si (111) substrates by chemical beam epitaxy. Careful choices of the growth parameters lead to In-rich conditions such that the InAs NWs nucleate from an In droplet and grow by the vapor-liquid-solid mechanism while sustaining an In droplet at the tip. As the growth progresses, new NWs continue to nucleate on the Si (111) surface causing a spread in the NW size distribution. The observed behavior in NW nucleation and growth is described within a suitable existing theoretical model allowing us to extract relevant growth parameters. We argue that these results provide useful guidelines to rationally control the growth of self-catalyzed InAs NWs for various applications.

  6. Stable Defects in Semiconductor Nanowires.

    PubMed

    Sanchez, A M; Gott, J A; Fonseka, H A; Zhang, Y; Liu, H; Beanland, R

    2018-05-09

    Semiconductor nanowires are commonly described as being defect-free due to their ability to expel mobile defects with long-range strain fields. Here, we describe previously undiscovered topologically protected line defects with null Burgers vector that, unlike dislocations, are stable in nanoscale crystals. We analyze the defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor-liquid-solid growth and subsequent vapor-solid shell growth. We use a form of the Burgers circuit method that can be applied to multiply twinned material without difficulty. Our observations show that the nanowire microstructure is very different from bulk material, with line defects either (a) trapped by locks or other defects, (b) arranged as dipoles or groups with a zero total Burgers vector, or (c) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material. The most common defect is the three-monolayer high twin facet with a zero Burgers vector. Studies of individual nanowires using cathodoluminescence show that optical emission is quenched in defective regions, showing that they act as strong nonradiative recombination centers.

  7. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  8. Crystallographic alignment of high-density gallium nitride nanowire arrays.

    PubMed

    Kuykendall, Tevye; Pauzauskie, Peter J; Zhang, Yanfeng; Goldberger, Joshua; Sirbuly, Donald; Denlinger, Jonathan; Yang, Peidong

    2004-08-01

    Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.

  9. Growth and Physical Property Study of Single Nanowire (Diameter ~45 nm) of Half Doped Manganite

    DOE PAGES

    Datta, Subarna; Chandra, Sayan; Samanta, Sudeshna; ...

    2013-01-01

    We repormore » t here the growth and characterization of functional oxide nanowire of hole doped manganite of La 0.5 Sr 0.5 MnO 3 (LSMO). We also report four-probe electrical resistance measurement of a single nanowire of LSMO (diameter ~45 nm) using focused ion beam (FIB) fabricated electrodes. The wires are fabricated by hydrothermal method using autoclave at a temperature of 270 °C. The elemental analysis and physical property like electrical resistivity are studied at an individual nanowire level. The quantitative determination of Mn valency and elemental mapping of constituent elements are done by using Electron Energy Loss Spectroscopy (EELS) in the Transmission Electron Microscopy (TEM) mode. We address the important issue of whether as a result of size reduction the nanowires can retain the desired composition, structure, and physical properties. The nanowires used are found to have a ferromagnetic transition ( T C ) at around 325 K which is very close to the bulk value of around 330 K found in single crystal of the same composition. It is confirmed that the functional behavior is likely to be retained even after size reduction of the nanowires to a diameter of 45 nm. The electrical resistivity shows insulating behavior within the measured temperature range which is similar to the bulk system.« less

  10. Kinetic effects in InP nanowire growth and stacking fault formation: the role of interface roughening.

    PubMed

    Chiaramonte, Thalita; Tizei, Luiz H G; Ugarte, Daniel; Cotta, Mônica A

    2011-05-11

    InP nanowire polytypic growth was thoroughly studied using electron microscopy techniques as a function of the In precursor flow. The dominant InP crystal structure is wurtzite, and growth parameters determine the density of stacking faults (SF) and zinc blende segments along the nanowires (NWs). Our results show that SF formation in InP NWs cannot be univocally attributed to the droplet supersaturation, if we assume this variable to be proportional to the ex situ In atomic concentration at the catalyst particle. An imbalance between this concentration and the axial growth rate was detected for growth conditions associated with larger SF densities along the NWs, suggesting a different route of precursor incorporation at the triple phase line in that case. The formation of SFs can be further enhanced by varying the In supply during growth and is suppressed for small diameter NWs grown under the same conditions. We attribute the observed behaviors to kinetically driven roughening of the semiconductor/metal interface. The consequent deformation of the triple phase line increases the probability of a phase change at the growth interface in an effort to reach local minima of system interface and surface energy.

  11. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  12. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  13. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  14. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  15. Synthesis and high catalytic properties of mesoporous Pt nanowire array by novel conjunct template method

    NASA Astrophysics Data System (ADS)

    Zhong, Yi; Xu, Cai-Ling; Kong, Ling-Bin; Li, Hu-Lin

    2008-12-01

    A novel conjunct template method for fabricating mesoporous Pt nanowire array through direct current (DC) electrodeposition of Pt into the pores of anodic aluminum oxide (AAO) template on Ti/Si substrate from hexagonal structured lyotropic liquid crystalline phase is demonstrated in this paper. The morphology and structure of as-prepared Pt nanowire array are characterized by field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and X-ray diffraction (XRD). The electrocatalytic properties of Pt nanowire array for methanol are also investigated in detail. The results indicate that Pt nanowire array has the unique mesoporous structure of approximate 40-50 nm in diameter, which resulted in the high surface area and greatly improved electrocatalytic activity for methanol. The mesoporous Pt nanowire array synthesized by the new conjunct template method has a very promising application in portable fuel cell power sources.

  16. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    NASA Astrophysics Data System (ADS)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  17. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    NASA Astrophysics Data System (ADS)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  18. Growth and optical investigations of high quality individual CdTe/(Cd,Mg)Te core/shell nanowires.

    PubMed

    Wojnar, P; Płachta, J; Kret, S; Kaleta, A; Zaleszczyk, W; Szymura, M; Wiater, M; Baczewski, L T; Pietruczik, A; Karczewski, G; Wojtowicz, T; Kossut, J

    2017-01-27

    CdTe nanowires with the average diameter of only 40 nm coated with (Cd,Mg)Te shells are grown using Au-catalyzed vapor-liquid-solid growth mechanism in a system for molecular beam epitaxy. High optical quality of individual nanowires is revealed by means of low temperature cathodoluminescence and micro-luminescence. It is found that, the optical emission spectrum consists mostly of the near band edge emission without any significant contribution of defect related luminescence. Moreover, the importance of surface passivation with (Cd,Mg)Te coating shells is demonstrated.

  19. Understanding the true shape of Au-catalyzed GaAs nanowires.

    PubMed

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  20. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  1. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  2. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  3. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  4. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  5. Nanowire Photovoltaic Devices

    NASA Technical Reports Server (NTRS)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  6. Nanowire sensors and arrays for chemical/biomolecule detection

    NASA Technical Reports Server (NTRS)

    Yun, Minhee; Lee, Choonsup; Vasquez, Richard P.; Ramanathan, K.; Bangar, M. A.; Chen, W.; Mulchandan, A.; Myung, N. V.

    2005-01-01

    We report electrochemical growth of single nanowire based sensors using e-beam patterned electrolyte channels, potentially enabling the controlled fabrication of individually addressable high density arrays. The electrodeposition technique results in nanowires with controlled dimensions, positions, alignments, and chemical compositions. Using this technique, we have fabricated single palladium nanowires with diameters ranging between 75 nm and 300 nm and conducting polymer nanowires (polypyrrole and polyaniline) with diameters between 100 nm and 200 nm. Using these single nanowires, we have successfully demonstrated gas sensing with Pd nanowires and pH sensing with polypirrole nanowires.

  7. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  8. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  9. Predicting the growth of S i3N4 nanowires by phase-equilibrium-dominated vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Zhang, Yongliang; Cai, Jing; Yang, Lijun; Wu, Qiang; Wang, Xizhang; Hu, Zheng

    2017-09-01

    Nanomaterial synthesis is experiencing a profound evolution from empirical science ("cook-and-look") to prediction and design, which depends on the deep insight into the growth mechanism. Herein, we report a generalized prediction of the growth of S i3N4 nanowires by nitriding F e28S i72 alloy particles across different phase regions based on our finding of the phase-equilibrium-dominated vapor-liquid-solid (PED-VLS) mechanism. All the predictions about the growth of S i3N4 nanowires, and the associated evolutions of lattice parameters and geometries of the coexisting Fe -Si alloy phases, are experimentally confirmed quantitatively. This progress corroborates the general validity of the PED-VLS mechanism, which could be applied to the design and controllable synthesis of various one-dimensional nanomaterials.

  10. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  11. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  12. Epitaxial-Growth-Induced Junction Welding of Silver Nanowire Network Electrodes.

    PubMed

    Kang, Hyungseok; Song, Sol-Ji; Sul, Young Eun; An, Byeong-Seon; Yin, Zhenxing; Choi, Yongsuk; Pu, Lyongsun; Yang, Cheol-Woong; Kim, Youn Sang; Cho, Sung Min; Kim, Jung-Gu; Cho, Jeong Ho

    2018-05-22

    In this study, we developed a roll-to-roll Ag electroplating process for metallic nanowire electrodes using a galvanostatic mode. Electroplating is a low-cost and facile method for deposition of metal onto a target surface with precise control of both the composition and the thickness. Metallic nanowire networks [silver nanowires (AgNWs) and copper nanowires (CuNWs)] coated onto a polyethylene terephthalate (PET) film were immersed directly in an electroplating bath containing AgNO 3 . Solvated silver ions (Ag + ions) were deposited onto the nanowire surface through application of a constant current via an external circuit between the nanowire networks (cathode) and a Ag plate (anode). The amount of electroplated Ag was systematically controlled by changing both the applied current density and the electroplating time, which enabled precise control of the sheet resistance and optical transmittance of the metallic nanowire networks. The optimized Ag-electroplated AgNW (Ag-AgNW) films exhibited a sheet resistance of ∼19 Ω/sq at an optical transmittance of 90% (550 nm). A transmission electron microscopy study confirmed that Ag grew epitaxially on the AgNW surface, but a polycrystalline Ag structure was formed on the CuNW surface. The Ag-electroplated metallic nanowire electrodes were successfully applied to various electronic devices such as organic light-emitting diodes, triboelectric nanogenerators, and a resistive touch panel. The proposed roll-to-roll Ag electroplating process provides a simple, low-cost, and scalable method for the fabrication of enhanced transparent conductive electrode materials for next-generation electronic devices.

  13. Diameter Dependence of Planar Defects in InP Nanowires

    PubMed Central

    Wang, Fengyun; Wang, Chao; Wang, Yiqian; Zhang, Minghuan; Han, Zhenlian; Yip, SenPo; Shen, Lifan; Han, Ning; Pun, Edwin Y. B.; Ho, Johnny C.

    2016-01-01

    In this work, extensive characterization and complementary theoretical analysis have been carried out on Au-catalyzed InP nanowires in order to understand the planar defect formation as a function of nanowire diameter. From the detailed transmission electron microscopic measurements, the density of stacking faults and twin defects are found to monotonically decrease as the nanowire diameter is decreased to 10 nm, and the chemical analysis clearly indicates the drastic impact of In catalytic supersaturation in Au nanoparticles on the minimized planar defect formation in miniaturized nanowires. Specifically, during the chemical vapor deposition of InP nanowires, a significant amount of planar defects is created when the catalyst seed sizes are increased with the lower degree of In supersaturation as dictated by the Gibbs-Thomson effect, and an insufficient In diffusion (or Au-rich enhancement) would lead to a reduced and non-uniform In precipitation at the NW growing interface. The results presented here provide an insight into the fabrication of “bottom-up” InP NWs with minimized defect concentration which are suitable for various device applications. PMID:27616584

  14. Diameter Dependence of Planar Defects in InP Nanowires.

    PubMed

    Wang, Fengyun; Wang, Chao; Wang, Yiqian; Zhang, Minghuan; Han, Zhenlian; Yip, SenPo; Shen, Lifan; Han, Ning; Pun, Edwin Y B; Ho, Johnny C

    2016-09-12

    In this work, extensive characterization and complementary theoretical analysis have been carried out on Au-catalyzed InP nanowires in order to understand the planar defect formation as a function of nanowire diameter. From the detailed transmission electron microscopic measurements, the density of stacking faults and twin defects are found to monotonically decrease as the nanowire diameter is decreased to 10 nm, and the chemical analysis clearly indicates the drastic impact of In catalytic supersaturation in Au nanoparticles on the minimized planar defect formation in miniaturized nanowires. Specifically, during the chemical vapor deposition of InP nanowires, a significant amount of planar defects is created when the catalyst seed sizes are increased with the lower degree of In supersaturation as dictated by the Gibbs-Thomson effect, and an insufficient In diffusion (or Au-rich enhancement) would lead to a reduced and non-uniform In precipitation at the NW growing interface. The results presented here provide an insight into the fabrication of "bottom-up" InP NWs with minimized defect concentration which are suitable for various device applications.

  15. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  16. Localized synthesis, assembly and integration of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Englander, Ongi

    Localized synthesis, assembly and integration of one-dimensional silicon nanowires with MEMS structures is demonstrated and characterized in terms of local synthesis processes, electric-field assisted self-assembly, and a proof-of-concept nanoelectromechanical system (HEMS) demonstration. Emphasis is placed on the ease of integration, process control strategies, characterization techniques and the pursuit of integrated devices. A top-down followed by a bottom-up integration approach is utilized. Simple MEMS heater structures are utilized as the microscale platforms for the localized, bottom-up synthesis of one-dimensional nanostructures. Localized heating confines the high temperature region permitting only localized nanostructure synthesis and allowing the surroundings to remain at room temperature thus enabling CMOS compatible post-processing. The vapor-liquid-solid (VLS) process in the presence of a catalytic nanoparticle, a vapor phase reactant, and a specific temperature environment is successfully employed locally. Experimentally, a 5nm thick gold-palladium layer is used as the catalyst while silane is the vapor phase reactant. The current-voltage behavior of the MEMS structures can be correlated to the approximate temperature range required for the VLS reaction to take place. Silicon nanowires averaging 45nm in diameter and up to 29mum in length synthesized at growth rates of up to 1.5mum/min result. By placing two MEMS structures in close proximity, 4--10mum apart, localized silicon nanowire growth can be used to link together MEMS structures to yield a two-terminal, self-assembled micro-to-nano system. Here, one MEMS structure is designated as the hot growth structure while a nearby structure is designated as the cold secondary structure, whose role is to provide a natural stopping point for the VLS reaction. The application of a localized electric-field, 5 to 13V/mum in strength, during the synthesis process, has been shown to improve nanowire

  17. High density and taper-free boron doped Si{sub 1−x}Ge{sub x} nanowire via two-step growth process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Periwal, Priyanka; Salem, Bassem; Bassani, Franck

    2014-07-01

    The authors study Au catalyzed chemical vapor growth of Si{sub 1−x}Ge{sub x} alloyed nanowires in the presence of diborane, serving as a dopant precursor. Our experiments reveal that introduction of diborane has a significant effect on doping and morphology. Boron exposure poisons the Au catalyst surface, suppresses catalyst activity, and causes significantly tapered wires, as a result of conformal growth. The authors develop here a two-step method to obtain high density and taper-free boron doped Si{sub 1−x}Ge{sub x} alloy nanowires. The two-step process consists of: (1) growth of a small undoped Si{sub 1−x}Ge{sub x} section and (2) introduction of diboranemore » to form a boron doped Si{sub 1−x}Ge{sub x} section. The catalyst preparation step remarkably influences wire yield, quality and morphology. The authors show that dopant-ratio influences wire resistivity and morphology. Resistivity for high boron doped Si{sub 1−x}Ge{sub x} nanowire is 6 mΩ-cm. Four probe measurements show that it is possible to dope Si{sub 1−x}Ge{sub x} alloy nanowires with diborane.« less

  18. Growth of Graphene by Catalytic Dissociation of Ethylene on CuNi(111)

    NASA Astrophysics Data System (ADS)

    Tyagi, Parul; Mowll, Tyler; Robinson, Zachary; Ventrice, Carl

    2013-03-01

    Copper foil is one of the most common substrates for growing large area graphene films. The main reason for this is that Cu has a very low carbon solubility, which results in the self-termination of a single layer of graphene when grown using hydrocarbon precursors at low pressure. Our previous results on Cu(111) substrates has found that temperatures of at least 900 °C are needed to form single domain epitaxial films. By using a CuNi alloy, the catalytic activity of the substrate is expected to increase, which will allow the catalytic decomposition of the hydrocarbon precursor at lower temperatures. In this study, the growth of graphene by the catalytic decomposition of ethylene on a 90:10 CuNi(111) substrate was attempted. The growths were done in an ultra-high vacuum system by either heating the substrate to the growth temperature followed by introducing the ethylene precursor or by introducing the ethylene precursor and subsequently heating it to the growth temperature. The growth using the former method results in a two-domain epitaxial graphene overlayer. However, introducing the ethylene before heating the substrate resulted in considerable rotational disorder within the graphene film. This has been attributed to the deposition of carbon atoms on the surface at temperatures too low for the carbon to crystallize into graphene. This research was supported by the NSF (DMR-1006411).

  19. Two steps hydrothermal growth and characterisations of BaTiO3 films composed of nanowires

    NASA Astrophysics Data System (ADS)

    Zawawi, Che Zaheerah Najeehah Che Mohd; Salleh, Shahril; Oon Jew, Lee; Tufail Chaudhary, Kashif; Helmi, Mohamad; Safwan Aziz, Muhammad; Haider, Zuhaib; Ali, Jalil

    2018-05-01

    Barium titanate (BaTiO3) films composed of nanowires have gained considerable research interest due to their lead-free composition and strong energy conversion efficiency. BaTiO3 films can be developed with a simple two steps hydrothermal reactions, which are low cost effective. In this research, BaTiO3 films were fabricated on titanium foil through two steps hydrothermal method namely, the growth of TiO2 and followed by BaTiO3 films. The structural evolutions and the dielectric properties of the films were investigated as well. The structural evolutions of titanium dioxide (TiO2) and BaTiO3 nanowires were characterized using X-ray diffraction and scanning electron microscopy. First step of hydrothermal reaction, TiO2 nanowires were prepared in varied temperatures of 160 °C, 200 °C and 250 °C respectively. Second step of hydrothermal reaction was performed to produce a layer of BaTiO3 films.

  20. MOCVD growth of vertically aligned InGaN nanowires

    NASA Astrophysics Data System (ADS)

    Kuo, H. C.; Su Oh, Tae; Ku, P.-C.

    2013-05-01

    In this work, we report the growth of vertically aligned bulk InGaN nanowires (NWs) on r-plane sapphire substrate by metal organic chemical vapor deposition (MOCVD). Through the optimization process of growth conditions, such as growth temperature and pressure, we obtained high density InGaN NWs consisting of one (0001) polar- and two equivalent {1101} semi-polar planes. We have shown the highest InGaN NWs wire density of 8×108 cm-2,with an average diameter of 300 nm and a length of 2 μm. From results of photoluminescence (PL) at 30 K and 300 K, we observed the intense and broad emission peak from InGaN NWs at around 595 nm, and confirmed that the luminescence could be tuned from 580 nm to 660 nm by controlling the indium flow (TMIn) rate. Our results indicate that MOCVD-grown InGaN NWs can be effective absorbers of the blue-green range of solar spectrum and may be one of the good candidates for high efficiency photovoltaic devices targeting at blue-green photons.

  1. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  2. hcp-Co nanowires grown on metallic foams as catalysts for the Fischer-Tropsch synthesis.

    PubMed

    Soulantica, Katerina; Harmel, Justine; Peres, Laurent; Estrader, Marta; Berliet, Adrien; Maury, Sylvie; Fécant, Antoine; Chaudret, Bruno; Serp, Philippe

    2018-06-12

    The possibility to control the structural characteristics of the active phase of supported catalysts offers the opportunity to improve catalyst performance, especially in structure sensitive catalytic reactions. In parallel, heat management is of critical importance for the catalytic performance in highly endo- or exothermic reactions. The Fisher-Tropsch synthesis (FTS) is a structure sensitive exothermic reaction, which enables catalytic transformation of syngas to high quality liquid fuels. We have elaborated monolithic cobalt based heterogeneous catalysts through a wet chemistry approach that allows control over nanocrystal shape and crystallographic phase, while at the same time enables heat management. Copper and nickel foams have been employed as supports for the epitaxial growth of hcp-Co nanowires, directly from a solution containing a coordination compound of cobalt and stabilizing ligands. The Co/Cufoam catalyst has been tested for the Fischer-Tropsch synthesis in fixed bed reactor, showing stability, and significantly superior activity and selectivity towards C5+ compared to a Co/SiO2-Al2O3 reference catalyst under the same conditions. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Catalyst- and template-free low-temperature in situ growth of n-type CdS nanowire on p-type CdTe film and p-n heterojunction properties

    PubMed Central

    Ma, Ligang; Liu, Wenchao; Cai, Hongling; Zhang, Fengming; Wu, Xiaoshan

    2016-01-01

    CdS is an important semiconductor used in optoelectronic devices. Simple techniques for growing CdS nanostructures are thus essential at a low cost. This study presents a novel method for growing single-crystal n-type CdS nanowires on p-type CdTe films by thermal annealing in an H2S/N2 mixed gas flow, which does not require the help of a catalyst or template. The formation process and growth mechanism of the nanowires are investigated. Well-dispersed whiskerlike CdS nanostructures are obtained at an appropriate annealing temperature and duration. We suggest that the stress-driving mechanism of nanowire formation may contribute to the growth of CdS nanowires, and that the evaporation of Te through the boundaries of the CdS grain seeds plays an important role in the sustainable growth of nanowire. In addition, CdS/CdTe heterojunction device is fabricated on Mo glass. The I-V characteristic of the heterojunction in dark shows typical rectifying diode behavior. The turn-on voltage can be regulated by annealing conditions. Meanwhile, the obvious photovoltaic effect is obtained on the in situ growth heterojunction prepared at low annealing temperature. Hence, this is a new fabricated method for CdTe-based materials in the field of energy conversion. PMID:27958306

  4. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  5. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  6. EFFECT OF PRE-ANNEALING TEMPERATURE ON THE GROWTH OF ALIGNED α-Fe2O3 NANOWIRES VIA A TWO-STEP THERMAL OXIDATION

    NASA Astrophysics Data System (ADS)

    Rashid, Norhana Mohamed; Kishi, Naoki; Soga, Tetsuo

    2016-03-01

    Pre-annealing as part of a two-step thermal oxidation process has a significant effect on the growth of hematite (α-Fe2O3) nanowires on Fe foil. High-density aligned nanowires were obtained on iron foils pre-annealed at 300∘C under a dry air flow for 30min. The X-ray diffraction (XRD) patterns indicate that the nanowires are transformed from the small α-Fe2O3 grains and uniquely grow in the (110) direction. The formation of a high-density of small grains by pre-annealing improved the alignment and density of the α-Fe2O3 nanowires.

  7. Facile Synthesis of Vanadium-Doped Ni3S2 Nanowire Arrays as Active Electrocatalyst for Hydrogen Evolution Reaction.

    PubMed

    Qu, Yuanju; Yang, Mingyang; Chai, Jianwei; Tang, Zhe; Shao, Mengmeng; Kwok, Chi Tat; Yang, Ming; Wang, Zhenyu; Chua, Daniel; Wang, Shijie; Lu, Zhouguang; Pan, Hui

    2017-02-22

    Ni 3 S 2 nanowire arrays doped with vanadium(V) are directly grown on nickel foam by a facile one-step hydrothermal method. It is found that the doping can promote the formation of Ni 3 S 2 nanowires at a low temperature. The doped nanowires show excellent electrocatalytic performance toward hydrogen evolution reaction (HER), and outperform pure Ni 3 S 2 and other Ni 3 S 2 -based compounds. The stability test shows that the performance of V-doped Ni 3 S 2 nanowires is improved and stabilized after thousands of linear sweep voltammetry test. The onset potential of V-doped Ni 3 S 2 nanowire can be as low as 39 mV, which is comparable to platinum. The nanowire has an overpotential of 68 mV at 10 mA cm -2 , a relatively low Tafel slope of 112 mV dec -1 , good stability and high Faradaic efficiency. First-principles calculations show that the V-doping in Ni 3 S 2 extremely enhances the free carrier density near the Fermi level, resulting in much improved catalytic activities. We expect that the doping can be an effective way to enhance the catalytic performance of metal disulfides in hydrogen evolution reaction and V-doped Ni 3 S 2 nanowire is one of the most promising electrocatalysts for hydrogen production.

  8. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    NASA Astrophysics Data System (ADS)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  9. CuO nanowire/microflower/nanowire modified Cu electrode with enhanced electrochemical performance for non-enzymatic glucose sensing.

    PubMed

    Li, Changli; Yamahara, Hiroyasu; Lee, Yaerim; Tabata, Hitoshi; Delaunay, Jean-Jacques

    2015-07-31

    CuO nanowire/microflower structure on Cu foil is synthesized by annealing a Cu(OH)2 nanowire/CuO microflower structure at 250 °C in air. The nanowire/microflower structure with its large surface area leads to an efficient catalysis and charge transfer in glucose detection, achieving a high sensitivity of 1943 μA mM(-1) cm(-2), a wide linear range up to 4 mM and a low detection limit of 4 μM for amperometric glucose sensing in alkaline solution. With a second consecutive growth of CuO nanowires on the microflowers, the sensitivity of the obtained CuO nanowire/microflower/nanowire structure further increases to 2424 μA mM(-1) cm(-2), benefiting from an increased number of electrochemically active sites. The enhanced electrocatalytic performance of the CuO nanowire/microflower/nanowire electrode compared to the CuO nanowire/microflower electrode, CuO nanowire electrode and CuxO film electrode provides evidence for the significant role of available surface area for electrocatalysis. The rational combination of CuO nanowire and microflower nanostructures into a nanowire supporting microflower branching nanowires structure makes it a promising composite nanostructure for use in CuO based electrochemical sensors with promising analytical properties.

  10. The role of surface passivation in controlling Ge nanowire faceting

    DOE PAGES

    Gamalski, A. D.; Tersoff, J.; Kodambaka, S.; ...

    2015-11-05

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. Furthermore, these results illustrate the essential roles of themore » precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, <111>-oriented nanowires.« less

  11. The Role of Surface Passivation in Controlling Ge Nanowire Faceting.

    PubMed

    Gamalski, A D; Tersoff, J; Kodambaka, S; Zakharov, D N; Ross, F M; Stach, E A

    2015-12-09

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results illustrate the essential roles of the precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, ⟨111⟩-oriented nanowires.

  12. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  13. Density Functional Study of the Structure, Stability and Oxygen Reduction Activity of Ultrathin Platinum Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matanovic, Ivana; Kent, Paul; Garzon, Fernando

    2013-03-14

    We used density functional theory to study the difference in the structure, stability and catalytic reactivity between ultrathin, 0.5–1.0 nm diameter, platinum nanotubes and nanowires. Model nanowires were formed by inserting an inner chain of platinum atoms in small diameter nanotubes. In this way more stable, non-hollow structures were formed. The difference in the electronic structure of platinum nanotubes and nanowires was examined by inspecting the density of surface states and band structure. Furthermore, reactivity toward the oxygen reduction reaction of platinum nanowires was assessed by studying the change in the chemisorption energies of oxygen, hydroxyl, and hydroperoxyl groups, inducedmore » by converting the nanotube models to nanowires. Both ultrathin platinum nanotubes and nanowires show distinct properties compared to bulk platinum. Single-wall nanotubes and platinum nanowires with diameters larger than 1 nm show promise for use as oxygen reduction catalysts.« less

  14. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  15. Model of step propagation and step bunching at the sidewalls of nanowires

    NASA Astrophysics Data System (ADS)

    Filimonov, Sergey N.; Hervieu, Yuri Yu.

    2015-10-01

    Radial growth of vertically aligned nanowires involves formation and propagation of monoatomic steps at atomically smooth nanowire sidewalls. Here we study the step dynamics with a step flow model taking into account the presence of a strong sink for adatoms at top of the nanowire and adatom exchange between the nanowire sidewall and surrounding substrate surface. Analytical expressions for velocities of steps propagating from the nanowire base to the nanowire top are obtained. It is shown that the step approaching the nanowire top will slow down if the top nanowire facet is a stronger sink for adatoms than the sidewall step. This might trigger bunching of the steps at the sidewall resulting in development of the pencil-like shape of nanowires such as observed in, e.g., the Au-assisted MBE growth of InAs.

  16. Electrochemical growth of Co nanowires in ultra-high aspect ratio InP membranes: FFT-impedance spectroscopy of the growth process and magnetic properties.

    PubMed

    Gerngross, Mark-Daniel; Carstensen, Jürgen; Föll, Helmut

    2014-01-01

    The electrochemical growth of Co nanowires in ultra-high aspect ratio InP membranes has been investigated by fast Fourier transform-impedance spectroscopy (FFT-IS) in the frequency range from 75 Hz to 18.5 kHz. The impedance data could be fitted very well using an electric circuit equivalent model with a series resistance connected in series to a simple resistor-capacitor (RC) element and a Maxwell element. Based on the impedance data, the Co deposition in ultra-high aspect ratio InP membranes can be divided into two different Co deposition processes. The corresponding share of each process on the overall Co deposition can be determined directly from the transfer resistances of the two processes. The impedance data clearly show the beneficial impact of boric acid on the Co deposition and also indicate a diffusion limitation of boric acid in ultra-high aspect ratio InP membranes. The grown Co nanowires are polycrystalline with a very small grain size. They show a narrow hysteresis loop with a preferential orientation of the easy magnetization direction along the long nanowire axis due to the arising shape anisotropy of the Co nanowires.

  17. Catalyst-induced growth of carbon nanotubes on tips of cantilevers and nanowires

    DOEpatents

    Lee, James Weifu; Lowndes, Douglas H.; Merkulov, Vladimir I.; Eres, Gyula; Wei, Yayi; Greenbaum, Elias; Lee, Ida

    2004-06-29

    A method is described for catalyst-induced growth of carbon nanotubes, nanofibers, and other nanostructures on the tips of nanowires, cantilevers, conductive micro/nanometer structures, wafers and the like. The method can be used for production of carbon nanotube-anchored cantilevers that can significantly improve the performance of scaning probe microscopy (AFM, EFM etc). The invention can also be used in many other processes of micro and/or nanofabrication with carbon nanotubes/fibers. Key elements of this invention include: (1) Proper selection of a metal catalyst and programmable pulsed electrolytic deposition of the desired specific catalyst precisely at the tip of a substrate, (2) Catalyst-induced growth of carbon nanotubes/fibers at the catalyst-deposited tips, (3) Control of carbon nanotube/fiber growth pattern by manipulation of tip shape and growth conditions, and (4) Automation for mass production.

  18. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    PubMed

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  19. Growth of wurtzite CdTe nanowires on fluorine-doped tin oxide glass substrates and room-temperature bandgap parameter determination

    NASA Astrophysics Data System (ADS)

    Choi, Seon Bin; Song, Man Suk; Kim, Yong

    2018-04-01

    The growth of CdTe nanowires, catalyzed by Sn, was achieved on fluorine-doped tin oxide glass by physical vapor transport. CdTe nanowires grew along the 〈0001〉 direction, with a very rare and phase-pure wurtzite structure, at 290 °C. CdTe nanowires grew under Te-limited conditions by forming SnTe nanostructures in the catalysts and the wurtzite structure was energetically favored. By polarization-dependent and power-dependent micro-photoluminescence measurements of individual nanowires, heavy and light hole-related transitions could be differentiated, and the fundamental bandgap of wurtzite CdTe at room temperature was determined to be 1.562 eV, which was 52 meV higher than that of zinc-blende CdTe. From the analysis of doublet photoluminescence spectra, the valence band splitting energy between heavy hole and light hole bands was estimated to be 43 meV.

  20. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  1. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    PubMed

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical <111>-oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  2. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  3. Solution synthesis of lead seeded germanium nanowires and branched nanowire networks and their application as Li-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Flynn, Grace; Palaniappan, Kumaranand; Sheehan, Martin; Kennedy, Tadhg; Ryan, Kevin M.

    2017-06-01

    Herein, we report the high density growth of lead seeded germanium nanowires (NWs) and their development into branched nanowire networks suitable for application as lithium ion battery anodes. The synthesis of the NWs from lead seeds occurs simultaneously in both the liquid zone (solution-liquid-solid (SLS) growth) and solvent rich vapor zone (vapor-liquid-solid (VLS) growth) of a high boiling point solvent growth system. The reaction is sufficiently versatile to allow for the growth of NWs directly from either an evaporated catalyst layer or from pre-defined nanoparticle seeds and can be extended to allowing extensive branched nanowire formation in a secondary reaction where these seeds are coated onto existing wires. The NWs are characterized using TEM, SEM, XRD and DF-STEM. Electrochemical analysis was carried out on both the single crystal Pb-Ge NWs and the branched Pb-Ge NWs to assess their suitability for use as anodes in a Li-ion battery. Differential capacity plots show both the germanium wires and the lead seeds cycle lithium and contribute to the specific capacity that is approximately 900 mAh g-1 for the single crystal wires, rising to approximately 1100 mAh g-1 for the branched nanowire networks.

  4. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction.

    PubMed

    Hsu, Hsun-Feng; Huang, Wan-Ru; Chen, Ting-Hsuan; Wu, Hwang-Yuan; Chen, Chun-An

    2013-05-10

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.

  5. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction

    PubMed Central

    2013-01-01

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation. PMID:23663726

  6. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  7. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  8. Vertically grown nanowire crystals of dibenzotetrathienocoronene (DBTTC) on large-area graphene

    DOE PAGES

    Kim, B.; Chiu, C. -Y.; Kang, S. J.; ...

    2016-06-01

    Here we demonstrate controlled growth of vertical organic crystal nanowires on single layer graphene. Using Scanning Electron Microscopy (SEM), high-resolution transition electron microscopy (TEM), and Grazing Incidence X-ray Diffraction (GIXD), we probe the microstructure and morphology of dibenzotetrathienocoronene (DBTTC) nanowires epitaxially grown on graphene. The investigation is performed at both the ensemble and single nanowire level, and as function of growth parameters, providing insight of and control over the formation mechanism. Finally, the size, density and height of the nanowires can be tuned via growth conditions, opening new avenues for tailoring three-dimensional (3-D) nanostructured architectures for organic electronics with improvedmore » functional performance.« less

  9. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  10. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  11. Alumina nanowire growth by water decomposition and the peritectic reaction of decagonal Al{sub 65}Cu{sub 15}Co{sub 20} quasicrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Téllez-Vázquez, J.O., E-mail: oswald.tellez@gmail.com; Patiño-Carachure, C., E-mail: cpatino@pampano.unacar.mx; Rosas, G., E-mail: grtrejo@yahoo07.com.mx

    2016-02-15

    In this paper, the results of the Al{sub 2}O{sub 3} nanowires' growth through a chemical reaction between Al and water vapor at 1050 °C are presented. Our approach is based on two primary considerations. First, at room temperature, the Al{sub 65}Cu{sub 15}Co{sub 20} alloy is affected by the following mechanism: 2Al (s) + 3H{sub 2}O (g) → Al{sub 2}O{sub 3} (s) + H{sub 2} (g). In this reaction, the released hydrogen induces cleavage fracture of the material to form small particles. Second, the Al{sub 65}Cu{sub 15}Co{sub 20} quasicrystalline phase is transformed on heating to liquid + Al (Cu, Co) cubicmore » phase through a peritectic reaction at 1050 °C. The Al-rich liquid then reacts with water vapor, forming Al{sub 2}O{sub 3} nanowires. X-ray diffraction (XRD) analysis shows that the formed nanowires have a hexagonal structure, and infrared analysis further confirms the presence of α-Al{sub 2}O{sub 3} phase in the final products. Transmission electron microscopy observations show that nanoparticles are present at the end of nanowires, suggesting the VLS growth mechanism. Elemental analysis by energy dispersive spectroscopy (EDS) indicates that the particles at the tip of the nanowires are mainly formed by Co and Cu alloying elements and small amounts of Al. Electron microscopy observations showed nanowires with diameters ranging from 20 to 70 nm; the average diameter was 37 nm and the nanowire lengths were up to several micrometers. - Highlights: • Hexagonal alumina nanowires are grown at 1050 °C through the VLS process. • Alumina nanowires are obtained by the decomposition of decagonal quasicrystalline phase. • The decagonal phase decomposition follows a peritectic reaction at 1030 °C. • Nanoparticles are obtained by hydrogen embrittlement mechanism. • The nanoparticles catalyze the water decomposition to form wires.« less

  12. Scenarios of stable Vapor→Liquid Droplet→Solid Nanowire growth

    NASA Astrophysics Data System (ADS)

    Nebol`sin, Valery A.; Dunaev, Alexander I.; Tatarenkov, Alexander F.; Shmakova, Svetlana S.

    2016-09-01

    In the process of Nanowire (NW) growth under the Vapor→Liquid Droplet→Solid (VLS) scheme, the stages that reach the boundary of the crystallization front (the triple phase line (TPL)) under the droplet of the catalyst are either absorbed by the TPL, or accumulate ahead of it. It has been shown that, in the first case, TPL can release stages, which leads to a decrease in supersaturation necessary for NW growth. An equation has been derived, which defines the change in free surface energy of the three-phase system in the absorption (release) of a stage, being a function of the contact angle of the droplet, and the ratio between the phase conjugation angles interface at equilibrium shift in the boundary line. A thermodynamic model has been developed and three possible scenarios for sustainable NW growth: Non-Wetting, Wetting and Fully Wetting have been considered in accordance with the processes occurring at the interface of three phases. The results obtained for each scenario were used to analyze the polytypism of GaAs and InAs NW, the radial periodic instability of Si NW and the formation of "negative" NW.

  13. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  14. Catalyst-Free Growth of Large Scale Ga2O3 Nanowires

    DTIC Science & Technology

    2001-11-01

    XRD and TEM analyses indicate that the Ga 20 3 nanowires exhibit a monoclinic structure. PL characteristic of the Ga2O3 nanowires shows a UV emission...using Ga metal and N2 / H 20 reactants. The Ga2O3 nanowires, which have diameters ranging from 60 to 150 nm and lengths of several micrometers, are 133

  15. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  16. Boron carbide nanowires: Synthesis and characterization

    NASA Astrophysics Data System (ADS)

    Guan, Zhe

    Bulk boron carbide has been widely used in ballistic armored vest and the property characterization has been heavily focused on mechanical properties. Even though boron carbides have also been projected as a promising class of high temperature thermoelectric materials for energy harvesting, the research has been limited in this field. Since the thermal conductivity of bulk boron carbide is still relatively high, there is a great opportunity to take advantage of the nano effect to further reduce it for better thermoelectric performance. This dissertation work aims to explore whether improved thermoelectric performance can be found in boron carbide nanowires compared with their bulk counterparts. This dissertation work consists of four main parts. (1) Synthesis of boron carbide nanowires. Boron carbide nanowires were synthesized by co-pyrolysis of diborane and methane at low temperatures (with 879 °C as the lowest) in a home-built low pressure chemical vapor deposition (LPCVD) system. The CVD-based method is energy efficient and cost effective. The as-synthesized nanowires were characterized by electron microscopy extensively. The transmission electron microscopy (TEM) results show the nanowires are single crystalline with planar defects. Depending on the geometrical relationship between the preferred growth direction of the nanowire and the orientation of the defects, the as-synthesized nanowires could be further divided into two categories: transverse fault (TF) nanowires grow normal to the defect plane, while axial fault (AF) ones grow within the defect plane. (2) Understanding the growth mechanism of as-synthesized boron carbide nanowires. The growth mechanism can be generally considered as the famous vapor-liquid-solid (VLS) mechanism. TF and AF nanowires were found to be guided by Ni-B catalysts of two phases. A TF nanowire is lead by a hexagonal phase catalyst, which was proved to be in a liquid state during reaction. While an AF nanowires is catalyzed by a

  17. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  18. Catalyst-free fabrication of novel ZnO/CuO core-Shell nanowires heterojunction: Controlled growth, structural and optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Khan, Muhammad Arif; Wahab, Yussof; Muhammad, Rosnita; Tahir, Muhammad; Sakrani, Samsudi

    2018-03-01

    Development of controlled growth and vertically aligned ZnO/CuO core-shell heterojunction nanowires (NWs) with large area by a catalyst free vapor deposition and oxidation approach has been investigated. Structural characterization reveals successful fabrication of a core ZnO nanowire having single crystalline hexagonal wurtzite structure along [002] direction and CuO nanostructure shell with thickness (8-10 nm) having polycrystalline monoclinic structure. The optical property analysis suggests that the reflectance spectrum of ZnO/CuO heterostructure nanowires is decreased by 18% in the visible range, which correspondingly shows high absorption in this region as compared to pristine ZnO nanowires. The current-voltage (I-V) characteristics of core-shell heterojunction nanowires measured by conductive atomic force microscopy (C-AFM) shows excellent rectifying behavior, which indicates the characteristics of a good p-n junction. The high-resolution transmission electron microscopy (HRTEM) has confirmed the sharp junction interface between the core-shell heterojunction nanowire arrays. The valence band offset and conduction band offset at ZnO/CuO heterointerfaces are measured to be 2.4 ± 0.05 and 0.23 ± 0.005 eV respectively, using X-ray photoelectron spectroscopy (XPS) and a type-II band alignment structure is found. The results of this study contribute to the development of new advanced device heterostructures for solar energy conversion and optoelectronics applications.

  19. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    PubMed

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  20. Growth mechanism of isolated indium nanowires formed on Si(5 5 12)-2 × 1 templates

    NASA Astrophysics Data System (ADS)

    Zhu, Yong-Zhe; Kim, Hidong; Seo, Jae M.

    2012-08-01

    Through self-assembly of In atoms on a reconstructed Si(5 5 12)-2×1 surface, nanowires of a width less than 5 nm have been formed. One specific site of the one-dimensional structure of the substrate turns out to be inert to arriving In atoms so that the self-assembled nanowires are well-isolated from each other, resulting in a high aspect ratio. In addition to such an isolation, keeping the same periodicity as the substrate ( i.e., 5.35 nm) during such self-assembly is another interesting point of the present system. In the present study, the detailed growth mechanism has been disclosed by using scanning tunneling microscopy.

  1. Disposable sensor based on enzyme-free Ni nanowire array electrode to detect glutamate.

    PubMed

    Jamal, Mamun; Hasan, Maksudul; Mathewson, Alan; Razeeb, Kafil M

    2013-02-15

    Enzyme free electrochemical sensor platform based on a vertically aligned nickel nanowire array (NiNAE) and Pt coated nickel nanowire array (Pt/NiNAE) have been developed to detect glutamate. Morphological characterisation of Ni electrodes was carried out using scanning and transmission electron microscopy combined with energy dispersive X-ray (SEM-EDX), X-ray diffraction (XRD) and transmission electron microscopy (TEM). Cyclic voltammetry (CV) and amperometry were used to evaluate the catalytic activity of the NiNAE and the Pt/NiNAE for glutamate. It has been found that both NiNAE and Pt/NiNAE electrodes showed remarkably enhanced electrocatalytic activity towards glutamate compared to planar Ni electrodes, and showed higher catalytic activity when compared to other metallic nanostructure electrodes such as gold nanowire array electrodes (AuNAE) and Pt coated gold nanowire array electrode (Pt/AuNAE). The sensitivity of NiNAE and Pt/NiNAE has been found to be 65 and 96 μA mM(-1) cm(-2), respectively, which is approximately 6 to 9 times higher than the state of the art glutamate sensor. Under optimal detection conditions, the as prepared sensors exhibited linear behaviour for glutamate detection in the concentration up to 8mM for both NiNAE and Pt/NiNAE with a limit of detection of 68 and 83 μM, respectively. Experimental results show that the vertically aligned ordered nickel nanowire array electrode (NiNAE) has significant promise for fabricating cost effective, enzyme-less, sensitive, stable and selective sensor platform. Copyright © 2012 Elsevier B.V. All rights reserved.

  2. Preparation and characterization of oriented silica nanowires

    NASA Astrophysics Data System (ADS)

    Sun, S. H.; Meng, G. W.; Zhang, M. G.; Tian, Y. T.; Xie, T.; Zhang, L. D.

    2003-11-01

    Large-scale of oriented closely packed silica nanowire bunches have been synthesized by using large size (1-10 μm in diameter), low melting point tin droplets as catalyst on silicon wafers at 980 °C. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses show that the amorphous silica nanowires have lengths of 50-100 μm and diameters of 100-200 nm. Unlike any previous observed results using high melting point metal (such as gold and iron) as catalyst, the Sn catalyst growth exhibits many interesting phenomena. Each Sn ball can simultaneously catalyze the growth of many silica nanowires, which is quite different from the conventional vapor-liquid-solid process.

  3. Theoretical Study of the Structure, Stability and Oxygen Reduction Activity of Ultrathin Platinum Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matanovic, Ivana; Kent, Paul; Garzon, Fernando

    2012-10-10

    We use density functional theory to study the difference in the structure, stability and catalytic reactivity between ultrathin, 0.5- 1.0 nm diameter, platinum nanotubes and nanowires. Model nanowires were formed by inserting an inner chain of platinum atoms in small diameter nanotubes. In this way more stable, nonhollow structures were formed. The difference in the electronic structure of platinum nanotubes and nanowires was examined by inspecting the density of surface states and band structure. Furthermore, reactivity towards the oxygen reduction reaction of platinum nanowires was addressed by studying the change in the chemisorption energies of oxygen and hydroxyl groups, inducedmore » by inserting the inner chain of platinum atoms into the hollow nanotubes. Both ultrathin platinum nanotubes and nanowires show distinct properties compared to bulk platinum. Nanotubes with diameters larger than 1 nm show promise for use as oxygen reduction catalysts.« less

  4. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  5. Highly Efficient SERS Nanowire/Ag Composites

    DTIC Science & Technology

    2007-01-01

    Ga2O3 nanowires was performed by the vapor- liquid-solid (VLS) growth in a tube furnace, using Si(100) and Si(111) substrates and a 20 nm Au film3. Ga...Rhodamine 6G/methanol and DNT/methanol dilutions. The Ga2O3 /Ag nanowire composite substrates are shown in Figure 1a. As can be seen, they consist of a...significant improvement over nanosphere-type SERS substrates. Conclusion: Randomly oriented Ga2O3 /Ag nanowire networks have been formed and we

  6. Vertical Growth of Superconducting Crystalline Hollow Nanowires by He+ Focused Ion Beam Induced Deposition.

    PubMed

    Córdoba, Rosa; Ibarra, Alfonso; Mailly, Dominique; De Teresa, José Ma

    2018-02-14

    Novel physical properties appear when the size of a superconductor is reduced to the nanoscale, in the range of its superconducting coherence length (ξ 0 ). Such nanosuperconductors are being investigated for potential applications in nanoelectronics and quantum computing. The design of three-dimensional nanosuperconductors allows one to conceive novel schemes for such applications. Here, we report for the first time the use of a He + focused-ion-beam-microscope in combination with the W(CO) 6 precursor to grow three-dimensional superconducting hollow nanowires as small as 32 nm in diameter and with an aspect ratio (length/diameter) of as much as 200. Such extreme resolution is achieved by using a small He + beam spot of 1 nm for the growth of the nanowires. As shown by transmission electron microscopy, they display grains of large size fitting with face-centered cubic WC 1-x phase. The nanowires, which are grown vertically to the substrate, are felled on the substrate by means of a nanomanipulator for their electrical characterization. They become superconducting at 6.4 K and show large critical magnetic field and critical current density resulting from their quasi-one-dimensional superconducting character. These results pave the way for future nanoelectronic devices based on three-dimensional nanosuperconductors.

  7. Permanent bending and alignment of ZnO nanowires.

    PubMed

    Borschel, Christian; Spindler, Susann; Lerose, Damiana; Bochmann, Arne; Christiansen, Silke H; Nietzsche, Sandor; Oertel, Michael; Ronning, Carsten

    2011-05-06

    Ion beams can be used to permanently bend and re-align nanowires after growth. We have irradiated ZnO nanowires with energetic ions, achieving bending and alignment in different directions. Not only the bending of single nanowires is studied in detail, but also the simultaneous alignment of large ensembles of ZnO nanowires. Computer simulations reveal how the bending is initiated by ion beam induced damage. Detailed structural characterization identifies dislocations to relax stresses and make the bending and alignment permanent, even surviving annealing procedures.

  8. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  9. Direct Growth of III-Nitride Nanowire-Based Yellow Light-Emitting Diode on Amorphous Quartz Using Thin Ti Interlayer

    NASA Astrophysics Data System (ADS)

    Prabaswara, Aditya; Min, Jung-Wook; Zhao, Chao; Janjua, Bilal; Zhang, Daliang; Albadri, Abdulrahman M.; Alyamani, Ahmed Y.; Ng, Tien Khee; Ooi, Boon S.

    2018-02-01

    Consumer electronics have increasingly relied on ultra-thin glass screen due to its transparency, scalability, and cost. In particular, display technology relies on integrating light-emitting diodes with display panel as a source for backlighting. In this study, we undertook the challenge of integrating light emitters onto amorphous quartz by demonstrating the direct growth and fabrication of a III-nitride nanowire-based light-emitting diode. The proof-of-concept device exhibits a low turn-on voltage of 2.6 V, on an amorphous quartz substrate. We achieved 40% transparency across the visible wavelength while maintaining electrical conductivity by employing a TiN/Ti interlayer on quartz as a translucent conducting layer. The nanowire-on-quartz LED emits a broad linewidth spectrum of light centered at true yellow color ( 590 nm), an important wavelength bridging the green-gap in solid-state lighting technology, with significantly less strain and dislocations compared to conventional planar quantum well nitride structures. Our endeavor highlighted the feasibility of fabricating III-nitride optoelectronic device on a scalable amorphous substrate through facile growth and fabrication steps. For practical demonstration, we demonstrated tunable correlated color temperature white light, leveraging on the broadly tunable nanowire spectral characteristics across red-amber-yellow color regime.

  10. Direct Growth of III-Nitride Nanowire-Based Yellow Light-Emitting Diode on Amorphous Quartz Using Thin Ti Interlayer.

    PubMed

    Prabaswara, Aditya; Min, Jung-Wook; Zhao, Chao; Janjua, Bilal; Zhang, Daliang; Albadri, Abdulrahman M; Alyamani, Ahmed Y; Ng, Tien Khee; Ooi, Boon S

    2018-02-06

    Consumer electronics have increasingly relied on ultra-thin glass screen due to its transparency, scalability, and cost. In particular, display technology relies on integrating light-emitting diodes with display panel as a source for backlighting. In this study, we undertook the challenge of integrating light emitters onto amorphous quartz by demonstrating the direct growth and fabrication of a III-nitride nanowire-based light-emitting diode. The proof-of-concept device exhibits a low turn-on voltage of 2.6 V, on an amorphous quartz substrate. We achieved ~ 40% transparency across the visible wavelength while maintaining electrical conductivity by employing a TiN/Ti interlayer on quartz as a translucent conducting layer. The nanowire-on-quartz LED emits a broad linewidth spectrum of light centered at true yellow color (~ 590 nm), an important wavelength bridging the green-gap in solid-state lighting technology, with significantly less strain and dislocations compared to conventional planar quantum well nitride structures. Our endeavor highlighted the feasibility of fabricating III-nitride optoelectronic device on a scalable amorphous substrate through facile growth and fabrication steps. For practical demonstration, we demonstrated tunable correlated color temperature white light, leveraging on the broadly tunable nanowire spectral characteristics across red-amber-yellow color regime.

  11. Nanoparticle Stability in Axial InAs-InP Nanowire Heterostructures with Atomically Sharp Interfaces.

    PubMed

    Zannier, Valentina; Rossi, Francesca; Dubrovskii, Vladimir G; Ercolani, Daniele; Battiato, Sergio; Sorba, Lucia

    2018-01-10

    The possibility to expand the range of material combinations in defect-free heterostructures is one of the main motivations for the great interest in semiconductor nanowires. However, most axial nanowire heterostructures suffer from interface compositional gradients and kink formation, as a consequence of nanoparticle-nanowire interactions during the metal-assisted growth. Understanding such interactions and how they affect the growth mode is fundamental to achieve a full control over the morphology and the properties of nanowire heterostructures for device applications. Here we demonstrate that the sole parameter affecting the growth mode (straight or kinked) of InP segments on InAs nanowire stems by the Au-assisted method is the nanoparticle composition. Indeed, straight InAs-InP nanowire heterostructures are obtained only when the In/Au ratio in the nanoparticles is low, typically smaller than 1.5. For higher In content, the InP segments tend to kink. Tailoring the In/Au ratio by the precursor fluxes at a fixed growth temperature enables us to obtain straight and radius-uniform InAs-InP nanowire heterostructures (single and double) with atomically sharp interfaces. We present a model that is capable of describing all the experimentally observed phenomena: straight growth versus kinking, the stationary nanoparticle compositions in pure InAs and InAs-InP nanowires, the crystal phase trends, and the interfacial abruptness. By taking into account different nanowire/nanoparticle interfacial configurations (forming wetting or nonwetting monolayers in vertical or tapered geometry), our generalized model provides the conditions of nanoparticle stability and abrupt heterointerfaces for a rich variety of growth scenarios. Therefore, our results provide a powerful tool for obtaining high quality InAs-InP nanowire heterostructures with well-controlled properties and can be extended to other material combinations based on the group V interchange.

  12. Segmented nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2013-03-05

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  13. Manganese silicide nanowires on Si(001).

    PubMed

    Liu, H J; Owen, J H G; Miki, K; Renner, Ch

    2011-05-04

    A method for promoting the growth of manganese silicide nanowires on Si(001) at 450 °C is described. The anisotropic surface stress generated by bismuth nanolines blocks the formation of embedded structures and stabilizes the nucleation of manganese silicide islands which grow in a preferred direction, forming nanowires with a band gap of approximately 0.6 eV, matching the reported band gap of MnSi(1.7). This method may also provide a means to form silicide nanowires of other metals where they do not otherwise form. © 2011 IOP Publishing Ltd

  14. Simple synthetic route to manganese-containing nanowires with the spinel crystal structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Lei; Zhang, Yan; Hudak, Bethany M.

    This report describes a new route to synthesize single-crystalline manganese-containing spinel nanowires (NWs) by a two-step hydrothermal and solid-state synthesis. Interestingly, a nanowire or nanorod morphology is maintained during conversion from MnO{sub 2}/MnOOH to CuMn{sub 2}O{sub 4}/Mg{sub 2}MnO{sub 4}, despite the massive structural rearrangement this must involve. Linear sweep voltammetry (LSV) curves of the products give preliminary demonstration that CuMn{sub 2}O{sub 4} NWs are catalytically active towards the oxygen evolution reaction (OER) in alkaline solution, exhibiting five times the magnitude of current density found with pure carbon black. - Highlights: • Synthesis of single-crystalline manganese-containing spinel nanowires. • Binary oxidemore » nanowire converted to ternary oxide wire through solid state reaction. • Approach to structure conversion with shape retention could be generally applicable. • Copper and Manganese display multiple oxidation states with potential for catalysis. • CuMn{sub 2}O{sub 4} nanowires show promise as catalysts for the oxygen evolution reaction.« less

  15. Internal structure of multiphase zinc-blende wurtzite gallium nitride nanowires.

    PubMed

    Jacobs, B W; Ayres, V M; Crimp, M A; McElroy, K

    2008-10-08

    In this paper, the internal structure of novel multiphase gallium nitride nanowires in which multiple zinc-blende and wurtzite crystalline domains grow simultaneously along the entire length of the nanowire is investigated. Orientation relationships within the multiphase nanowires are identified using high-resolution transmission electron microscopy of nanowire cross-sections fabricated with a focused ion beam system. A coherent interface between the zinc-blende and wurtzite phases is identified. A mechanism for catalyst-free vapor-solid multiphase nanowire nucleation and growth is proposed.

  16. Nanowire Thermoelectric Devices

    NASA Technical Reports Server (NTRS)

    Borshchevsky, Alexander; Fleurial, Jean-Pierre; Herman, Jennifer; Ryan, Margaret

    2005-01-01

    Nanowire thermoelectric devices, now under development, are intended to take miniaturization a step beyond the prior state of the art to exploit the potential advantages afforded by shrinking some device features to approximately molecular dimensions (of the order of 10 nm). The development of nanowire-based thermoelectric devices could lead to novel power-generating, cooling, and sensing devices that operate at relatively low currents and high voltages. Recent work on the theory of thermoelectric devices has led to the expectation that the performance of such a device could be enhanced if the diameter of the wires could be reduced to a point where quantum confinement effects increase charge-carrier mobility (thereby increasing the Seebeck coefficient) and reduce thermal conductivity. In addition, even in the absence of these effects, the large aspect ratios (length of the order of tens of microns diameter of the order of tens of nanometers) of nanowires would be conducive to the maintenance of large temperature differences at small heat fluxes. The predicted net effect of reducing diameters to the order of tens of nanometers would be to increase its efficiency by a factor of .3. Nanowires made of thermoelectric materials and devices that comprise arrays of such nanowires can be fabricated by electrochemical growth of the thermoelectric materials in templates that contain suitably dimensioned pores (10 to 100 nm in diameter and 1 to 100 microns long). The nanowires can then be contacted in bundles to form devices that look similar to conventional thermoelectric devices, except that a production version may contain nearly a billion elements (wires) per square centimeter, instead of fewer than a hundred as in a conventional bulk thermoelectric device or fewer than 100,000 as in a microdevice. It is not yet possible to form contacts with individual nanowires. Therefore, in fabricating a nanowire thermoelectric device, one forms contacts on nanowires in bundles of the

  17. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  18. Single-crystalline chromium silicide nanowires and their physical properties.

    PubMed

    Hsu, Han-Fu; Tsai, Ping-Chen; Lu, Kuo-Chang

    2015-01-01

    In this work, chromium disilicide nanowires were synthesized by chemical vapor deposition (CVD) processes on Si (100) substrates with hydrous chromium chloride (CrCl3 · 6H2O) as precursors. Processing parameters, including the temperature of Si (100) substrates and precursors, the gas flow rate, the heating time, and the different flow gas of reactions were varied and studied; additionally, the physical properties of the chromium disilicide nanowires were measured. It was found that single-crystal CrSi2 nanowires with a unique morphology were grown at 700°C, while single-crystal Cr5Si3 nanowires were grown at 750°C in reducing gas atmosphere. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with magnetism, photoluminescence, and field emission measurements demonstrates that CrSi2 nanowires are attractive choices for future applications in magnetic storage, photovoltaic, and field emitters.

  19. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  20. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  1. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  2. Morphology control of layer-structured gallium selenide nanowires.

    PubMed

    Peng, Hailin; Meister, Stefan; Chan, Candace K; Zhang, Xiao Feng; Cui, Yi

    2007-01-01

    Layer-structured group III chalcogenides have highly anisotropic properties and are attractive materials for stable photocathodes and battery electrodes. We report the controlled synthesis and characterization of layer-structured GaSe nanowires via a catalyst-assisted vapor-liquid-solid (VLS) growth mechanism during GaSe powder evaporation. GaSe nanowires consist of Se-Ga-Ga-Se layers stacked together via van der Waals interactions to form belt-shaped nanowires with a growth direction along the [11-20], width along the [1-100], and height along the [0001] direction. Nanobelts exhibit a variety of morphologies including straight, zigzag, and saw-tooth shapes. These morphologies are realized by controlling the growth temperature and time so that the actual catalysts have a chemical composition of Au, Au-Ga alloy, or Ga. The participation of Ga in the VLS catalyst is important for achieving different morphologies of GaSe. In addition, GaSe nanotubes are also prepared by a slow growth process.

  3. Nanopipes in gallium nitride nanowires and rods.

    PubMed

    Jacobs, Benjamin W; Crimp, Martin A; McElroy, Kaylee; Ayres, Virginia M

    2008-12-01

    Gallium nitride nanowires and rods synthesized by a catalyst-free vapor-solid growth method were analyzed with cross section high-resolution transmission electron microscopy. The cross section studies revealed hollow core screw dislocations, or nanopipes, in the nanowires and rods. The hollow cores were located at or near the center of the nanowires and rods, along the axis of a screw dislocation. The formation of the hollow cores is consistent with effect of screw dislocations with giant Burgers vector predicted by Frank.

  4. Field emission and photoluminescence characteristics of ZnS nanowires via vapor phase growth

    NASA Astrophysics Data System (ADS)

    Chang, Yongqin; Wang, Mingwei; Chen, Xihong; Ni, Saili; Qiang, Weijing

    2007-05-01

    Large-area ZnS nanowires were synthesized through a vapor phase deposition method. X-ray diffraction and electron microscopy results show that the products are composed of single crystalline ZnS nanowires with a cubic structure. The nanowires have sharp tips and are distributed uniformly on silicon substrates. The diameter of the bases is in the range of 320-530 nm and that of the tips is around 20-30 nm. The strong ultraviolet emission in the photoluminescence spectra also demonstrates that the ZnS nanowires are of high crystalline perfection. Field emission measurements reveal that the ZnS nanowires have a fairly low threshold field, which may be ascribed to their very sharp tips, rough surfaces and high crystal quality. The perfect field emission ability of the ZnS nanowires makes them a promising candidate for the fabrication of flexible cold cathodes.

  5. "Hot spots" growth on single nanowire controlled by electric charge.

    PubMed

    Xi, Shaobo; Liu, Xuehua; He, Ting; Tian, Lei; Wang, Wenhui; Sun, Rui; He, Weina; Zhang, Xuetong; Zhang, Jinping; Ni, Weihai; Zhou, Xiaochun

    2016-06-09

    "Hot spots" - a kind of highly active site, which are usually composed of some unique units, such as defects, interfaces, catalyst particles or special structures - can determine the performance of nanomaterials. In this paper, we study a model system, i.e. "hot spots" on a single Ag nanowire in the galvanic replacement reaction (GRR), by dark-field microscopy. The research reveals that electric charge can be released by the formation reaction of AgCl, and consequently the electrochemical potential on Ag nanowire drops. The electric charge could induce the reduction of Ag(+) to form the "hot spots" on the nanowire during the GRR. The appearance probability of "hot spots" is almost even along the Ag nanowire, while it is slightly lower near the two ends. The spatial distance between adjacent "hot spots" is also controlled by the charge, and obeys a model based on Boltzmann distribution. In addition, the distance distribution here has an advantage in electron transfer and energy saving. Therefore, it's necessary to consider the functions of electric charge during the synthesis or application of nanomaterials.

  6. Growth and characterization of dilute nitride GaN{sub x}P{sub 1−x} nanowires and GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowires on Si (111) by gas source molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sukrittanon, S.; Kuang, Y. J.; Dobrovolsky, A.

    2014-08-18

    We have demonstrated self-catalyzed GaN{sub x}P{sub 1−x} and GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowire growth by gas-source molecular beam epitaxy. The growth window for GaN{sub x}P{sub 1−x} nanowires was observed to be comparable to that of GaP nanowires (∼585 °C to ∼615 °C). Transmission electron microscopy showed a mixture of cubic zincblende phase and hexagonal wurtzite phase along the [111] growth direction in GaN{sub x}P{sub 1−x} nanowires. A temperature-dependent photoluminescence (PL) study performed on GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowires exhibited an S-shape dependence of the PL peaks. This suggests that at low temperature, the emission stems from N-related localizedmore » states below the conduction band edge in the shell, while at high temperature, the emission stems from band-to-band transition in the shell as well as recombination in the GaN{sub x}P{sub 1−x} core.« less

  7. Formation of Ordered and Disordered Dielectric/metal Nanowire Arrays and their Plasmonic Behavior

    DTIC Science & Technology

    2007-01-01

    sheath geometry. 2. EXPERIMENTAL PROCEDURES Several different nanowire systems have been grown, including random Ga2O3 nanowires, InAs...nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation...CLOSELY-SPACED PARALLEL ZnO NANOWIRES AND CROSSED Ga2O3 NANOWIRES. As discussed above, due to the far separation of the gold colloid catalyst in the

  8. FFT-impedance spectroscopy analysis of the growth of magnetic metal nanowires in ultra-high aspect ratio InP membranes

    NASA Astrophysics Data System (ADS)

    Gerngross, M.-D.; Carstensen, J.; Föll, H.; Adelung, R.

    2016-01-01

    This paper reports on the characterization of the electrochemical growth process of magnetic nanowires in ultra-high-aspect ratio InP membranes via in situ fast Fourier transform impedance spectroscopy in a typical frequency range from 75 Hz to 18.5 kHz. The measured impedance data from the Ni, Co, and FeCo can be very well fitted using the same electric equivalent circuit consisting of a series resistance in serial connection to an RC-element and a Maxwell element. The impedance data clearly indicate the similarities in the growth behavior of Ni, Co and FeCo nanowires in ultra-high aspect ratio InP membranes—the beneficial impact of boric acid on the metal deposition in ultra-high aspect ratio membranes and the diffusion limitation of boric acid, as well as differences such as passivation or side reactions.

  9. Silicon nanowire synthesis by a vapor-liquid-solid approach.

    PubMed

    Mao, Aaron; Ng, H T; Nguyen, Pho; McNeil, Melanie; Meyyappan, M

    2005-05-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  10. Silicon nanowire synthesis by a vapor-liquid-solid approach

    NASA Technical Reports Server (NTRS)

    Mao, Aaron; Ng, H. T.; Nguyen, Pho; McNeil, Melanie; Meyyappan, M.

    2005-01-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  11. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Southward, Robin E. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Delozier, Donavon Mark (Inventor); Smith, Joseph G. (Inventor); Watson, Kent A. (Inventor)

    2014-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  12. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Smith, Jr., Joseph G. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Southward, Robin E. (Inventor); Delozier, Donavon Mark (Inventor); Watson, Kent A. (Inventor)

    2016-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  13. Catalyst-free growth of ZnO nanowires on ITO seed/glass by thermal evaporation method: Effects of ITO seed layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alsultany, Forat H., E-mail: foratusm@gmail.com; Ahmed, Naser M.; Hassan, Z.

    A seed/catalyst-free growth of ZnO nanowires (ZnO-NWs) on a glass substrate were successfully fabricated using thermal evaporation technique. These nanowires were grown on ITO seed layers of different thicknesses of 25 and 75 nm, which were deposited on glass substrates by radio frequency (RF) magnetron sputtering. Prior to synthesized ITO nanowires, the sputtered ITO seeds were annealed using the continuous wave (CW) CO2 laser at 450 °C in air for 15 min. The effect of seed layer thickness on the morphological, structural, and optical properties of ZnO-NWs were systematically investigated by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM),more » and UV-Vis spectrophotometer.« less

  14. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    PubMed

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  15. Selective MBE growth of hexagonal networks of trapezoidal and triangular GaAs nanowires on patterned (1 1 1)B substrates

    NASA Astrophysics Data System (ADS)

    Tamai, Isao; Hasegawa, Hideki

    2007-04-01

    As a combination of novel hardware architecture and novel system architecture for future ultrahigh-density III-V nanodevice LSIs, the authors' group has recently proposed a hexagonal binary decision diagram (BDD) quantum circuit approach where gate-controlled path switching BDD node devices for a single or few electrons are laid out on a hexagonal nanowire network to realize a logic function. In this paper, attempts are made to establish a method to grow highly dense hexagonal nanowire networks for future BDD circuits by selective molecular beam epitaxy (MBE) on (1 1 1)B substrates. The (1 1 1)B orientation is suitable for BDD architecture because of the basic three-fold symmetry of the BDD node device. The growth experiments showed complex evolution of the cross-sectional structures, and it was explained in terms of kinetics determining facet boundaries. Straight arrays of triangular nanowires with 60 nm base width as well as hexagonal arrays of trapezoidal nanowires with a node density of 7.5×10 6 cm -2 were successfully grown with the aid of computer simulation. The result shows feasibility of growing high-density hexagonal networks of GaAs nanowires with precise control of the shape and size.

  16. Growth and characterization of gold catalyzed SiGe nanowires and alternative metal-catalyzed Si nanowires

    PubMed Central

    2011-01-01

    The growth of semiconductor (SC) nanowires (NW) by CVD using Au-catalyzed VLS process has been widely studied over the past few years. Among others SC, it is possible to grow pure Si or SiGe NW thanks to these techniques. Nevertheless, Au could deteriorate the electric properties of SC and the use of other metal catalysts will be mandatory if NW are to be designed for innovating electronic. First, this article's focus will be on SiGe NW's growth using Au catalyst. The authors managed to grow SiGe NW between 350 and 400°C. Ge concentration (x) in Si1-xGex NW has been successfully varied by modifying the gas flow ratio: R = GeH4/(SiH4 + GeH4). Characterization (by Raman spectroscopy and XRD) revealed concentrations varying from 0.2 to 0.46 on NW grown at 375°C, with R varying from 0.05 to 0.15. Second, the results of Si NW growths by CVD using alternatives catalysts such as platinum-, palladium- and nickel-silicides are presented. This study, carried out on a LPCVD furnace, aimed at defining Si NW growth conditions when using such catalysts. Since the growth temperatures investigated are lower than the eutectic temperatures of these Si-metal alloys, VSS growth is expected and observed. Different temperatures and HCl flow rates have been tested with the aim of minimizing 2D growth which induces an important tapering of the NW. Finally, mechanical characterization of single NW has been carried out using an AFM method developed at the LTM. It consists in measuring the deflection of an AFM tip while performing approach-retract curves at various positions along the length of a cantilevered NW. This approach allows the measurement of as-grown single NW's Young modulus and spring constant, and alleviates uncertainties inherent in single point measurement. PMID:21711709

  17. Exponential growth for self-reproduction in a catalytic reaction network: relevance of a minority molecular species and crowdedness

    NASA Astrophysics Data System (ADS)

    Kamimura, Atsushi; Kaneko, Kunihiko

    2018-03-01

    Explanation of exponential growth in self-reproduction is an important step toward elucidation of the origins of life because optimization of the growth potential across rounds of selection is necessary for Darwinian evolution. To produce another copy with approximately the same composition, the exponential growth rates for all components have to be equal. How such balanced growth is achieved, however, is not a trivial question, because this kind of growth requires orchestrated replication of the components in stochastic and nonlinear catalytic reactions. By considering a mutually catalyzing reaction in two- and three-dimensional lattices, as represented by a cellular automaton model, we show that self-reproduction with exponential growth is possible only when the replication and degradation of one molecular species is much slower than those of the others, i.e., when there is a minority molecule. Here, the synergetic effect of molecular discreteness and crowding is necessary to produce the exponential growth. Otherwise, the growth curves show superexponential growth because of nonlinearity of the catalytic reactions or subexponential growth due to replication inhibition by overcrowding of molecules. Our study emphasizes that the minority molecular species in a catalytic reaction network is necessary for exponential growth at the primitive stage of life.

  18. Phase diagram of nanoscale alloy particles used for vapor-liquid-solid growth of semiconductor nanowires.

    PubMed

    Sutter, Eli; Sutter, Peter

    2008-02-01

    We use transmission electron microscopy observations to establish the parts of the phase diagram of nanometer sized Au-Ge alloy drops at the tips of Ge nanowires (NWs) that determine their temperature-dependent equilibrium composition and, hence, their exchange of semiconductor material with the NWs. We find that the phase diagram of the nanoscale drop deviates significantly from that of the bulk alloy, which explains discrepancies between actual growth results and predictions on the basis of the bulk-phase equilibria. Our findings provide the basis for tailoring vapor-liquid-solid growth to achieve complex one-dimensional materials geometries.

  19. Composition controllability of InGaAs nanowire arrays in selective area growth with controlled pitches on Si platform

    NASA Astrophysics Data System (ADS)

    Chiba, Kohei; Tomioka, Katsuhiro; Yoshida, Akinobu; Motohisa, Junichi

    2017-12-01

    Composition controllability of vertical InGaAs nanowires (NWs) on Si integrated by selective area growth was characterized for Si photonics in the optical telecommunication bands. The pitch of pre-patterned holes (NW sites) changed to an In/Ga alloy-composition in the solid phase during the NW growth. The In composition with a nanometer-scaled pitch differed completely from that with a μm-scaled pitch. Accordingly, the growth morphologies of InGaAs NWs show different behavior with respect to the In/Ga ratio.

  20. Optimization of self-catalyzed InAs Nanowires on flexible graphite for photovoltaic infrared photodetectors

    PubMed Central

    Anyebe, Ezekiel A.; Sandall, I.; Jin, Z. M.; Sanchez, Ana M.; Rajpalke, Mohana K.; Veal, Timothy D.; Cao, Y. C.; Li, H. D.; Harvey, R.; Zhuang, Q. D.

    2017-01-01

    The recent discovery of flexible graphene monolayers has triggered extensive research interest for the development of III-V/graphene functional hybrid heterostructures. In order to fully exploit their enormous potential in device applications, it is essential to optimize epitaxial growth for the precise control of nanowire geometry and density. Herein, we present a comprehensive growth study of InAs nanowires on graphitic substrates by molecular beam epitaxy. Vertically well-aligned and thin InAs nanowires with high yield were obtained in a narrow growth temperature window of 420–450 °C within a restricted domain of growth rate and V/III flux ratio. The graphitic substrates enable high nanowire growth rates, which is favourable for cost-effective device fabrication. A relatively low density of defects was observed. We have also demonstrated InAs-NWs/graphite heterojunction devices exhibiting rectifying behaviour. Room temperature photovoltaic response with a cut-off wavelength of 3.4 μm was demonstrated. This elucidates a promising route towards the monolithic integration of InAs nanowires with graphite for flexible and functional hybrid devices. PMID:28393845

  1. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  2. Measuring and Modeling the Growth Dynamics of Self-Catalyzed GaP Nanowire Arrays.

    PubMed

    Oehler, Fabrice; Cattoni, Andrea; Scaccabarozzi, Andrea; Patriarche, Gilles; Glas, Frank; Harmand, Jean-Christophe

    2018-02-14

    The bottom-up fabrication of regular nanowire (NW) arrays on a masked substrate is technologically relevant, but the growth dynamic is rather complex due to the superposition of severe shadowing effects that vary with array pitch, NW diameter, NW height, and growth duration. By inserting GaAsP marker layers at a regular time interval during the growth of a self-catalyzed GaP NW array, we are able to retrieve precisely the time evolution of the diameter and height of a single NW. We then propose a simple numerical scheme which fully computes shadowing effects at play in infinite arrays of NWs. By confronting the simulated and experimental results, we infer that re-emission of Ga from the mask is necessary to sustain the NW growth while Ga migration on the mask must be negligible. When compared to random cosine or random uniform re-emission from the mask, the simple case of specular reflection on the mask gives the most accurate account of the Ga balance during the growth.

  3. Plasmonic Behavior of Ag/Dielectric Nanowires and the Effect of Geometry

    DTIC Science & Technology

    2009-07-01

    in- cluding random Ga2O3 nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved...PLASMONIC PROPERTIES As discussed above, we have developed a SERS substrate, consisting of Ga2O3 nanowire core/Ag metal sheath nano- structures, which have...signal. As is evident, the nanowire composites are about two orders of magnitude more sensitive than the Mesophotonics substrate. Since these Ga2O3 /Ag

  4. Alloy-assisted deposition of three-dimensional arrays of atomic gold catalyst for crystal growth studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fang, Yin; Jiang, Yuanwen; Cherukara, Mathew J.

    Large-scale assembly of individual atoms over smooth surfaces is difficult to achieve. A configuration of an atom reservoir, in which individual atoms can be readily extracted, may successfully address this challenge. In this work, we demonstrate that a liquid gold-silicon alloy established in classical vapor-liquid-solid growth can deposit ordered and three-dimensional rings of isolated gold atoms over silicon nanowire sidewalls. Here, we perform ab initio molecular dynamics simulation and unveil a surprising single atomic gold-catalyzed chemical etching of silicon. Experimental verification of this catalytic process in silicon nanowires yields dopant-dependent, massive and ordered 3D grooves with spacing down to similarmore » to 5 nm. Finally, we use these grooves as self-labeled and ex situ markers to resolve several complex silicon growths, including the formation of nodes, kinks, scale-like interfaces, and curved backbones.« less

  5. Alloy-assisted deposition of three-dimensional arrays of atomic gold catalyst for crystal growth studies

    DOE PAGES

    Fang, Yin; Jiang, Yuanwen; Cherukara, Mathew J.; ...

    2017-12-08

    Large-scale assembly of individual atoms over smooth surfaces is difficult to achieve. A configuration of an atom reservoir, in which individual atoms can be readily extracted, may successfully address this challenge. In this work, we demonstrate that a liquid gold-silicon alloy established in classical vapor-liquid-solid growth can deposit ordered and three-dimensional rings of isolated gold atoms over silicon nanowire sidewalls. Here, we perform ab initio molecular dynamics simulation and unveil a surprising single atomic gold-catalyzed chemical etching of silicon. Experimental verification of this catalytic process in silicon nanowires yields dopant-dependent, massive and ordered 3D grooves with spacing down to similarmore » to 5 nm. Finally, we use these grooves as self-labeled and ex situ markers to resolve several complex silicon growths, including the formation of nodes, kinks, scale-like interfaces, and curved backbones.« less

  6. Effect of field deposition and pore size on Co/Cu barcode nanowires by electrodeposition

    NASA Astrophysics Data System (ADS)

    Cho, Ji Ung; Wu, Jun-Hua; Min, Ji Hyun; Lee, Ju Hun; Liu, Hong-Ling; Kim, Young Keun

    2007-03-01

    We have studied the effect of an external magnetic field applied during electrodeposition of Co/Cu barcode nanowires in anodic aluminum oxide nanotemplates. The magnetic properties of the barcode nanowires were greatly enhanced for 50 nm pore diameter regardless of segment aspect ratio, but field deposition has little effect on the 200 nm nanowires. The magnetic improvement is correlated with a structural change, attributed to field modification of the growth habit of the barcode nanowires. A mechanism of growth subject to geometric confinement is proposed.

  7. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  8. Highly Efficient Surface Enhanced Raman Scattering (SERS) Nanowire/Ag Composites

    DTIC Science & Technology

    2007-01-01

    nanowires are sensitive at low concen- trations, quite repeatable, and inexpensive to produce. Technical Approach: The growth of the Ga2O3 nanowires was...DNT/methanol dilutions. The Ga2O3 /Ag nanowire composite substrates are shown in Fig. 8(a). As can be seen, they consist of a dense random 3D...MATERIALS SCIENCE AND TECHNOLOGY FIGURE 8 (a) Ga2O3 core/Ag shell nanowire composite and (b) comparison of SERS signal for Mesophotonics “Klarite

  9. Synthesis and Optical Properties of Silver Bicrystalline Nanowires

    NASA Astrophysics Data System (ADS)

    Sun, Yugang; Xia, Younan

    2002-11-01

    This paper describes a solution-phase route to the large-scale synthesis of silver nanowires with diameters in the range of 30-40 nm, and lengths up to ~50 μm. The initial step of this synthesis involved the formation of Pt nanoparticles by reducing PtCl2 with ethylene glycol (EG) refluxed at ~160 °C. These Pt nanoparticles could serve as seeds for the growth of silver (formed by reducing AgNO3 with EG) through heterogeneous nucleation process because their crystal structures and lattice constants matched closely. In the presence of poly(vinyl pyrrolidone) (PVP), the growth of silver could be led to a highly anisotropic mode with formation of uniform nanowires. UV-visible spectroscopy was used to track the growth process of silver nanowires because different silver nanostructures exhibited distinctive surface plasmon resonance peaks at different frequencies. SEM, TEM, XRD, and electron diffraction were used to characterize these silver nanowires, indicating the formation of a highly pure face-centered cubic phase, as well as uniform diameter and bicrystalline structure. The morphology of these silver nanostructures could be varied from particles and rods to long wires by tuning the reaction conditions, including reaction temperature, and the ratio of PVP to silver nitrate. These silver nanowires could be used as sacrificial templates to synthesize gold nanotubes via a template-engaged replacement reaction. The dispersion of gold nanotubes exhibited a strong extinction peak in the red regime, which was around 760 nm.

  10. Engineering catalytic activity via ion beam bombardment of catalyst supports for vertically aligned carbon nanotube growth

    NASA Astrophysics Data System (ADS)

    Islam, A. E.; Nikolaev, P.; Amama, P. B.; Zakharov, D.; Sargent, G.; Saber, S.; Huffman, D.; Erford, M.; Semiatin, S. L.; Stach, E. A.; Maruyama, B.

    2015-09-01

    Carbon nanotube growth depends on the catalytic activity of metal nanoparticles on alumina or silica supports. The control on catalytic activity is generally achieved by variations in water concentration, carbon feed, and sample placement on a few types of alumina or silica catalyst supports obtained via thin film deposition. We have recently expanded the choice of catalyst supports by engineering inactive substrates like c-cut sapphire via ion beam bombardment. The deterministic control on the structure and chemistry of catalyst supports obtained by tuning the degree of beam-induced damage have enabled better regulation of the activity of Fe catalysts only in the ion beam bombarded areas and hence enabled controllable super growth of carbon nanotubes. A wide range of surface characterization techniques were used to monitor the catalytically active surface engineered via ion beam bombardment. The proposed method offers a versatile way to control carbon nanotube growth in patterned areas and also enhances the current understanding of the growth process. With the right choice of water concentration, carbon feed and sample placement, engineered catalyst supports may extend the carbon nanotube growth yield to a level that is even higher than the ones reported here, and thus offers promising applications of carbon nanotubes in electronics, heat exchanger, and energy storage.

  11. Boron doped graphene wrapped silver nanowires as an efficient electrocatalyst for molecular oxygen reduction

    NASA Astrophysics Data System (ADS)

    Nair, Anju K.; Thazhe Veettil, Vineesh; Kalarikkal, Nandakumar; Thomas, Sabu; Kala, M. S.; Sahajwalla, Veena; Joshi, Rakesh K.; Alwarappan, Subbiah

    2016-12-01

    Metal nanowires exhibit unusually high catalytic activity towards oxygen reduction reaction (ORR) due to their inherent electronic structures. However, controllable synthesis of stable nanowires still remains as a daunting challenge. Herein, we report the in situ synthesis of silver nanowires (AgNWs) over boron doped graphene sheets (BG) and demonstrated its efficient electrocatalytic activity towards ORR for the first time. The electrocatalytic ORR efficacy of BG-AgNW is studied using various voltammetric techniques. The BG wrapped AgNWs shows excellent ORR activity, with very high onset potential and current density and it followed four electron transfer mechanism with high methanol tolerance and stability towards ORR. The results are comparable to the commercially available 20% Pt/C in terms of performance.

  12. Boron doped graphene wrapped silver nanowires as an efficient electrocatalyst for molecular oxygen reduction

    PubMed Central

    Nair, Anju K.; Thazhe veettil, Vineesh; Kalarikkal, Nandakumar; Thomas, Sabu; Kala, M. S.; Sahajwalla, Veena; Joshi, Rakesh K.; Alwarappan, Subbiah

    2016-01-01

    Metal nanowires exhibit unusually high catalytic activity towards oxygen reduction reaction (ORR) due to their inherent electronic structures. However, controllable synthesis of stable nanowires still remains as a daunting challenge. Herein, we report the in situ synthesis of silver nanowires (AgNWs) over boron doped graphene sheets (BG) and demonstrated its efficient electrocatalytic activity towards ORR for the first time. The electrocatalytic ORR efficacy of BG-AgNW is studied using various voltammetric techniques. The BG wrapped AgNWs shows excellent ORR activity, with very high onset potential and current density and it followed four electron transfer mechanism with high methanol tolerance and stability towards ORR. The results are comparable to the commercially available 20% Pt/C in terms of performance. PMID:27941954

  13. Length distributions of nanowires: Effects of surface diffusion versus nucleation delay

    NASA Astrophysics Data System (ADS)

    Dubrovskii, Vladimir G.

    2017-04-01

    It is often thought that the ensembles of semiconductor nanowires are uniform in length due to the initial organization of the growth seeds such as lithographically defined droplets or holes in the substrate. However, several recent works have already demonstrated that most nanowire length distributions are broader than Poissonian. Herein, we consider theoretically the length distributions of non-interacting nanowires that grow by the material collection from the entire length of their sidewalls and with a delay of nucleation of the very first nanowire monolayer. The obtained analytic length distribution is controlled by two parameters that describe the strength of surface diffusion and the nanowire nucleation rate. We show how the distribution changes from the symmetrical Polya shape without the nucleation delay to a much broader and asymmetrical one for longer delays. In the continuum limit (for tall enough nanowires), the length distribution is given by a power law times an incomplete gamma-function. We discuss interesting scaling properties of this solution and give a recipe for analyzing and tailoring the experimental length histograms of nanowires which should work for a wide range of material systems and growth conditions.

  14. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  15. A label-free electrochemical sensor for detection of mercury(II) ions based on the direct growth of guanine nanowire.

    PubMed

    Huang, Yan Li; Gao, Zhong Feng; Jia, Jing; Luo, Hong Qun; Li, Nian Bing

    2016-05-05

    A simple, sensitive and label-free electrochemical sensor is developed for detection of Hg(2+) based on the strong and stable T-Hg(2+)-T mismatches. In the presence of Mg(2+), the parallel G-quadruplex structures could be specifically recognized and precipitated in parallel conformation. Therefore, the guanine nanowire was generated on the electrode surface, triggering the electrochemical H2O2-mediated oxidation of 3,3',5,5'-tetramethylbenzidine (TMB). In this research, a new method of signal amplification for the quantitative detection of Hg(2+) was described based on the direct growth of guanine nanowire via guanine nanowire. Under optimum conditions, Hg(2+) was detected in the range of 100 pM-100 nM, and the detection limit is 33 pM. Compared to the traditional single G-quadruplex label unit, this electrochemical sensor showed high sensitivity and selectivity for detecting Hg(2+). Copyright © 2016 Elsevier B.V. All rights reserved.

  16. CdS nanowires formed by chemical synthesis using conjugated single-stranded DNA molecules

    NASA Astrophysics Data System (ADS)

    Sarangi, S. N.; Sahu, S. N.; Nozaki, S.

    2018-03-01

    CdS nanowires were successfully grown by chemical synthesis using two conjugated single-stranded (ss) DNA molecules, poly G (30) and poly C (30), as templates. During the early stage of the synthesis with the DNA molecules, the Cd 2+ interacts with Poly G and Poly C and produces the (Cd 2+)-Poly GC complex. As the growth proceeds, it results in nanowires. The structural analysis by grazing angle x-ray diffraction and transmission electron microscopy confirmed the zinc-blende CdS nanowires with the growth direction of <220>. Although the nanowires are well surface-passivated with the DNA molecules, the photoluminescence quenching was caused by the electron transfer from the nanowires to the DNA molecules. The quenching can be used to detect and label the DNAs.

  17. Ultra-fast microwave-assisted hydrothermal synthesis of long vertically aligned ZnO nanowires for dye-sensitized solar cell application.

    PubMed

    Mahpeykar, S M; Koohsorkhi, J; Ghafoori-Fard, H

    2012-04-27

    Long vertically aligned ZnO nanowire arrays were synthesized using an ultra-fast microwave-assisted hydrothermal process. Using this method, we were able to grow ZnO nanowire arrays at an average growth rate as high as 200 nm min(-1) for maximum microwave power level. This method does not suffer from the growth stoppage problem at long growth times that, according to our investigations, a normal microwave-assisted hydrothermal method suffers from. Longitudinal growth of the nanowire arrays was investigated as a function of microwave power level and growth time using cross-sectional FESEM images of the grown arrays. Effect of seed layer on the alignment of nanowires was also studied. X-ray diffraction analysis confirmed c-axis orientation and single-phase wurtzite structure of the nanowires. J-V curves of the fabricated ZnO nanowire-based mercurochrome-sensitized solar cells indicated that the short-circuit current density is increased with increasing the length of the nanowire array. According to the UV-vis spectra of the dyes detached from the cells, these increments were mainly attributed to the enlarged internal surface area and therefore dye loading enhancement in the lengthened nanowire arrays.

  18. Growth of large-scale nanotwinned Cu nanowire arrays from anodic aluminum oxide membrane by electrochemical deposition process: controllable nanotwin density and growth orientation with enhanced electrical endurance performance

    NASA Astrophysics Data System (ADS)

    Chan, Tsung-Cheng; Lin, Yen-Miao; Tsai, Hung-Wei; Wang, Zhiming M.; Liao, Chien-Neng; Chueh, Yu-Lun

    2014-06-01

    Densely nanotwinned Cu nanowire (NW) arrays with an identical diameter of ~55 nm were fabricated by pulse electrochemical deposition at low temperature using anodic aluminum oxide as a template. Different growth orientations of nanotwinned Cu nanowire arrays were investigated. The endurance of the electrical current density before breakdown of the nanotwinned Cu NWs can reach up to 2.4 × 108 A cm-2. The formation of highly dense nanotwins is attributed to relaxation of coalescence induced stress and twin fault stacking when Cu NWs grow by two-dimensional kinetics. A mechanism based on the twinning structure effect on the electromigration was proposed to explain the improved electrical endurance of Cu. The result demonstrates that the formation of nanotwins into Cu NWs can effectively suppress the void growth, leading to extended life time for use in electronic devices.Densely nanotwinned Cu nanowire (NW) arrays with an identical diameter of ~55 nm were fabricated by pulse electrochemical deposition at low temperature using anodic aluminum oxide as a template. Different growth orientations of nanotwinned Cu nanowire arrays were investigated. The endurance of the electrical current density before breakdown of the nanotwinned Cu NWs can reach up to 2.4 × 108 A cm-2. The formation of highly dense nanotwins is attributed to relaxation of coalescence induced stress and twin fault stacking when Cu NWs grow by two-dimensional kinetics. A mechanism based on the twinning structure effect on the electromigration was proposed to explain the improved electrical endurance of Cu. The result demonstrates that the formation of nanotwins into Cu NWs can effectively suppress the void growth, leading to extended life time for use in electronic devices. Electronic supplementary information (ESI) available: X-ray diffraction spectra of Cu NWs grown by electrochemical deposition with a current density of 1.5 A cm-2 at -1 °C and room temperature; bright-field TEM images of Cu NWs

  19. Template-Assisted Hydrothermal Growth of One-Dimensional Zinc Oxide Nanowires for Photocatalytic Application.

    PubMed

    Ma, Shuai-Shuai; Xu, Peng; Cai, Zhi-Lan; Li, Qing; Ye, Zhao-Lian; Zhou, Yu-Ming

    2018-07-01

    One-dimensional (1D) semiconductor ZnO nanowires have been successfully synthesized by a novel soft-chemical hydrothermal method with allylpolyethoxy amino carboxylate (AA-APEA) at low temperature. Their structure and properties have been characterized by a series of techniques, including X-ray diffraction (XRD), energy dispersive X-ray analysis (EDX) and transmission electron microscopy (TEM). It was found that ZnO nanowires with diameters around 50 nm and lengths up to about several micrometers are well-distributed. The photocatalytic activity toward degradation of methylene blue (MB) aqueous solution under ultraviolet (UV) was investigated and the results showed that the ZnO nanowires exhibit a markedly higher photoactivity compared to the ZnO nanoparticles which were obtained without AA-APEA polymer assistant, and it can be ascribed to the special 1D morphology of the ZnO nanowires. In particular, the rate of degradation of the ZnO nanowires was 11 times faster than that of ZnO nanoparticles. In addition, the ZnO nanowires could be easily recycled in UV photocatalytic activity. These observations could promote new applications of photocatalyst for wastewater treatment utilizing oxide semiconductor nanostructures.

  20. Growth of vertically aligned nanowires in metal-oxide nanocomposites: kinetic Monte-Carlo modeling versus experiments.

    PubMed

    Hennes, M; Schuler, V; Weng, X; Buchwald, J; Demaille, D; Zheng, Y; Vidal, F

    2018-04-26

    We employ kinetic Monte-Carlo simulations to study the growth process of metal-oxide nanocomposites obtained via sequential pulsed laser deposition. Using Ni-SrTiO3 (Ni-STO) as a model system, we reduce the complexity of the computational problem by choosing a coarse-grained approach mapping Sr, Ti and O atoms onto a single effective STO pseudo-atom species. With this ansatz, we scrutinize the kinetics of the sequential synthesis process, governed by alternating deposition and relaxation steps, and analyze the self-organization propensity of Ni atoms into straight vertically aligned nanowires embedded in the surrounding STO matrix. We finally compare the predictions of our binary toy model with experiments and demonstrate that our computational approach captures fundamental aspects of self-assembled nanowire synthesis. Despite its simplicity, our modeling strategy successfully describes the impact of relevant parameters like the concentration or laser frequency on the final nanoarchitecture of metal-oxide thin films grown via pulsed laser deposition.

  1. Ru nanoframes with an fcc structure and enhanced catalytic properties

    DOE PAGES

    Ye, Haihang; Wang, Qingxiao; Catalano, Massimo; ...

    2016-03-21

    Noble-metal nanoframes are of great interest to many applications due to their unique open structures. Among various noble metals, Ru has never been made into nanoframes. In this study, we report for the first time an effective method based on seeded growth and chemical etching for the facile synthesis of Ru nanoframes with high purity. The essence of this approach is to induce the preferential growth of Ru on the corners and edges of Pd truncated octahedra as the seeds by kinetic control. The resultant Pd–Ru core–frame octahedra could be easily converted to Ru octahedral nanoframes of ~2 nm inmore » thickness by selectively removing the Pd cores through chemical etching. Most importantly, in this approach the face-centered cubic (fcc) crystal structure of Pd seeds was faithfully replicated by Ru that usually takes an hcp structure. Furthermore, the fcc Ru nanoframes showed higher catalytic activities toward the reduction of p-nitrophenol by NaBH 4 and the dehydrogenation of ammonia borane compared with hcp Ru nanowires with roughly the same thickness.« less

  2. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    PubMed Central

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  3. Ultrasonication-assisted synthesis of high aspect ratio gold nanowires on a graphene template and investigation of their growth mechanism.

    PubMed

    Xin, Wenbo; De Rosa, Igor M; Cao, Yang; Yin, Xunqian; Yu, Hang; Ye, Peiyi; Carlson, Larry; Yang, Jenn-Ming

    2018-04-19

    We report a facile synthesis of Au nanowires (AuNWs) with a high aspect ratio (l/D) of up to 5000 on a plasma activated graphene template with ultrasound assistance. We demonstrate that the ultrasonication induced symmetry breaking of Au clusters facilitates the growth of AuNWs from the embryonic stages. Furthermore, the growth mechanism of AuNWs is systematically investigated using high resolution electron transmission microscopy (HRTEM), which reveals the unique role of the defective graphene template in directing the growth of AuNWs.

  4. Selective synthesis of secondary amines by Pt nanowire catalyzed reductive amination of aldehydes and ketones with ammonia.

    PubMed

    Qi, Fenqiang; Hu, Lei; Lu, Shuanglong; Cao, Xueqin; Gu, Hongwei

    2012-10-07

    The process of the reductive amination of aldehydes or ketones in the presence of ammonia using unsupported ultra-thin Pt nanowires has been developed. This catalytic system shows high activity and selectivity under mild reaction conditions.

  5. Alignment nature of ZnO nanowires grown on polished and nanoscale etched lithium niobate surface through self-seeding thermal evaporation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanan, Ajay Achath; Parthiban, R.; Ramakrishnan, N., E-mail: ramakrishnan@monash.edu

    Highlights: • ZnO nanowires were grown directly on LiNbO{sub 3} surface for the first time by thermal evaporation. • Self-alignment of the nanowires due to step bunching of LiNbO{sub 3} surface is observed. • Increased roughness in surface defects promoted well-aligned growth of nanowires. • Well-aligned growth was then replicated in 50 nm deep trenches on the surface. • Study opens novel pathway for patterned growth of ZnO nanowires on LiNbO{sub 3} surface. - Abstract: High aspect ratio catalyst-free ZnO nanowires were directly synthesized on lithium niobate substrate for the first time through thermal evaporation method without the use ofmore » a buffer layer or the conventional pre-deposited ZnO seed layer. As-grown ZnO nanowires exhibited a crisscross aligned growth pattern due to step bunching of the polished lithium niobate surface during the nanowire growth process. On the contrary, scratches on the surface and edges of the substrate produced well-aligned ZnO nanowires in these defect regions due to high surface roughness. Thus, the crisscross aligned nature of high aspect ratio nanowire growth on the lithium niobate surface can be changed to well-aligned growth through controlled etching of the surface, which is further verified through reactive-ion etching of lithium niobate. The investigations and discussion in the present work will provide novel pathway for self-seeded patterned growth of well-aligned ZnO nanowires on lithium niobate based micro devices.« less

  6. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  7. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    PubMed

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  8. Stable and metastable nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2014-11-18

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  9. Engineering catalytic activity via ion beam bombardment of catalyst supports for vertically aligned carbon nanotube growth

    DOE PAGES

    Islam, A. E.; Zakharov, D.; Stach, E. A.; ...

    2015-09-16

    Carbon nanotube growth depends on the catalytic activity of metal nanoparticles on alumina or silica supports. The control on catalytic activity is generally achieved by variations in water concentration, carbon feed, and sample placement on a few types of alumina or silica catalyst supports obtained via thin film deposition. We have recently expanded the choice of catalyst supports by engineering inactive substrates like c-cut sapphire via ion beam bombardment. The deterministic control on the structure and chemistry of catalyst supports obtained by tuning the degree of beam-induced damage have enabled better regulation of the activity of Fe catalysts only inmore » the ion beam bombarded areas and hence enabled controllable super growth of carbon nanotubes. A wide range of surface characterization techniques were used to monitor the catalytically active surface engineered via ion beam bombardment. The proposed method offers a versatile way to control carbon nanotube growth in patterned areas and also enhances the current understanding of the growth process. As a result, with the right choice of water concentration, carbon feed and sample placement, engineered catalyst supports may extend the carbon nanotube growth yield to a level that is even higher than the ones reported here, and thus offers promising applications of carbon nanotubes in electronics, heat exchanger, and energy storage.« less

  10. Direct monolithic integration of vertical single crystalline octahedral molecular sieve nanowires on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carretero-Genevrier, Adrian; Oro-Sole, Judith; Gazquez, Jaume

    2013-12-13

    We developed an original strategy to produce vertical epitaxial single crystalline manganese oxide octahedral molecular sieve (OMS) nanowires with tunable pore sizes and compositions on silicon substrates by using a chemical solution deposition approach. The nanowire growth mechanism involves the use of track-etched nanoporous polymer templates combined with the controlled growth of quartz thin films at the silicon surface, which allowed OMS nanowires to stabilize and crystallize. α-quartz thin films were obtained after thermal activated crystallization of the native amorphous silica surface layer assisted by Sr 2+- or Ba 2+-mediated heterogeneous catalysis in the air at 800 °C. These α-quartzmore » thin films work as a selective template for the epitaxial growth of randomly oriented vertical OMS nanowires. Furthermore, the combination of soft chemistry and epitaxial growth opens new opportunities for the effective integration of novel technological functional tunneled complex oxides nanomaterials on Si substrates.« less

  11. Control of the ZnO nanowires nucleation site using microfluidic channels.

    PubMed

    Lee, Sang Hyun; Lee, Hyun Jung; Oh, Dongcheol; Lee, Seog Woo; Goto, Hiroki; Buckmaster, Ryan; Yasukawa, Tomoyuki; Matsue, Tomokazu; Hong, Soon-Ku; Ko, HyunChul; Cho, Meoung-Whan; Yao, Takafumi

    2006-03-09

    We report on the growth of uniquely shaped ZnO nanowires with high surface area and patterned over large areas by using a poly(dimethylsiloxane) (PDMS) microfluidic channel technique. The synthesis uses first a patterned seed template fabricated by zinc acetate solution flowing though a microfluidic channel and then growth of ZnO nanowire at the seed using thermal chemical vapor deposition on a silicon substrate. Variations the ZnO nanowire by seed pattern formed within the microfluidic channel were also observed for different substrates and concentrations of the zinc acetate solution. The photocurrent properties of the patterned ZnO nanowires with high surface area, due to their unique shape, were also investigated. These specialized shapes and patterning technique increase the possibility of realizing one-dimensional nanostructure devices such as sensors and optoelectric devices.

  12. Angle-dependent photodegradation over ZnO nanowire arrays on flexible paper substrates

    PubMed Central

    2014-01-01

    In this study, we grew zinc oxide (ZnO) nanowire arrays on paper substrates using a two-step growth strategy. In the first step, we formed single-crystalline ZnO nanoparticles of uniform size distribution (ca. 4 nm) as seeds for the hydrothermal growth of the ZnO nanowire arrays. After spin-coating of these seeds onto paper, we grew ZnO nanowire arrays conformally on these substrates. The crystal structure of a ZnO nanowire revealed that the nanowires were single-crystalline and had grown along the c axis. Further visualization through annular bright field scanning transmission electron microscopy revealed that the hydrothermally grown ZnO nanowires possessed Zn polarity. From photocatalytic activity measurements of the ZnO nanowire (NW) arrays on paper substrate, we extracted rate constants of 0.415, 0.244, 0.195, and 0.08 s-1 for the degradation of methylene blue at incident angles of 0°, 30°, 60°, and 75°, respectively; that is, the photocatalytic activity of these ZnO nanowire arrays was related to the cosine of the incident angle of the UV light. Accordingly, these materials have promising applications in the design of sterilization systems and light-harvesting devices. PMID:25593556

  13. Bridging Zirconia Nodes within a Metal–Organic Framework via Catalytic Ni-Hydroxo Clusters to Form Heterobimetallic Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Platero-Prats, Ana E.; League, Aaron B.; Bernales, Varinia

    Metal-organic frameworks (MOFs), with their well-ordered pore networks and tunable surface chemistries, offer a versatile platform for preparing well-defined nanostructures wherein functionality such as catalysis can be incorporated. Notably, atomic layer deposition (ALD) in MOFs has recently emerged as a versatile approach to functionalize MOF surfaces with a wide variety of catalytic metal-oxo species. Understanding the structure of newly deposited species and how they are tethered within the MOF is critical to understanding how these components couple to govern the active material properties. By combining local and long-range structure probes, including X-ray absorption spectroscopy, pair distribution function analysis and differencemore » envelope density analysis, with electron microscopy imag-ing and computational modeling, we resolve the precise atomic structure of metal-oxo species deposited in the MOF NU-1000 through ALD. These analyses demonstrate that deposition of NiO xH y clusters occurs selectively within the smallest pores of NU-1000, between the zirconia nodes, serving to connect these nodes along the c-direction to yield hetero-bimetallic metal-oxo nanowires. Finally, this bridging motif perturbs the NU-1000 framework structure, drawing the zirconia nodes closer together, and also underlies the sintering-resistance of these clusters during the hydrogenation of light olefins.« less

  14. Bridging Zirconia Nodes within a Metal-Organic Framework via Catalytic Ni-Hydroxo Clusters to Form Heterobimetallic Nanowires.

    PubMed

    Platero-Prats, Ana E; League, Aaron B; Bernales, Varinia; Ye, Jingyun; Gallington, Leighanne C; Vjunov, Aleksei; Schweitzer, Neil M; Li, Zhanyong; Zheng, Jian; Mehdi, B Layla; Stevens, Andrew J; Dohnalkova, Alice; Balasubramanian, Mahalingam; Farha, Omar K; Hupp, Joseph T; Browning, Nigel D; Fulton, John L; Camaioni, Donald M; Lercher, Johannes A; Truhlar, Donald G; Gagliardi, Laura; Cramer, Christopher J; Chapman, Karena W

    2017-08-02

    Metal-organic frameworks (MOFs), with their well-ordered pore networks and tunable surface chemistries, offer a versatile platform for preparing well-defined nanostructures wherein functionality such as catalysis can be incorporated. Notably, atomic layer deposition (ALD) in MOFs has recently emerged as a versatile approach to functionalize MOF surfaces with a wide variety of catalytic metal-oxo species. Understanding the structure of newly deposited species and how they are tethered within the MOF is critical to understanding how these components couple to govern the active material properties. By combining local and long-range structure probes, including X-ray absorption spectroscopy, pair distribution function analysis, and difference envelope density analysis, with electron microscopy imaging and computational modeling, we resolve the precise atomic structure of metal-oxo species deposited in the MOF NU-1000 through ALD. These analyses demonstrate that deposition of NiO x H y clusters occurs selectively within the smallest pores of NU-1000, between the zirconia nodes, serving to connect these nodes along the c-direction to yield heterobimetallic metal-oxo nanowires. This bridging motif perturbs the NU-1000 framework structure, drawing the zirconia nodes closer together, and also underlies the sintering resistance of these clusters during the hydrogenation of light olefins.

  15. Bridging Zirconia Nodes within a Metal–Organic Framework via Catalytic Ni-Hydroxo Clusters to Form Heterobimetallic Nanowires

    DOE PAGES

    Platero-Prats, Ana E.; League, Aaron B.; Bernales, Varinia; ...

    2017-07-11

    Metal-organic frameworks (MOFs), with their well-ordered pore networks and tunable surface chemistries, offer a versatile platform for preparing well-defined nanostructures wherein functionality such as catalysis can be incorporated. Notably, atomic layer deposition (ALD) in MOFs has recently emerged as a versatile approach to functionalize MOF surfaces with a wide variety of catalytic metal-oxo species. Understanding the structure of newly deposited species and how they are tethered within the MOF is critical to understanding how these components couple to govern the active material properties. By combining local and long-range structure probes, including X-ray absorption spectroscopy, pair distribution function analysis and differencemore » envelope density analysis, with electron microscopy imag-ing and computational modeling, we resolve the precise atomic structure of metal-oxo species deposited in the MOF NU-1000 through ALD. These analyses demonstrate that deposition of NiO xH y clusters occurs selectively within the smallest pores of NU-1000, between the zirconia nodes, serving to connect these nodes along the c-direction to yield hetero-bimetallic metal-oxo nanowires. Finally, this bridging motif perturbs the NU-1000 framework structure, drawing the zirconia nodes closer together, and also underlies the sintering-resistance of these clusters during the hydrogenation of light olefins.« less

  16. Universal Strategy for Ultrathin Pt-M (M = Fe, Co, Ni) Nanowires for Efficient Catalytic Hydrogen Generation.

    PubMed

    Bai, Shuxing; Huang, Bolong; Shao, Qi; Huang, Xiaoqing

    2018-06-25

    Methanol (CH 3 OH) reformation with water (H 2 O) to in situ release hydrogen (H 2 ) is regarded as a hopeful H 2 production approach for polymer electrolyte membrane fuel cells, while developing highly efficient CH 3 OH reformation catalysts still remains a great challenge. Herein, a series of Pt-based ultrafine nanowires (UNWs) with high surface atom ratio are used as highly active and stable catalysts for CH 3 OH reformation to H 2 . By tuning Pt 3 M (M = Fe, Co, Ni), support and the composition of the Pt x Fe UNWs, the optimized Pt 4 Fe UNWs/Al 2 O 3 exhibits excellent catalytic behaviors with the high H 2 turnover frequency reaching to 2035.8 h -1 , more than 4 times higher than that of Pt UNWs/Al 2 O 3 . The reaction mechanism investigated by diffuse reflectance infrared Fourier transform spectroscopy turns out that the production of H 2 undergoes the CH 3 OH decomposition to *CO and gas-shift reaction of *CO with H 2 O. Combing with the XPS result and the density functional theory calculations, the high CH 3 OH reformation activity of Pt 4 Fe UNWs/Al 2 O 3 is attributable to synergism between Pt and Fe, which facilitates H 2 desorption and intermediate HCOO* and *COO formations via the reaction between *CO and OH - .

  17. A three-dimensional phase field model for nanowire growth by the vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Wang, Yanming; Ryu, Seunghwa; McIntyre, Paul C.; Cai, Wei

    2014-07-01

    We present a three-dimensional multi-phase field model for catalyzed nanowire (NW) growth by the vapor-liquid-solid (VLS) mechanism. The equation of motion contains both a Ginzburg-Landau term for deposition and a diffusion (Cahn-Hilliard) term for interface relaxation without deposition. Direct deposition from vapor to solid, which competes with NW crystal growth through the molten catalyst droplet, is suppressed by assigning a very small kinetic coefficient at the solid-vapor interface. The thermodynamic self-consistency of the model is demonstrated by its ability to reproduce the equilibrium contact angles at the VLS junction. The incorporation of orientation dependent gradient energy leads to faceting of the solid-liquid and solid-vapor interfaces. The model successfully captures the curved shape of the NW base and the Gibbs-Thomson effect on growth velocity.

  18. Growth of Graphene by Catalytic Dissociation of Ethylene on CuNi(111)

    NASA Astrophysics Data System (ADS)

    Ventrice, Carl A., Jr.; Tyagi, Parul; Golden, Max; Mowll, Tyler

    2015-03-01

    The growth of graphene by the catalytic decomposition of ethylene on a 90:10 CuNi(111) substrate was performed. The growths were done in a UHV system by either heating the substrate to the growth temperature followed by introducing the ethylene precursor or by introducing the ethylene precursor and subsequently heating it to the growth temperature. The growth using the former method results in a two-domain epitaxial graphene overlayer at temperatues as low as 550 °C. However, introducing the ethylene before heating the substrate resulted in considerable rotational disorder within the graphene film. This has been attributed to the formation of a carbide phase below 550 °C. This research was supported by the NSF (DMR-1006411).

  19. Effect of size distribution on magnetic properties in cobalt nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Huanhuan; Wu, Qiong; Yue, Ming; Li, Chenglin; Li, Hongjian; Palaka, Subhashini

    2018-05-01

    Cobalt nanowires were synthesized by reduction of carboxylate salts of Co in 1, 2-butanediol using a solvothermal chemical process. These nanowires crystallize with the hcp structure and the growth axis is parallel to the crystallographic c-axis. The morphology of the nanowires that prepared with mechanical stirring during earlier stage of the reaction process exhibits a smaller averaged aspect ratio but narrow size distribution. The assembly of the nanowires that prepared with mechanical stirring shows almost same coercivity and remanent magnetization but 59% increase of magnetic energy product. This remarkable improvement of energy product has been further understood by micromagnetic simulations. The magnetic performance at variant temperatures of Co nanowires has also been presented. These ferromagnetic nanowires could be new ideal building blocks for permanent magnets with high performance and high thermal stability.

  20. All zinc-blende GaAs/(Ga,Mn)As core-shell nanowires with ferromagnetic ordering.

    PubMed

    Yu, Xuezhe; Wang, Hailong; Pan, Dong; Zhao, Jianhua; Misuraca, Jennifer; von Molnár, Stephan; Xiong, Peng

    2013-04-10

    Combining self-catalyzed vapor-liquid-solid growth of GaAs nanowires and low-temperature molecular-beam epitaxy of (Ga,Mn)As, we successfully synthesized all zinc-blende (ZB) GaAs/(Ga,Mn)As core-shell nanowires on Si(111) substrates. The ZB GaAs nanowire cores are first fabricated at high temperature by utilizing the Ga droplets as the catalyst and controlling the triple phase line nucleation, then the (Ga,Mn)As shells are epitaxially grown on the side facets of the GaAs core at low temperature. The growth window for the pure phase GaAs/(Ga,Mn)As core-shell nanowires is found to be very narrow. Both high-resolution transmission electron microscopy and scanning electron microscopy observations confirm that all-ZB GaAs/(Ga,Mn)As core-shell nanowires with smooth side surface are obtained when the Mn concentration is not more than 2% and the growth temperature is 245 °C or below. Magnetic measurements with different applied field directions provide strong evidence for ferromagnetic ordering in the all-ZB GaAs/(Ga,Mn)As nanowires. The hybrid nanowires offer an attractive platform to explore spin transport and device concepts in fully epitaxial all-semiconductor nanospintronic structures.

  1. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along theirmore » edges.« less

  2. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  3. Diameter and location control of ZnO nanowires using electrodeposition and sodium citrate

    NASA Astrophysics Data System (ADS)

    Lifson, Max L.; Levey, Christopher G.; Gibson, Ursula J.

    2013-10-01

    We report single-step growth of spatially localized ZnO nanowires of controlled diameter to enable improved performance of piezoelectric devices such as nanogenerators. This study is the first to demonstrate the combination of electrodeposition with zinc nitrate and sodium citrate in the growth solution. Electrodeposition through a thermally-grown silicon oxide mask results in localization, while the growth voltage and solution chemistry are tuned to control the nanowire geometry. We observe a competition between lateral (relative to the (0001) axis) citrate-related morphology and voltage-driven vertical growth which enables this control. High aspect ratios result with either pure nitrate or nitrate-citrate mixtures if large voltages are used, but low growth voltages permit the growth of large diameter nanowires in solution with citrate. Measurements of the current density suggest a two-step growth process. An oxide mask blocks the electrodeposition, and suppresses nucleation of thermally driven growth, permitting single-step lithography on low cost p-type silicon substrates.

  4. Copper Nanowires as Fully Transparent Conductive Electrodes

    PubMed Central

    Guo, Huizhang; Lin, Na; Chen, Yuanzhi; Wang, Zhenwei; Xie, Qingshui; Zheng, Tongchang; Gao, Na; Li, Shuping; Kang, Junyong; Cai, Duanjun; Peng, Dong-Liang

    2013-01-01

    In pondering of new promising transparent conductors to replace the cost rising tin-doped indium oxide (ITO), metal nanowires have been widely concerned. Herein, we demonstrate an approach for successful synthesis of long and fine Cu nanowires (NWs) through a novel catalytic scheme involving nickel ions. Such Cu NWs in high aspect ratio (diameter of 16.2 ± 2 nm and length up to 40 μm) provide long distance for electron transport and, meanwhile, large space for light transmission. Transparent electrodes fabricated using the Cu NW ink achieve a low sheet resistance of 1.4 Ohm/sq at 14% transmittance and a high transparency of 93.1% at 51.5 Ohm/sq. The flexibility and stability were tested with 100-timebending by 180°and no resistance change occurred. Ohmic contact was achieved to the p- and n-GaN on blue light emitting diode chip and bright electroluminescence from the front face confirmed the excellent transparency. PMID:23900572

  5. Localized, stepwise template growth of functional nanowires from an amino acid-supported framework in a microfluidic chip.

    PubMed

    Puigmartí-Luis, Josep; Rubio-Martínez, Marta; Imaz, Inhar; Cvetković, Benjamin Z; Abad, Llibertat; Pérez Del Pino, Angel; Maspoch, Daniel; Amabilino, David B

    2014-01-28

    A spatially controlled synthesis of nanowire bundles of the functional crystalline coordination polymer (CP) Ag(I)TCNQ (tetracyanoquinodimethane) from previously fabricated and trapped monovalent silver CP (Ag(I)Cys (cysteine)) using a room-temperature microfluidic-assisted templated growth method is demonstrated. The incorporation of microengineered pneumatic clamps in a two-layer polydimethylsiloxane-based (PDMS) microfluidic platform was used. Apart from guiding the formation of the Ag(I)Cys coordination polymer, this microfluidic approach enables a local trapping of the in situ synthesized structures with a simple pneumatic clamp actuation. This method not only enables continuous and multiple chemical events to be conducted upon the trapped structures, but the excellent fluid handling ensures a precise chemical activation of the amino acid-supported framework in a position controlled by interface and clamp location that leads to a site-specific growth of Ag(I)TCNQ nanowire bundles. The synthesis is conducted stepwise starting with Ag(I)Cys CPs, going through silver metal, and back to a functional CP (Ag(I)TCNQ); that is, a novel microfluidic controlled ligand exchange (CP → NP → CP) is presented. Additionally, the pneumatic clamps can be employed further to integrate the conductive Ag(I)TCNQ nanowire bundles onto electrode arrays located on a surface, hence facilitating the construction of the final functional interfaced systems from solution specifically with no need for postassembly manipulation. This localized self-supported growth of functional matter from an amino acid-based CP shows how sequential localized chemistry in a fluid cell can be used to integrate molecular systems onto device platforms using a chip incorporating microengineered pneumatic tools. The control of clamp pressure and in parallel the variation of relative flow rates of source solutions permit deposition of materials at different locations on a chip that could be useful for device

  6. Shape Evolution of Highly Lattice-Mismatched InN/InGaN Nanowire Heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Lifan; Hazari, Arnab; Bhattacharya, Pallab; Millunchick, Joanna M.

    2018-02-01

    We have investigated the structure and shape of GaN-based nanowires grown on (001) Si substrates for optoelectronic device applications. The nanowire heterostructures contained InN disks and In0.4Ga0.6N barrier layers in the active region. The resulting nanowire array comprised two differently shaped nanowires: shorter pencil-like nanowires and longer bead-like nanowires. The two different nanowire shapes evolve due to a variation in the In incorporation rate, which was faster for the bead-like nanowires. Both types of nanowires exhibited evidence of significant migration of both Ga and In during growth. Ga tended to diffuse away and down along the sidewalls, resulting in a Ga-rich shell for all nanowires. Despite the complex structure and great variability in the In composition, the optical properties of the nanowire arrays were very good, with strong luminescence peaking at ˜ 1.63 μm.

  7. Carbon-Free CoO Mesoporous Nanowire Array Cathode for High-Performance Aprotic Li-O2 Batteries.

    PubMed

    Wu, Baoshan; Zhang, Hongzhang; Zhou, Wei; Wang, Meiri; Li, Xianfeng; Zhang, Huamin

    2015-10-21

    Although various kinds of catalysts have been developed for aprotic Li-O2 battery application, the carbon-based cathodes are still vulnerable to attacks from the discharge intermediates or products, as well as the accompanying electrolyte decomposition. To ameliorate this problem, the free-standing and carbon-free CoO nanowire array cathode was purposely designed for Li-O2 batteries. The single CoO nanowire formed as a special mesoporous structure, owing even comparable specific surface area and pore volume to the typical Super-P carbon particles. In addition to the highly selective oxygen reduction/evolution reactions catalytic activity of CoO cathodes, both excellent discharge specific capacity and cycling efficiency of Li-O2 batteries were obtained, with 4888 mAh gCoO(-1) and 50 cycles during 500 h period. Owing to the synergistic effect between elaborate porous structure and selective intermediate absorption on CoO crystal, a unique bimodal growth phenomenon of discharge products was occasionally observed, which further offers a novel mechanism to control the formation/decomposition morphology of discharge products in nanoscale. This research work is believed to shed light on the future development of high-performance aprotic Li-O2 batteries.

  8. Flexible integration of free-standing nanowires into silicon photonics.

    PubMed

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  9. Optimisation of preparation conditions for Ti nanowires and suitability as an antibacterial material.

    PubMed

    Munisparan, Thivyah; Yang, Evyan Chia Yan; Paramasivam, Ragul; Dahlan, Nuraina Anisa; Pushpamalar, Janarthanan

    2018-06-01

    Ultrafine titanium dioxide (TiO 2 ) nanowires were synthesised using a hydrothermal method with different volumes of ethylene glycol (EG) and annealing temperatures. It shows that sodium titanate nanowires synthesised using 5 and 10 ml EG, which annealed at 400°C produced TiO 2 nanowires that correspond to a photochemically active phase, which is anatase. The influences of annealing temperatures (400-600°C) on the morphological arrangement of TiO 2 nanowires were evident in the field emission scanning electron microscopy. The annealing temperature of 500°C led to agglomeration, which formed a mixture of TiO 2 nanoparticles and nanowires. High thermal stability of TiO 2 nanowires revealed by thermogravimetric analysis and Fourier transform infrared spectroscopy spectrum showed the presence of the Ti-O-Ti vibrations as evidenced due to TiO 2 lattices. An antibacterial study using TiO 2 nanowires toward Escherichia coli and Klebsiella pneumoniae showed large zones of inhibition that indicated susceptibility of the microbe toward TiO 2 . Growth kinetic analysis shows that addition of TiO 2 has reduced optical density (OD) suggesting an inhibition of the growth of bacteria. These results indicate TiO 2 nanowires can be effectively used as an antimicrobial agent against gram-bacteria. The TiO 2 nanowires could be exploited in the medical, packaging and detergent formulation industries and wastewater treatment.

  10. Electrochemical fabrication of SrTiO3 nanowires with nanoporous alumina template.

    PubMed

    Kang, Jinwook; Ryu, Jaemin; Ko, Eunseong; Tak, Yongsug

    2007-11-01

    Strontium titanate nanowires were electrochemically synthesized with nanoporous alumina template. Both chemical and electrical variables such as electrolyte pH, temperature, and current waveform were modulated to investigate the synthesis process of SrTiO3 nanowires. Superimposed cathodic pulse and diffusion time accelerated the growth of SrTiO3 nanowires, which suggested that the concentration of H+ and Sr2+ ion inside alumina template had a strong influence on the formation of SrTiO3 nanowires. Morphology and crystallinity of SrTiO3 nanowires were investigated with scanning electron microscope, X-ray diffractometer and energy dispersive X-ray spectroscopy.

  11. Atomistic Interface Dynamics in Sn-Catalyzed Growth of Wurtzite and Zinc-Blende ZnO Nanowires.

    PubMed

    Jia, Shuangfeng; Hu, Shuaishuai; Zheng, He; Wei, Yanjie; Meng, Shuang; Sheng, Huaping; Liu, Huihui; Zhou, Siyuan; Zhao, Dongshan; Wang, Jianbo

    2018-06-11

    Unraveling the phase selection mechanisms of semiconductor nanowires (NWs) is critical for the applications in future advanced nanodevices. In this study, the atomistic vapor-solid-liquid growth processes of Sn-catalyzed wurtzite (WZ) and zinc blende (ZB) ZnO are directly revealed based on the in situ transmission electron microscopy. The growth kinetics of WZ and ZB crystal phases in ZnO appear markedly different in terms of the NW-droplet interface, whereas the nucleation site as determined by the contact angle ϕ between the seed particle and the NW is found to be crucial for tuning the NW structure through combined experimental and theoretical investigations. These results offer an atomic-scale view into the dynamic growth process of ZnO NW, which has implications for the phase-controllable synthesis of II-VI compounds and heterostructures with tunable band structures.

  12. Multi-branched Cu2O nanowires for photocatalytic degradation of methyl orange

    NASA Astrophysics Data System (ADS)

    Yu, Chunxin; Shu, Yun; Zhou, Xiaowei; Ren, Yang; Liu, Zhu

    2018-03-01

    Multi-branched cuprous oxide nanowires (Cu2O NWs) were prepared by one-step hydrothermal method of a facile process. The architecture of these Cu2O NWs was examined by scanning electron microscopy, and the resulting crystal nanowire consists of the trunk growing along [100] plane and the branch growing along [110] plane. Photocatalytic degradation of methyl orange (MO) in the experiment indicates that pure Cu2O NWs prepared at 150 °C have a higher photocatalytic activity (90% MO were degraded within 20 min without the presence of H2O2) compared with the samples obtained at other temperatures. In the photoelectrochemical test, pure Cu2O NWs had outstanding photoelectric response, which corresponds to the catalytic performance. The superior photocatalytic performance can be attributed to the absence of grain boundaries between the small branches and the nanowire trunk, which is conducive to the transport of photo-generated carriers, and the reduction of Cu impurities to reduce the number of recombination centers.

  13. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  14. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  15. Spontaneous, Defect-Free Kinking via Capillary Instability during Vapor-Liquid-Solid Nanowire Growth.

    PubMed

    Li, Yanying; Wang, Yanming; Ryu, Seunghwa; Marshall, Ann F; Cai, Wei; McIntyre, Paul C

    2016-03-09

    Kinking, a common anomaly in nanowire (NW) vapor-liquid-solid (VLS) growth, represents a sudden change of the wire's axial growth orientation. This study focuses on defect-free kinking during germanium NW VLS growth, after nucleation on a Ge (111) single crystal substrate, using Au-Ge catalyst liquid droplets of defined size. Statistical analysis of the fraction of kinked NWs reveals the dependence of kinking probability on the wire diameter and the growth temperature. The morphologies of kinked Ge NWs studied by electron microscopy show two distinct, defect-free, kinking modes, whose underlying mechanisms are explained with the help of 3D multiphase field simulations. Type I kinking, in which the growth axis changes from vertical [111] to ⟨110⟩, was observed in Ge NWs with a nominal diameter of ∼ 20 nm. This size coincides with a critical diameter at which a spontaneous transition from ⟨111⟩ to ⟨110⟩ growth occurs in the phase field simulations. Larger diameter NWs only exhibit Type II kinking, in which the growth axis changes from vertical [111] directly to an inclined ⟨111⟩ axis during the initial stages of wire growth. This is caused by an error in sidewall facet development, which produces a shrinkage in the area of the (111) growth facet with increasing NW length, causing an instability of the Au-Ge liquid droplet at the tip of the NW.

  16. Size-dependent fracture mode transition in copper nanowires.

    PubMed

    Peng, Cheng; Zhan, Yongjie; Lou, Jun

    2012-06-25

    In situ uni-axial tensile tests of single-crystalline copper nanowires are performed using a micromechanical device inside a scanning electron microscope chamber. The single-crystalline copper nanowires are synthesized by solvothermal processes, and the growth direction along the wire axis is the <110> orientation as confirmed by transmission electron microscopy (TEM) selected area diffraction (SAD) analysis. The fracture strengths of copper nanowires are found to be much higher than that of bulk copper. More interestingly, both ductile and brittle-like fracture modes are found in the same batch of fabricated nanowires, and the fracture modes appear to be dependent on the diameters of tested nanowires. From the analysis of fracture surfaces, sample morphologies and corresponding stress-strain curves, the competition between deformation and fracture mechanisms controlled by initial defects density and by the probability of dislocation interactions is attributed to this intriguing size-dependent fracture mode transition. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  18. Ni-Co bimetal nanowires filled multiwalled carbon nanotubes for the highly sensitive and selective non-enzymatic glucose sensor applications

    PubMed Central

    Ramachandran, K.; Raj kumar, T.; Babu, K. Justice; Gnana kumar, G.

    2016-01-01

    The facile, time and cost efficient and environmental benign approach has been developed for the preparation of Nickel (Ni)-Cobalt (Co) alloy nanowires filled multiwalled carbon nanotubes (MWCNTs) with the aid of mesoporous silica nanoparticles (MSN)/Ni-Co catalyst. The controlled incorporation of Ni-Co nanostructures in the three dimensional (3D) pore structures of MSN yielded the catalytically active system for the MWCNT growth. The inner surface of MWCNTs was quasi-continuously filled with face-centered cubic (fcc) structured Ni-Co nanowires. The as-prepared nanostructures were exploited as non-enzymatic electrochemical sensor probes for the reliable detection of glucose. The electrochemical measurements illustrated that the fabricated sensor exhibited an excellent electrochemical performance toward glucose oxidation with a high sensitivity of 0.695 mA mM−1 cm−2, low detection limit of 1.2 μM, a wide linear range from 5 μM–10 mM and good selectivity. The unprecedented electrochemical performances obtained for the prepared nanocomposite are purely attributed to the synergistic effects of Ni-Co nanowires and MWCNTs. The constructed facile, selective and sensitive glucose sensor has also endowed its reliability in analyzing the human serum samples, which wide opened the new findings for exploring the novel nanostructures based glucose sensor devices with affordable cost and good stability. PMID:27833123

  19. Ni-Co bimetal nanowires filled multiwalled carbon nanotubes for the highly sensitive and selective non-enzymatic glucose sensor applications

    NASA Astrophysics Data System (ADS)

    Ramachandran, K.; Raj Kumar, T.; Babu, K. Justice; Gnana Kumar, G.

    2016-11-01

    The facile, time and cost efficient and environmental benign approach has been developed for the preparation of Nickel (Ni)-Cobalt (Co) alloy nanowires filled multiwalled carbon nanotubes (MWCNTs) with the aid of mesoporous silica nanoparticles (MSN)/Ni-Co catalyst. The controlled incorporation of Ni-Co nanostructures in the three dimensional (3D) pore structures of MSN yielded the catalytically active system for the MWCNT growth. The inner surface of MWCNTs was quasi-continuously filled with face-centered cubic (fcc) structured Ni-Co nanowires. The as-prepared nanostructures were exploited as non-enzymatic electrochemical sensor probes for the reliable detection of glucose. The electrochemical measurements illustrated that the fabricated sensor exhibited an excellent electrochemical performance toward glucose oxidation with a high sensitivity of 0.695 mA mM-1 cm-2, low detection limit of 1.2 μM, a wide linear range from 5 μM-10 mM and good selectivity. The unprecedented electrochemical performances obtained for the prepared nanocomposite are purely attributed to the synergistic effects of Ni-Co nanowires and MWCNTs. The constructed facile, selective and sensitive glucose sensor has also endowed its reliability in analyzing the human serum samples, which wide opened the new findings for exploring the novel nanostructures based glucose sensor devices with affordable cost and good stability.

  20. Three-dimensional morphology of GaP-GaAs nanowires revealed by transmission electron microscopy tomography.

    PubMed

    Verheijen, Marcel A; Algra, Rienk E; Borgström, Magnus T; Immink, George; Sourty, Erwan; Enckevort, Willem J P van; Vlieg, Elias; Bakkers, Erik P A M

    2007-10-01

    We have investigated the morphology of heterostructured GaP-GaAs nanowires grown by metal-organic vapor-phase epitaxy as a function of growth temperature and V/III precursor ratio. The study of heterostructured nanowires with transmission electron microscopy tomography allowed the three-dimensional morphology to be resolved, and discrimination between the effect of axial (core) and radial (shell) growth on the morphology. A temperature- and precursor-dependent structure diagram for the GaP nanowire core morphology and the evolution of the different types of side facets during GaAs and GaP shell growth were constituted.

  1. Characterization of individual straight and kinked boron carbide nanowires

    NASA Astrophysics Data System (ADS)

    Cui, Zhiguang

    Boron carbides represent a class of ceramic materials with p-type semiconductor natures, complex structures and a wide homogeneous range of carbon compositions. Bulk boron carbides have long been projected as promising high temperature thermoelectric materials, but with limited performance. Bringing the bulk boron carbides to low dimensions (e.g., nanowires) is believed to be an option to enhance their thermoelectric performance because of the quantum size effects. However, the fundamental studies on the microstructure-thermal property relation of boron carbide nanowires are elusive. In this dissertation work, systematic structural characterization and thermal conductivity measurement of individual straight and kinked boron carbide nanowires were carried out to establish the true structure-thermal transport relation. In addition, a preliminary Raman spectroscopy study on identifying the defects in individual boron carbide nanowires was conducted. After the synthesis of single crystalline boron carbide nanowires, straight nanowires accompanied by the kinked ones were observed. Detailed structures of straight boron carbide nanowires have been reported, but not the kinked ones. After carefully examining tens of kinked nanowires utilizing Transmission Electron Microscopy (TEM), it was found that they could be categorized into five cases depending on the stacking faults orientations in the two arms of the kink: TF-TF, AF-TF, AF-AF, TF-IF and AF-IF kinks, in which TF, AF and IF denotes transverse faults (preferred growth direction perpendicular to the stacking fault planes), axial faults (preferred growth direction in parallel with the stacking fault planes) and inclined faults (preferred growth direction neither perpendicular to nor in parallel with the stacking fault planes). Simple structure models describing the characteristics of TF-TF, AF-TF, AF-AF kinked nanowires are constructed in SolidWorks, which help to differentiate the kinked nanowires viewed from the zone

  2. MBE growth of GaAs and InAs nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Ilkiv, I. V.; Reznik, R. R.; Kotlyar, K. P.; Bouravleuv, A. D.; Cirlin, G. E.

    2017-11-01

    Ag colloidal nanoparticles were used as a catalyst for molecular beam epitaxy of GaAs and InAs nanowires on the Si(111) substrates. The scanning electron microscopy measurements revealed that nanowires obtained are uniform and have small size distribution.

  3. Structural and optical characterization of ZnO nanowires grown on alumina by thermal evaporation method.

    PubMed

    Mute, A; Peres, M; Peiris, T C; Lourenço, A C; Jensen, Lars R; Monteiro, T

    2010-04-01

    Zinc oxide nanowires have been grown on alumina substrate by thermal evaporation of zinc nanopowder in the presence of oxygen flow. The growth was performed under ambient pressure and without the use of foreign catalyst. Scanning electron microscopy (SEM) observation showed that the as-grown sample consists of bulk ZnO crystal on the substrate surface with nanowires growing from this base. Growth mechanism of the observed morphology is suggested to be governed by the change of zinc vapour supersaturation during the growth process. X-ray diffraction (XRD) measurement was used to identify the crystalline phase of the nanowires. Optical properties of the nanowires were investigated using Raman scattering and photoluminescence (PL). The appearance of dominant, Raman active E2 (high) phonon mode in the Raman spectrum has confirmed the wurtzite hexagonal phase of the nanowires. With above bandgap excitation the low temperature PL recombination is dominated by donor bound exciton luminescence at -3.37 eV with a narrow full width at half maximum. Free exciton emission is also seen at low temperature and can be observed up to room temperature. The optical data indicates that the grown nanowires have high optical quality.

  4. Morphology and Doping Engineering of Sn-Doped Hematite Nanowire Photoanodes.

    PubMed

    Li, Mingyang; Yang, Yi; Ling, Yichuan; Qiu, Weitao; Wang, Fuxin; Liu, Tianyu; Song, Yu; Liu, Xiaoxia; Fang, Pingping; Tong, Yexiang; Li, Yat

    2017-04-12

    High-temperature activation has been commonly used to boost the photoelectrochemical (PEC) performance of hematite nanowires for water oxidation, by inducing Sn diffusion from fluorine-doped tin oxide (FTO) substrate into hematite. Yet, hematite nanowires thermally annealed at high temperature suffer from two major drawbacks that negatively affect their performance. First, the structural deformation reduces light absorption capability of nanowire. Second, this "passive" doping method leads to nonuniform distribution of Sn dopant in nanowire and limits the Sn doping concentration. Both factors impair the electrochemical properties of hematite nanowire. Here we demonstrate a silica encapsulation method that is able to simultaneously retain the hematite nanowire morphology even after high-temperature calcination at 800 °C and improve the concentration and uniformity of dopant distribution along the nanowire growth axis. The capability of retaining nanowire morphology allows tuning the nanowire length for optimal light absorption. Uniform distribution of Sn doping enhances the donor density and charge transport of hematite nanowire. The morphology and doping engineered hematite nanowire photoanode decorated with a cobalt oxide-based oxygen evolution reaction (OER) catalyst achieves an outstanding photocurrent density of 2.2 mA cm -2 at 0.23 V vs Ag/AgCl. This work provides important insights on how the morphology and doping uniformity of hematite photoanodes affect their PEC performance.

  5. A high-temperature single-photon source from nanowire quantum dots.

    PubMed

    Tribu, Adrien; Sallen, Gregory; Aichele, Thomas; André, Régis; Poizat, Jean-Philippe; Bougerol, Catherine; Tatarenko, Serge; Kheng, Kuntheak

    2008-12-01

    We present a high-temperature single-photon source based on a quantum dot inside a nanowire. The nanowires were grown by molecular beam epitaxy in the vapor-liquid-solid growth mode. We utilize a two-step process that allows a thin, defect-free ZnSe nanowire to grow on top of a broader, cone-shaped nanowire. Quantum dots are formed by incorporating a narrow zone of CdSe into the nanowire. We observe intense and highly polarized photoluminescence even from a single emitter. Efficient photon antibunching is observed up to 220 K, while conserving a normalized antibunching dip of at most 36%. This is the highest reported temperature for single-photon emission from a nonblinking quantum-dot source and principally allows compact and cheap operation by using Peltier cooling.

  6. In Situ X-ray Absorption Near-Edge Structure Spectroscopy of ZnO Nanowire Growth During Chemical Bath Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McPeak, Kevin M.; Becker, Matthew A.; Britton, Nathan G.

    2010-12-03

    Chemical bath deposition (CBD) offers a simple and inexpensive route to deposit semiconductor nanostructures, but lack of fundamental understanding and control of the underlying chemistry has limited its versatility. Here we report the first use of in situ X-ray absorption spectroscopy during CBD, enabling detailed investigation of both reaction mechanisms and kinetics of ZnO nanowire growth from zinc nitrate and hexamethylenetetramine (HMTA) precursors. Time-resolved X-ray absorption near-edge structure (XANES) spectra were used to quantify Zn(II) speciation in both solution and solid phases. ZnO crystallizes directly from [Zn(H{sub 2}O){sub 6}]{sup 2+} without long-lived intermediates. Using ZnO nanowire deposition as an example,more » this study establishes in situ XANES spectroscopy as an excellent quantitative tool to understand CBD of nanomaterials.« less

  7. Highly aligned arrays of high aspect ratio barium titanate nanowires via hydrothermal synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowland, Christopher C.; Zhou, Zhi; Malakooti, Mohammad H.

    2015-06-01

    We report on the development of a hydrothermal synthesis procedure that results in the growth of highly aligned arrays of high aspect ratio barium titanate nanowires. Using a multiple step, scalable hydrothermal reaction, a textured titanium dioxide film is deposited on titanium foil upon which highly aligned nanowires are grown via homoepitaxy and converted to barium titanate. Scanning electron microscope images clearly illustrate the effect the textured film has on the degree of orientation of the nanowires. The alignment of nanowires is quantified by calculating the Herman's Orientation Factor, which reveals a 58% improvement in orientation as compared to growthmore » in the absence of the textured film. The ferroelectric properties of barium titanate combined with the development of this scalable growth procedure provide a powerful route towards increasing the efficiency and performance of nanowire-based devices in future real-world applications such as sensing and power harvesting.« less

  8. Fabrication and Characterization of Magnetic Nanowires in Anodic Alumina

    NASA Astrophysics Data System (ADS)

    Xiao, Z. L.; Han, Y. R.; Wang, H. H.; Welp, U.; Kwok, W. K.; Crabtree, G. W.

    2002-03-01

    Magnetic nanowires (cobalt, iron and nickel) with diameters down to 20 nm have been fabricated by electrodeposition. Both commercial and home-made anodized aluminum oxide (AAO) membranes with nanochannel arrays were used as templates. The structure and magnetization hysteresis of the specimens with nanowires were investigated with scanning electron microscope (SEM) and superconducting quantum interference device (SQUID), respectively. Growth of nanowires with both aqueous and dimethylsulfoxide (DMSO) solutions was conducted and better quality nanowires were obtained with the organic DMSO solution. The influence of the diameter, the length and the separation of the nanochannels on the magnetization orientation was investigated in detail. Work supported by the US Department of Energy (DOE), BES-Materials Science, Contract No. W-31-109-ENG-38.

  9. Electrical transport properties of epitaxial titanium nitride nanowire

    NASA Astrophysics Data System (ADS)

    Makise, K.; Shinozaki, B.

    2018-03-01

    We have measured the transport properties of epitaxial titanium nitride (TiN) nanowires. Epitaxial TiN layer, deposited by dc magnetron sputtering on MgO(100) substrates at growth temperature T = 1073 K. Samples of nanowire were fabricated by e-beam lithography and reactive ion etching. Although TiN films with 100 nm-thickness have superconducting transition temperature T C ∼ 5 K, nanowires does not appear resistive transition until 0.15 K. The magnetoresistance (MR) are always negative. Furthermore for MR experimental results, we attempt to fit the data using one-dimensional weak localization theory. In addition we observed oscillations of magnetoresistance below 5 K.

  10. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  11. Large stability and high catalytic activities of sub-nm metal (0) clusters: implications into the nucleation and growth theory.

    PubMed

    Piñeiro, Yolanda; Buceta, David; Calvo, Javier; Huseyinova, Shahana; Cuerva, Miguel; Pérez, Ángel; Domínguez, Blanca; López-Quintela, M Arturo

    2015-07-01

    Clusters are stable catalytic species, which are produced during the synthesis of nanoparticles (NPs). Their existence contradicts the thermodynamic principles used to explain the formation of NPs by the classical nucleation and growth theories (NGTs). Using chemical and electrochemical methods we will show that depending on the experimental conditions one can produce either Ag clusters or Ag NPs. Moreover, using already prepared Ag clusters one can observe the disappearance of the usual induction period observed for the kinetics of NP formation, indicating that clusters catalyze the formation of NPs. Taking these data together with some previous examples of cluster-catalyzed anisotropic growth, we derived a qualitative approach to include the catalytic activities of clusters into the formation of NPs, which is incorporated into the NGT. Some qualitative conclusions about the main experimental parameters, which affect the formation of clusters versus NPs, as well as the catalytic mechanism versus the non-catalytic one, are also described. Copyright © 2015 Elsevier Inc. All rights reserved.

  12. Investigations on the mechanical behavior of nanowires with twin boundaries by atomistic simulations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tian, Xia, E-mail: tianxia@lsec.cc.ac.cn

    2015-03-10

    Atomistic simulations are used to study the deformation behavior of twinned Cu nanowires with a <111> growth orientation under tension. Due to the existence of the twin boundaries, the strength of the twinned nanowires is higher than that of the twin-free nanowire and the yielding stress of twinned nanowires is inversely proportional to the spacings of the twin boundaries. Moreover, The ductility of the twin-free nanowire is the highest of all and it grows with the increasing spacings of the twin boundaries for twinned nanowires. Besides, we find that the twin boundaries can be served as dislocation sources as wellmore » as the free surfaces and grain boundaries.« less

  13. Flexible inorganic light emitting diodes based on semiconductor nanowires

    PubMed Central

    Guan, Nan; Dai, Xing; Babichev, Andrey V.; Julien, François H.

    2017-01-01

    The fabrication technologies and the performance of flexible nanowire light emitting diodes (LEDs) are reviewed. We first introduce the existing approaches for flexible LED fabrication, which are dominated by organic technologies, and we briefly discuss the increasing research effort on flexible inorganic LEDs achieved by micro-structuring and transfer of conventional thin films. Then, flexible nanowire-based LEDs are presented and two main fabrication technologies are discussed: direct growth on a flexible substrate and nanowire membrane formation and transfer. The performance of blue, green, white and bi-color flexible LEDs fabricated following the transfer approach is discussed in more detail. PMID:29568439

  14. Visible electroluminescence from a ZnO nanowires/p-GaN heterojunction light emitting diode.

    PubMed

    Baratto, C; Kumar, R; Comini, E; Faglia, G; Sberveglieri, G

    2015-07-27

    In the current paper we apply catalyst assisted vapour phase growth technique to grow ZnO nanowires (ZnO nws) on p-GaN thin film obtaining EL emission in reverse bias regime. ZnO based LED represents a promising alternative to III-nitride LEDs, as in free devices: the potential is in near-UV emission and visible emission. For ZnO, the use of nanowires ensures good crystallinity of the ZnO, and improved light extraction from the interface when the nanowires are vertically aligned. We prepared ZnO nanowires in a tubular furnace on GaN templates and characterized the p-n ZnO nws/GaN heterojunction for LED applications. SEM microscopy was used to study the growth of nanowires and device preparation. Photoluminescence (PL) and Electroluminescence (EL) spectroscopies were used to characterize the heterojunction, showing that good quality of PL emission is observed from nanowires and visible emission from the junction can be obtained from the region near ZnO contact, starting from onset bias of 6V.

  15. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  16. Synthesis of platinum nanowire networks using a soft template.

    PubMed

    Song, Yujiang; Garcia, Robert M; Dorin, Rachel M; Wang, Haorong; Qiu, Yan; Coker, Eric N; Steen, William A; Miller, James E; Shelnutt, John A

    2007-12-01

    Platinum nanowire networks have been synthesized by chemical reduction of a platinum complex using sodium borohydride in the presence of a soft template formed by cetyltrimethylammonium bromide in a two-phase water-chloroform system. The interconnected polycrystalline nanowires possess the highest surface area (53 +/- 1 m2/g) and electroactive surface area (32.4 +/- 3.6 m2/g) reported for unsupported platinum nanomaterials; the high surface area results from the small average diameter of the nanowires (2.2 nm) and the 2-10 nm pores determined by nitrogen adsorption measurements. Synthetic control over the network was achieved simply by varying the stirring rate and reagent concentrations, in some cases leading to other types of nanostructures including wormlike platinum nanoparticles. Similarly, substitution of a palladium complex for platinum gives palladium nanowire networks. A mechanism of formation of the metal nanowire networks is proposed based on confined metal growth within a soft template consisting of a network of swollen inverse wormlike micelles.

  17. Cu assisted synthesis of self-supported PdCu alloy nanowires with enhanced performances toward ethylene glycol electrooxidation

    NASA Astrophysics Data System (ADS)

    Yan, Bo; Xu, Hui; Zhang, Ke; Li, Shujin; Wang, Jin; Shi, Yuting; Du, Yukou

    2018-03-01

    Self-supported PdCu alloy nanowires fabricated by a facile one-pot method have been reported, which copper assists in the morphological transformation from graininess to nanowires. The copper incorporated with palladium to form alloy structures cannot only cut down the usage of noble metal but also enhance their catalytic performances. The catalysts with self-supported structure and proper ratio of palladium to copper show great activity and long-term stability for the electrooxidation of ethylene glycol in alkaline solution. Especially for Pd43Cu57, its mass activity reaches to 5570.83 mA mg-1, which is 3.12 times as high as commercial Pd/C. This study highlights an accessible strategy to prepare self-supported PdCu alloy nanowires and their potential applications in renewable energy fields.

  18. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  19. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  20. Oriented epitaxial TiO2 nanowires for water splitting

    NASA Astrophysics Data System (ADS)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  1. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  2. TiO2-nanowire/MWCNT composite with enhanced performance and durability for polymer electrolyte fuel cells

    NASA Astrophysics Data System (ADS)

    Selvaganesh, S. Vinod; Dhanasekaran, P.; Bhat, Santoshkumar D.

    2017-12-01

    Durability is a major issue and has been the growing focus of research for the commercialization of polymer electrolyte fuel cells (PEFCs). Corrosion of carbon support is a key parameter as it triggers the Pt catalyst degradation and affects cell performance, which in turn affects the longevity of the cells. Herein, we describe a hybrid composite support of TiO2-nanowires and Multiwalled carbon nanotubes (MWCNTs) that offers resistance to corrosion under stressful operating conditions. Titania nanowireswhich have been shown to be more efficient and catalytically active than spherically shaped TiO2. TiO2-MWCNT composites are prepared through a hydrothermal method, followed by Pt deposition using a polyol method. Crystal structure, morphology, and oxidation state are examined through various characterization techniques. Electrochemical performance of TiO2-nanowire/MWCNT composite-supported Pt at various ratios of TiO2/MWCNT is assessed in PEFCs. Pt on support with optimum composition of TiO2-nanowires to MWCNTs exhibits fuel cell performance superior to Pt onMWCNTs. Accelerated stress testing (AST) between 1 and 1.5 V reveals that the designed catalyst on nanocomposite support possesses superior electrochemical activity and shows only 16% loss in catalytic activity in relation to 35% for Pt/MWCNTs even after 6000 potential cycles. Subsequently, the samples were characterized after AST to correlate the loss in fuel cell performance

  3. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  4. Role of Precursor-Conversion Chemistry in the Crystal-Phase Control of Catalytically Grown Colloidal Semiconductor Quantum Wires.

    PubMed

    Wang, Fudong; Buhro, William E

    2017-12-26

    Crystal-phase control is one of the most challenging problems in nanowire growth. We demonstrate that, in the solution-phase catalyzed growth of colloidal cadmium telluride (CdTe) quantum wires (QWs), the crystal phase can be controlled by manipulating the reaction chemistry of the Cd precursors and tri-n-octylphosphine telluride (TOPTe) to favor the production of either a CdTe solute or Te, which consequently determines the composition and (liquid or solid) state of the Bi x Cd y Te z catalyst nanoparticles. Growth of single-phase (e.g., wurtzite) QWs is achieved only from solid catalysts (y ≪ z) that enable the solution-solid-solid growth of the QWs, whereas the liquid catalysts (y ≈ z) fulfill the solution-liquid-solid growth of the polytypic QWs. Factors that affect the precursor-conversion chemistry are systematically accounted for, which are correlated with a kinetic study of the composition and state of the catalyst nanoparticles to understand the mechanism. This work reveals the role of the precursor-reaction chemistry in the crystal-phase control of catalytically grown colloidal QWs, opening the possibility of growing phase-pure QWs of other compositions.

  5. Structural modulation of nanowire interfaces grown over selectively disrupted single crystal surfaces

    NASA Astrophysics Data System (ADS)

    Garratt, E.; Nikoobakht, B.

    2015-08-01

    Recent breakthroughs in deterministic approaches to the fabrication of nanowire arrays have demonstrated the possibility of fabricating such networks using low-cost scalable methods. In this regard, we have developed a scalable growth platform for lateral fabrication of nanocrystals with high precision utilizing lattice match and symmetry. Using this planar architecture, a number of homo- and heterostructures have been demonstrated including ZnO nanowires grown over GaN. The latter combination produces horizontal, epitaxially formed crystals aligned in the plane of the substrate containing a very low number of intrinsic defects. We use such ordered structures as model systems in the interests of gauging the interfacial structural dynamics in relation to external stimuli. Nanosecond pulses of focused ion beams are used to slightly modify the substrate surface and selectively form lattice disorders in the path of nanowire growth to examine the nanocrystal, namely: its directionality and lattice defects. High resolution electron microscopies are used to reveal some interesting structural effects; for instance, a minimum threshold of surface defects that can divert nanowires. We also discuss data indicating formation of surface strains and show their mitigation during the growth process.

  6. Lasing in robust cesium lead halide perovskite nanowires

    PubMed Central

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; Wong, Andrew B.; Dou, Letian; Ma, Jie; Wang, Lin-Wang; Leone, Stephen R.; Yang, Peidong

    2016-01-01

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic–inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored and handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry–Pérot lasing occurs in CsPbBr3 nanowires with an onset of 5 μJ cm−2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 109 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication. PMID:26862172

  7. Lasing in robust cesium lead halide perovskite nanowires

    DOE PAGES

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; ...

    2016-02-09

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic-inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored andmore » handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry-Pérot lasing occurs in CsPbBr 3 nanowires with an onset of 5 μJ cm -2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 10 9 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication.« less

  8. Effect of Crossing Geometry on the Plasmonic Behavior of Dielectric Core/Metal Sheath Nanowires

    DTIC Science & Technology

    2009-03-01

    uniform SERS substrate. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation of pure Ga metal in a vacuum tube furnace.14 The...equations.15 We have previously shown that Ga2O3 /Ag nanowire composites can be very efficient SERS substrates,11,12 and we suggested that the nanowire...detail, we used these Au lithographically produced lines, combined with the placement of individual Ga2O3 /Ag nanowires in specific crossing angles

  9. Optoelectronic and Electrochemical Properties of Vanadium Pentoxide Nanowires Synthesized by Vapor-Solid Process

    PubMed Central

    Pan, Ko-Ying; Wei, Da-Hua

    2016-01-01

    Substantial synthetic vanadium pentoxide (V2O5) nanowires were successfully produced by a vapor-solid (VS) method of thermal evaporation without using precursors as nucleation sites for single crystalline V2O5 nanowires with a (110) growth plane. The micromorphology and microstructure of V2O5 nanowires were analyzed by scanning electron microscope (SEM), energy-dispersive X-ray spectroscope (EDS), transmission electron microscope (TEM) and X-ray diffraction (XRD). The spiral growth mechanism of V2O5 nanowires in the VS process is proved by a TEM image. The photo-luminescence (PL) spectrum of V2O5 nanowires shows intrinsic (410 nm and 560 nm) and defect-related (710 nm) emissions, which are ascribable to the bound of inter-band transitions (V 3d conduction band to O 2p valence band). The electrical resistivity could be evaluated as 64.62 Ω·cm via four-point probe method. The potential differences between oxidation peak and reduction peak are 0.861 V and 0.470 V for the first and 10th cycle, respectively. PMID:28335268

  10. Nanowire-based detector

    DOEpatents

    Berggren, Karl K; Hu, Xiaolong; Masciarelli, Daniele

    2014-06-24

    Systems, articles, and methods are provided related to nanowire-based detectors, which can be used for light detection in, for example, single-photon detectors. In one aspect, a variety of detectors are provided, for example one including an electrically superconductive nanowire or nanowires constructed and arranged to interact with photons to produce a detectable signal. In another aspect, fabrication methods are provided, including techniques to precisely reproduce patterns in subsequently formed layers of material using a relatively small number of fabrication steps. By precisely reproducing patterns in multiple material layers, one can form electrically insulating materials and electrically conductive materials in shapes such that incoming photons are redirected toward a nearby electrically superconductive materials (e.g., electrically superconductive nanowire(s)). For example, one or more resonance structures (e.g., comprising an electrically insulating material), which can trap electromagnetic radiation within its boundaries, can be positioned proximate the nanowire(s). The resonance structure can include, at its boundaries, electrically conductive material positioned proximate the electrically superconductive nanowire such that light that would otherwise be transmitted through the sensor is redirected toward the nanowire(s) and detected. In addition, electrically conductive material can be positioned proximate the electrically superconductive nanowire (e.g. at the aperture of the resonant structure), such that light is directed by scattering from this structure into the nanowire.

  11. Nucleation and initial radius of self-catalyzed III-V nanowires

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.; Borie, S.; Dagnet, T.; Reynes, L.; André, Y.; Gil, E.

    2017-02-01

    We treat theoretically the initial nucleation step of self-catalyzed III-V nanowires under simultaneously deposited group III and V vapor fluxes and with surface diffusion of a group III element. Our model is capable of describing the droplet size at which the very first nanowire monolayer nucleates depending on the element fluxes and surface temperature. This size determines the initial nanowire radius in growth techniques without pre-deposition of gallium. We show that useful self-catalyzed III-V nanowires can form only under the appropriately balanced V/III flux ratios and temperatures. Such balance is required to obtain nucleation from reasonably sized droplets that are neither too small under excessive arsenic flux nor too large in the arsenic-poor conditions.

  12. Controlled fabrication of photoactive copper oxide-cobalt oxide nanowire heterostructures for efficient phenol photodegradation.

    PubMed

    Shi, Wenwu; Chopra, Nitin

    2012-10-24

    Fabrication of oxide nanowire heterostructures with controlled morphology, interface, and phase purity is critical for high-efficiency and low-cost photocatalysis. Here, we have studied the formation of copper oxide-cobalt nanowire heterostructures by sputtering and subsequent air annealing to result in cobalt oxide (Co(3)O(4))-coated CuO nanowires. This approach allowed fabrication of standing nanowire heterostructures with tunable compositions and morphologies. The vertically standing CuO nanowires were synthesized in a thermal growth method. The shell growth kinetics of Co and Co(3)O(4) on CuO nanowires, morphological evolution of the shell, and nanowire self-shadowing effects were found to be strongly dependent on sputtering duration, air-annealing conditions, and alignment of CuO nanowires. Finite element method (FEM) analysis indicated that alignment and stiffness of CuO-Co nanowire heterostructures greatly influenced the nanomechanical aspects such as von Mises equivalent stress distribution and bending of nanowire heterostructures during the Co deposition process. This fundamental knowledge was critical for the morphological control of Co and Co(3)O(4) on CuO nanowires with desired interfaces and a uniform coating. Band gap energies and phenol photodegradation capability of CuO-Co(3)O(4) nanowire heterostructures were studied as a function of Co(3)O(4) morphology. Multiple absorption edges and band gap tailings were observed for these heterostructures, indicating photoactivity from visible to UV range. A polycrystalline Co(3)O(4) shell on CuO nanowires showed the best photodegradation performance (efficiency ~50-90%) in a low-powered UV or visible light illumination with a sacrificial agent (H(2)O(2)). An anomalously high efficiency (~67.5%) observed under visible light without sacrificial agent for CuO nanowires coated with thin (∼5.6 nm) Co(3)O(4) shell and nanoparticles was especially interesting. Such photoactive heterostructures demonstrate unique

  13. Ultrahigh-density sub-10 nm nanowire array formation via surface-controlled phase separation.

    PubMed

    Tian, Yuan; Mukherjee, Pinaki; Jayaraman, Tanjore V; Xu, Zhanping; Yu, Yongsheng; Tan, Li; Sellmyer, David J; Shield, Jeffrey E

    2014-08-13

    We present simple, self-assembled, and robust fabrication of ultrahigh density cobalt nanowire arrays. The binary Co-Al and Co-Si systems phase-separate during physical vapor deposition, resulting in Co nanowire arrays with average diameter as small as 4.9 nm and nanowire density on the order of 10(16)/m(2). The nanowire diameters were controlled by moderating the surface diffusivity, which affected the lateral diffusion lengths. High resolution transmission electron microscopy reveals that the Co nanowires formed in the face-centered cubic structure. Elemental mapping showed that in both systems the nanowires consisted of Co with undetectable Al or Si and that the matrix consisted of Al with no distinguishable Co in the Co-Al system and a mixture of Si and Co in the Co-Si system. Magnetic measurements clearly indicate anisotropic behavior consistent with shape anisotropy. The dynamics of nanowire growth, simulated using an Ising model, is consistent with the experimental phase and geometry of the nanowires.

  14. Fabrication and nanoscale characterization of magnetic multilayer nanowires

    NASA Astrophysics Data System (ADS)

    Elawayeb, Mohamed

    Magnetic multilayers nanowires are scientifically fascinating and have potential industrial applications in many areas of advanced nanotechnology. These applications arise due to the nanoscale dimensions of nanostructures that lead to unique physical properties. Magnetic multilayer nanowires have been successfully produced by electrodeposition into templates. Anodic Aluminium Oxide (AAO) membranes were used as templates in this process; the templates were fabricated by anodization method in acidic solutions at a fixed voltage. The fabrication method of a range of magnetic multilayer nanowires is described in this study and their structure and dimensions were analyzed using scanning electron microscope (SEM), Transmission electron microscope (TEM) and scanning transmission electron microscopy (STEM). This study is focused on the first growth of NiFe/Pt and NiFe/Fe magnetic multilayer nanowires, which were successfully fabricated by pulse electrodeposition into the channels of porous anodic aluminium oxide (AAO) templates, and characterized at the nanoscale. Individual nanowires have uniform structure and regular periodicity. The magnetic and nonmagnetic layers are polycrystalline, with randomly oriented fcc lattice structure crystallites. Chemical compositions of the individual nanowires were analyzed using TEM equipped with energy-dispersive x-ray analysis (EDX) and electron energy loss spectrometry (EELS). The electrical and magnetoresistance properties of individual magnetic multilayer nanowires have been measured inside a SEM using two sharp tip electrodes attached to in situ nanomanipulators and a new electromagnet technique. The giant magnetoresistance (GMR) effect of individual magnetic multilayer nanowires was measured in the current - perpendicular to the plane (CPP) geometry using a new in situ method at variable magnetic field strength and different orientations..

  15. Single n+-i-n+ InP nanowires for highly sensitive terahertz detection.

    PubMed

    Peng, Kun; Parkinson, Patrick; Gao, Qian; Boland, Jessica L; Li, Ziyuan; Wang, Fan; Mokkapati, Sudha; Fu, Lan; Johnston, Michael B; Tan, Hark Hoe; Jagadish, Chennupati

    2017-03-24

    Developing single-nanowire terahertz (THz) electronics and employing them as sub-wavelength components for highly-integrated THz time-domain spectroscopy (THz-TDS) applications is a promising approach to achieve future low-cost, highly integrable and high-resolution THz tools, which are desirable in many areas spanning from security, industry, environmental monitoring and medical diagnostics to fundamental science. In this work, we present the design and growth of n + -i-n + InP nanowires. The axial doping profile of the n + -i-n + InP nanowires has been calibrated and characterized using combined optical and electrical approaches to achieve nanowire devices with low contact resistances, on which the highly-sensitive InP single-nanowire photoconductive THz detectors have been demonstrated. While the n + -i-n + InP nanowire detector has a only pA-level response current, it has a 2.5 times improved signal-to-noise ratio compared with the undoped InP nanowire detector and is comparable to traditional bulk THz detectors. This performance indicates a promising path to nanowire-based THz electronics for future commercial applications.

  16. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  17. Nanoscale Electronic Conditioning for Improvement of Nanowire Light-Emitting-Diode Efficiency.

    PubMed

    May, Brelon J; Belz, Matthew R; Ahamed, Arshad; Sarwar, A T M G; Selcu, Camelia M; Myers, Roberto C

    2018-04-24

    Commercial III-Nitride LEDs and lasers spanning visible and ultraviolet wavelengths are based on epitaxial films. Alternatively, nanowire-based III-Nitride optoelectronics offer the advantage of strain compliance and high crystalline quality growth on a variety of inexpensive substrates. However, nanowire LEDs exhibit an inherent property distribution, resulting in uneven current spreading through macroscopic devices that consist of millions of individual nanowire diodes connected in parallel. Despite being electrically connected, only a small fraction of nanowires, sometimes <1%, contribute to the electroluminescence (EL). Here, we show that a population of electrical shorts exists in the devices, consisting of a subset of low-resistance nanowires that pass a large portion of the total current in the ensemble devices. Burn-in electronic conditioning is performed by applying a short-term overload voltage; the nanoshorts experience very high current density, sufficient to render them open circuits, thereby forcing a new current path through more nanowire LEDs in an ensemble device. Current-voltage measurements of individual nanowires are acquired using conductive atomic force microscopy to observe the removal of nanoshorts using burn-in. In macroscopic devices, this results in a 33× increase in peak EL and reduced leakage current. Burn-in conditioning of nanowire ensembles therefore provides a straightforward method to mitigate nonuniformities inherent to nanowire devices.

  18. EDITORIAL: Nanowires for energy Nanowires for energy

    NASA Astrophysics Data System (ADS)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    This special issue of Nanotechnology focuses on studies illustrating the application of nanowires for energy including solar cells, efficient lighting and water splitting. Over the next three decades, nanotechnology will make significant contributions towards meeting the increased energy needs of the planet, now known as the TeraWatt challenge. Nanowires in particular are poised to contribute significantly in this development as presented in the review by Hiralal et al [1]. Nanowires exhibit light trapping properties that can act as a broadband anti-reflection coating to enhance the efficiency of solar cells. In this issue, Li et al [2] and Wang et al [3] present the optical properties of silicon nanowire and nanocone arrays. In addition to enhanced optical properties, core-shell nanowires also have the potential for efficient charge carrier collection across the nanowire diameter as presented in the contribution by Yu et al [4] for radial junction a-Si solar cells. Hybrid approaches that combine organic and inorganic materials also have potential for high efficiency photovoltaics. A Si-based hybrid solar cell is presented by Zhang et al [5] with a photoconversion efficiency of over 7%. The quintessential example of hybrid solar cells is the dye-sensitized solar cell (DSSC) where an organic absorber (dye) coats an inorganic material (typically a ZnO nanostructure). Herman et al [6] present a method of enhancing the efficiency of a DSSC by increasing the hetero-interfacial area with a unique hierarchical weeping willow ZnO structure. The increased surface area allows for higher dye loading, light harvesting, and reduced charge recombination through direct conduction along the ZnO branches. Another unique ZnO growth method is presented by Calestani et al [7] using a solution-free and catalyst-free approach by pulsed electron deposition (PED). Nanowires can also make more efficient use of electrical power. Light emitting diodes, for example, will eventually become the

  19. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    PubMed

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  20. Mechanical behavior enhancement of ZnO nanowire by embedding different nanowires

    NASA Astrophysics Data System (ADS)

    Vazinishayan, Ali; Yang, Shuming; Lambada, Dasaradha Rao; Wang, Yiming

    2018-06-01

    In this work, we employed commercial finite element modeling (FEM) software package ABAQUS to analyze mechanical properties of ZnO nanowire before and after embedding with different kinds of nanowires, having different materials and cross-section models such as Au (circular), Ag (pentagonal) and Si (rectangular) using three point bending technique. The length and diameter of the ZnO nanowire were measured to be 12,280 nm and 103.2 nm, respectively. In addition, Au, Ag and Si nanowires were considered to have the length of 12,280 nm and the diameter of 27 nm. It was found that after embedding Si nanowire with rectangular cross-section into the ZnO nanowire, the distribution of Von Misses stresses criterion, displacement and strain were decreased than the other nanowires embedded. The highest stiffness, the elastic deformation and the high strength against brittle failure have been made by Si nanowire comparison to the Au and Ag nanowires, respectively.

  1. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  2. Conducting polymer nanowire arrays for high performance supercapacitors.

    PubMed

    Wang, Kai; Wu, Haiping; Meng, Yuena; Wei, Zhixiang

    2014-01-15

    This Review provides a brief summary of the most recent research developments in the fabrication and application of one-dimensional ordered conducting polymers nanostructure (especially nanowire arrays) and their composites as electrodes for supercapacitors. By controlling the nucleation and growth process of polymerization, aligned conducting polymer nanowire arrays and their composites with nano-carbon materials can be prepared by employing in situ chemical polymerization or electrochemical polymerization without a template. This kind of nanostructure (such as polypyrrole and polyaniline nanowire arrays) possesses high capacitance, superior rate capability ascribed to large electrochemical surface, and an optimal ion diffusion path in the ordered nanowire structure, which is proved to be an ideal electrode material for high performance supercapacitors. Furthermore, flexible, micro-scale, threadlike, and multifunctional supercapacitors are introduced based on conducting polyaniline nanowire arrays and their composites. These prototypes of supercapacitors utilize the high flexibility, good processability, and large capacitance of conducting polymers, which efficiently extend the usage of supercapacitors in various situations, and even for a complicated integration system of different electronic devices. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    PubMed

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along <110> crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in <111> directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  4. Facet-selective nucleation and conformal epitaxy of Ge shells on Si nanowires

    DOE PAGES

    Nguyen, Binh -Minh; Swartzentruber, Brian; Ro, Yun Goo; ...

    2015-10-08

    Knowledge of nanoscale heteroepitaxy is continually evolving as advances in material synthesis reveal new mechanisms that have not been theoretically predicted and are different than what is known about planar structures. In addition to a wide range of potential applications, core/shell nanowire structures offer a useful template to investigate heteroepitaxy at the atomistic scale. We show that the growth of a Ge shell on a Si core can be tuned from the theoretically predicted island growth mode to a conformal, crystalline, and smooth shell by careful adjustment of growth parameters in a narrow growth window that has not been exploredmore » before. In the latter growth mode, Ge adatoms preferentially nucleate islands on the {113} facets of the Si core, which outgrow over the {220} facets. Islands on the low-energy {111} facets appear to have a nucleation delay compared to the {113} islands; however, they eventually coalesce to form a crystalline conformal shell. As a result, synthesis of epitaxial and conformal Si/Ge/Si core/multishell structures enables us to fabricate unique cylindrical ring nanowire field-effect transistors, which we demonstrate to have steeper on/off characteristics than conventional core/shell nanowire transistors.« less

  5. Semiconductor Nanowires and Nanotubes for Energy Conversion

    NASA Astrophysics Data System (ADS)

    Fardy, Melissa Anne

    In recent years semiconductor nanowires and nanotubes have garnered increased attention for their unique properties. With their nanoscale dimensions comes high surface area and quantum confinement, promising enhancements in a wide range of applications. 1-dimensional nanostructures are especially attractive for energy conversion applications where photons, phonons, and electrons come into play. Since the bohr exciton radius and phonon and electron mean free paths are on the same length scales as nanowire diameters, optical, thermal, and electrical properties can be tuned by simple nanowire size adjustments. In addition, the high surface area inherent to nanowires and nanotubes lends them towards efficient charge separation and superior catalytic performance. In thermoelectric power generation, the nanoscale wire diameter can effectively scatter phonons, promoting reductions in thermal conductivity and enhancements in the thermoelectric figure of merit. To that end, single-crystalline arrays of PbS, PbSe, and PbTe nanowires have been synthesized by a chemical vapor transport approach. The electrical and thermal transport properties of the nanowires were characterized to investigate their potential as thermoelectric materials. Compared to bulk, the lead chalcogenide nanowires exhibit reduced thermal conductivity below 100 K by up to 3 orders of magnitude, suggesting that they may be promising thermoelectric materials. Smaller diameters and increased surface roughness are expected to give additional enhancements. The solution-phase synthesis of PbSe nanowires via oriented attachment of nanoparticles enables facile surface engineering and diameter control. Branched PbSe nanowires synthesized by this approach showed near degenerately doped charge carrier concentrations. Compared to the bulk, the PbSe nanowires exhibited a similar Seebeck coefficient and a significant reduction in thermal conductivity in the temperature range 20 K to 300 K. Thermal annealing of the Pb

  6. Facile synthesis of morphology-controlled Co3O4 nanostructures through solvothermal method with enhanced catalytic activity for H2O2 electroreduction

    NASA Astrophysics Data System (ADS)

    Cheng, Kui; Cao, Dianxue; Yang, Fan; Xu, Yang; Sun, Gaohui; Ye, Ke; Yin, Jinling; Wang, Guiling

    2014-05-01

    Hydrogen peroxide (H2O2) replaced oxygen (O2) as oxidant has been widely investigated due to its faster reduction kinetics, easier storage and handling than gaseous oxygen. The main challenge of using H2O2 as oxidant is the chemical decomposition. In this article, by using different C2H5OH/H2O volume ratio as the solvent, Co3O4 with different morphologies (nanosheet, nanowire, ultrafine nanowire net, nanobelts, and honeycomb-like) direct growth on Ni foam are synthesized via a simple solvothermal method for the first time. Results show that the introduction of ethanol could obviously improve the catalytic performance toward H2O2 electroreduction. The sample prepared in the solution with the C2H5OH/H2O volume ratio of 1:2 shows the best catalytic performance among the five samples and a current density of 0.214 A cm-2 is observed in 3.0 mol L-1 KOH + 0.5 mol L-1 H2O2 at -0.4 V (vs. Ag/AgCl KCl), which is much larger than that on the other metal oxides reported previously, almost comparable with the precious metals. This electrode of Co3O4 directly grown on Ni foam has superior mass transport property, which combining with its low-cost and facile preparation, make it a promising electrode for fuel cell using H2O2 as the oxidant.

  7. Magnetic Iron Oxide Nanowires Formed by Reactive Dewetting.

    PubMed

    Bennett, Roger A; Etman, Haitham A; Hicks, Hannah; Richards, Leah; Wu, Chen; Castell, Martin R; Dhesi, Sarnjeet S; Maccherozzi, Francesco

    2018-04-11

    The growth and reactive dewetting of ultrathin films of iron oxides supported on Re(0001) surfaces have been imaged in situ in real time. Initial growth forms a nonmagnetic stable FeO (wüstite like) layer in a commensurate network upon which high aspect ratio nanowires of several microns in length but less than 40 nm in width can be fabricated. The nanowires are closely aligned with the substrate crystallography and imaging by X-ray magnetic circular dichroism shows that each contain a single magnetic domain. The driving force for dewetting appears to be the minimization of strain energy of the Fe 3 O 4 crystallites and follows the Tersoff and Tromp model in which strain is minimized at constant height by extending in one epitaxially matched direction. Such wires are promising in spintronic applications and we predict that the growth will also occur on other hexagonal substrates.

  8. Nanometer Scale Confined Growth of Single-Crystalline Gold Nanowires via Photocatalytic Reduction.

    PubMed

    Lee, Seonhee; Bae, Changdeuck; Shin, Hyunjung

    2018-06-20

    Single-crystalline gold nanowires (Au NWs) are directly synthesized by the photocatalytic reduction of an aqueous HAuCl 4 solution inside high-aspect-ratio TiO 2 nanotubes (NTs). Crystalline TiO 2 (anatase) NTs are prepared by the template-assisted atomic layer deposition technique with a subsequent annealing. Under the irradiation of ultraviolet light, photoexcited electrons are formed on the surfaces of TiO 2 NTs and could reduce Au ions to create nuclei without using any surfactant, reducing agent, and/or seed. Once nucleation occurred, high-aspect-ratio Au NWs are grown inside the TiO 2 NTs in a diffusion-controlled manner. As the solution pH increased, the nucleation/growth rate decreased and twin-free (or not observed), single-crystalline Au NWs are formed. At a pH above 6, the nucleation/growth rates increased and Au nanoparticles are observed both inside and outside of the TiO 2 NTs. The confined nanoscale geometries of the interior of the TiO 2 NTs are found to play a key role in the controlled diffusion of Au species and in determining the crystal morphology of the resulting Au NWs.

  9. A review on III-V core-multishell nanowires: growth, properties, and applications

    NASA Astrophysics Data System (ADS)

    Royo, Miquel; De Luca, Marta; Rurali, Riccardo; Zardo, Ilaria

    2017-04-01

    This review focuses on the emerging field of core-multishell (CMS) semiconductor nanowires (NWs). In these kinds of wires, a NW grown vertically on a substrate acts as a template for the coaxial growth of two or more layers wrapped around it. Thanks to the peculiar geometry, the strain is partially released along the radial direction, thus allowing the creation of fascinating heterostructures, even based on lattice mismatched materials that would hardly grow in a planar geometry. Enabling the unique bridging of the 1D nature of NWs with the exciting properties of 2D heterostructures, these novel systems are becoming attractive for material science, as well as fundamental and applied physics. We will focus on NWs made of III-V and III-V-based alloys as they represent a model system in which present growth techniques have reached a high degree of control on the material structural properties, and many physical properties have been assessed, from both the theoretical and experimental points of view. In particular, we provide an overview on the growth methods and structural properties of CMS NWs, on the modulation doping mechanisms enabled by these heterostructures, on the effects of a magnetic field, and on the phononic and optical properties typical of CMS NWs. Moreover, we review the main technological applications based on these systems, such as optoelectronic and photovoltaic devices.

  10. Hierarchical mesoporous perovskite La0.5Sr0.5CoO2.91 nanowires with ultrahigh capacity for Li-air batteries

    PubMed Central

    Zhao, Yunlong; Xu, Lin; Mai, Liqiang; Han, Chunhua; An, Qinyou; Xu, Xu; Liu, Xue; Zhang, Qingjie

    2012-01-01

    Lithium-air batteries have captured worldwide attention due to their highest energy density among the chemical batteries. To provide continuous oxygen channels, here, we synthesized hierarchical mesoporous perovskite La0.5Sr0.5CoO2.91 (LSCO) nanowires. We tested the intrinsic oxygen reduction reaction (ORR) and oxygen evolution reaction (OER) activity in both aqueous electrolytes and nonaqueous electrolytes via rotating disk electrode (RDE) measurements and demonstrated that the hierarchical mesoporous LSCO nanowires are high-performance catalysts for the ORR with low peak-up potential and high limiting diffusion current. Furthermore, we fabricated Li-air batteries on the basis of hierarchical mesoporous LSCO nanowires and nonaqueous electrolytes, which exhibited ultrahigh capacity, ca. over 11,000 mAh⋅g –1, one order of magnitude higher than that of LSCO nanoparticles. Besides, the possible reaction mechanism is proposed to explain the catalytic activity of the LSCO mesoporous nanowire. PMID:23150570

  11. Photoelectrochemical modulation of neuronal activity with free-standing coaxial silicon nanowires

    NASA Astrophysics Data System (ADS)

    Parameswaran, Ramya; Carvalho-de-Souza, João L.; Jiang, Yuanwen; Burke, Michael J.; Zimmerman, John F.; Koehler, Kelliann; Phillips, Andrew W.; Yi, Jaeseok; Adams, Erin J.; Bezanilla, Francisco; Tian, Bozhi

    2018-02-01

    Optical methods for modulating cellular behaviour are promising for both fundamental and clinical applications. However, most available methods are either mechanically invasive, require genetic manipulation of target cells or cannot provide subcellular specificity. Here, we address all these issues by showing optical neuromodulation with free-standing coaxial p-type/intrinsic/n-type silicon nanowires. We reveal the presence of atomic gold on the nanowire surfaces, likely due to gold diffusion during the material growth. To evaluate how surface gold impacts the photoelectrochemical properties of single nanowires, we used modified quartz pipettes from a patch clamp and recorded sustained cathodic photocurrents from single nanowires. We show that these currents can elicit action potentials in primary rat dorsal root ganglion neurons through a primarily atomic gold-enhanced photoelectrochemical process.

  12. Why droplet dimension can be larger than, equal to, or smaller than the nanowire dimension

    NASA Astrophysics Data System (ADS)

    Mohammad, S. Noor

    2009-11-01

    Droplets play central roles in the nanowire (NW) growth by vapor phase mechanisms. These mechanisms include vapor-liquid-solid (VLS), vapor-solid-solid or vapor-solid (VSS), vapor-quasisolid-solid or vapor-quasiliquid-solid (VQS), oxide-assisted growth (OAG), and self-catalytic growth (SCG) mechanisms. Fundamentals of the shape, size, characteristics, and dynamics of droplets and the impacts of them on the NW growth, have been studied. The influence of growth techniques, growth parameters (e.g., growth temperature, partial pressure, gas flow rates, etc.), thermodynamic conditions, surface and interface energy, molar volume, chemical potentials, etc. have been considered on the shapes and sizes of droplets. A model has been presented to explain why droplets can be larger than, equal to, or smaller than the associated NWs. Various growth techniques have been analyzed to understand defects created in NWs. Photoluminescence characteristics have been presented to quantify the roles of droplets in the creation of NW defects. The study highlights the importance of the purity of the droplet material. It attests to the superiority of the SCG mechanism, and clarifies the differences between the VSS, VQS, VLS, and SCG mechanisms. It explains why droplets produced by some mechanisms are visible but droplets produced by some other mechanisms are not visible. It elucidates the formation mechanisms of very large and very small droplets, and discusses the ground rules for droplets creating necked NWs. It puts forth reasons to demonstrate that very large droplets may not behave as droplets.

  13. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  14. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  15. Fabrication of CdS nanowires with increasing anionic precursor by SILAR method

    NASA Astrophysics Data System (ADS)

    Dariani, R. S.; Salehi, F.

    2016-05-01

    CdS nanowires were fabricated on glass substrate at room temperature by SILAR method with cadmium nitrate cationic and sodium sulfide anionic precursors. The deposition were done at different S:Cd concentration ratios of 1:1, 3:1, 5:1, and 7:1. Nanowires growth procedure was studied in the mentioned concentrations. The number of immersion cycles was kept constant at 15 cycles. EDX analysis showed that in all stoichiometric ratios, S/Cd composition ratio remains at about unity. Our results indicated that S:Cd concentration ratio of 7:1 had the longest nanowires with hexagonal structure. The main objective of this paper was to produce CdS nanowires with increasing concentration of sulfur.

  16. Synthesis and characterization of silver nanowires with zigzag morphology in N, N-dimethylformamide

    NASA Astrophysics Data System (ADS)

    He, Xin; Zhao, Xiujian; Chen, Yunxia; Feng, Jinyang; Sun, Zhenya

    2007-08-01

    Zigzag silver nanowires with a uniform diameter of 20±5 nm were prepared by reducing silver nitrate (AgNO 3) with N, N-dimethylformamide (DMF) in the presence of tetrabutyl titanate (TBT) and acetylacetone (AcAc) at 373 K for 18 h. X-ray and selected area electron diffraction (XRD and SAED) patterns reveal that the prepared product is made of pure silver with face centered cubic structure. Transmission electron microscopy (TEM) investigations suggest that the amount of silver nanowires is enhanced with increase in reaction time, and the end-to-end assemblies of silver nanorods are observed during the reaction process. After 18 h reaction, silver nanowires with zigzag morphology are obtained. In this paper, a possible growth process of silver nanowires with this interesting shape is described. Silver nanoparticles with small sizes were obtained by reducing Ag + ions with DMF, providing seeds for homogeneous growth of silver nanorods. With the extending reaction time, the synthesized silver nanorods were connected in an end-to-end manner, and the interface between the connections of two nanorods gradually disappeared. The final product shows zigzag morphology with various angles. The angles between two connecting straight parts of zigzag nanowires exhibit an alterable range of 74-151°. These silver nanowires show tremendous potential applications in future nanoscale electronic circuits.

  17. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  18. Electric field-assisted deposition of nanowires on carbon nanotubes for nanoelectronics and sensor applications.

    PubMed

    Sivakumar, Kousik; Panchapakesan, Balaji

    2005-02-01

    Manipulation and control of matter at the nanoscale and atomic scale levels are crucial for the success of nanoscale sensors and actuators. The ability to control and synthesize multilayer structures using carbon nanotubes that will enable the building of electronic devices within a nanotube is still in its infancy. In this paper, we present results on selective electric field-assisted deposition of metals on carbon nanotubes realizing metallic nanowire structures. Silver and platinum nanowires have been fabricated using this approach for their applications in chemical sensing as catalytic materials to sniff toxic agents and in the area of biomedical nanotechnology for construction of artificial muscles. Electric field-assisted deposition allows the deposition of metals with a high degree of selectivity on carbon nanotubes by manipulating the charges on the surface of the nanotubes and forming electrostatic double-layer supercapacitors. Deposition of metals primarily occurred due to electrochemical reduction, electrophoresis, and electro-osmosis inside the walls of the nanotube. SEM and TEM investigations revealed silver and platinum nanowires between 10 nm and 100 nm in diameter. The present technique is versatile and enables the fabrication of a host of different types of metallic and semiconducting nanowires using carbon nanotube templates for nanoelectronics and a myriad of sensor applications.

  19. Fe(Ⅲ) ions enhanced catalytic properties of (BiO)2CO3 nanowires and mechanism study for complete degradation of xanthate.

    PubMed

    Guo, Yujiao; Cui, Kuixin; Hu, Mingyi; Jin, Shengming

    2017-08-01

    The wire-like Fe 3+ -doped (BiO) 2 CO 3 photocatalyst was synthesized by a hydrothermal method. The photocatalytic property of Fe 3+ -doped (BiO) 2 CO 3 nanowires was evaluated through degradation of sodium isopropyl xanthate under UV-visible light irradiation. The as-prepared Fe 3+ -doped (BiO) 2 CO 3 nanowires were characterized by X-ray diffraction (XRD), scanning electron microscope (SEM), UV-visible diffuse reflectance spectroscopy (UV-vis DRS), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) in detail. The results of XRD showed that the crystallinity of (BiO) 2 CO 3 nanowires decreased when Fe 3+ ions were introduced into the solution system. XPS results illustrated that xanthate could be absorbed on the surface of Fe 3+ -doped (BiO) 2 CO 3 nanowires to produce BiS bond at the beginning of the reaction, which could broaden the visible light absorption. FTIR spectra confirmed the formation of SO 4 2- after photocatalytic decomposition of xanthate solution. The Fe 3+ -doped (BiO) 2 CO 3 nanowires showed an enhanced photocatalytic activity for decomposition of xanthate due to the narrower band gap and larger BET surface area, comparing with pure (BiO) 2 CO 3 nanowires. By the results of UV-vis spectra of the solution and FTIR spectra of recycled Fe 3+ -doped (BiO) 2 CO 3 , the xanthate was oxidized completely into CO 2 and SO 4 2- . The photocatalytic degradation process of xanthate followed a pseudo-second-order kinetics model. The mechanism of enhanced photocatalytic activity was proposed as well. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Core-shell homojunction silicon vertical nanowire tunneling field-effect transistors.

    PubMed

    Yoon, Jun-Sik; Kim, Kihyun; Baek, Chang-Ki

    2017-01-23

    We propose three-terminal core-shell (CS) silicon vertical nanowire tunneling field-effect transistors (TFETs), which can be fabricated by conventional CMOS technology. CS TFETs show lower subthreshold swing (SS) and higher on-state current than conventional TFETs through their high surface-to-volume ratio, which increases carrier-tunneling region with no additional device area. The on-state current can be enhanced by increasing the nanowire height, decreasing equivalent oxide thickness (EOT) or creating a nanowire array. The off-state current is also manageable for power saving through selective epitaxial growth at the top-side nanowire region. CS TFETs with an EOT of 0.8 nm and an aspect ratio of 20 for the core nanowire region provide the largest drain current ranges with point SS values below 60 mV/dec and superior on/off current ratio under all operation voltages of 0.5, 0.7, and 1.0 V. These devices are promising for low-power applications at low fabrication cost and high device density.

  1. The effect of nanowire length and diameter on the properties of transparent, conducting nanowire films

    NASA Astrophysics Data System (ADS)

    Bergin, Stephen M.; Chen, Yu-Hui; Rathmell, Aaron R.; Charbonneau, Patrick; Li, Zhi-Yuan; Wiley, Benjamin J.

    2012-03-01

    This article describes how the dimensions of nanowires affect the transmittance and sheet resistance of a random nanowire network. Silver nanowires with independently controlled lengths and diameters were synthesized with a gram-scale polyol synthesis by controlling the reaction temperature and time. Characterization of films composed of nanowires of different lengths but the same diameter enabled the quantification of the effect of length on the conductance and transmittance of silver nanowire films. Finite-difference time-domain calculations were used to determine the effect of nanowire diameter, overlap, and hole size on the transmittance of a nanowire network. For individual nanowires with diameters greater than 50 nm, increasing diameter increases the electrical conductance to optical extinction ratio, but the opposite is true for nanowires with diameters less than this size. Calculations and experimental data show that for a random network of nanowires, decreasing nanowire diameter increases the number density of nanowires at a given transmittance, leading to improved connectivity and conductivity at high transmittance (>90%). This information will facilitate the design of transparent, conducting nanowire films for flexible displays, organic light emitting diodes and thin-film solar cells.This article describes how the dimensions of nanowires affect the transmittance and sheet resistance of a random nanowire network. Silver nanowires with independently controlled lengths and diameters were synthesized with a gram-scale polyol synthesis by controlling the reaction temperature and time. Characterization of films composed of nanowires of different lengths but the same diameter enabled the quantification of the effect of length on the conductance and transmittance of silver nanowire films. Finite-difference time-domain calculations were used to determine the effect of nanowire diameter, overlap, and hole size on the transmittance of a nanowire network. For

  2. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  3. Hybrid metal–organic chalcogenide nanowires with electrically conductive inorganic core through diamondoid-directed assembly

    DOE PAGES

    Yan, Hao; Hohman, J. Nathan; Li, Fei Hua; ...

    2016-12-26

    Controlling inorganic structure and dimensionality through structure-directing agents is a versatile approach for new materials synthesis that has been used extensively for metal–organic frameworks and coordination polymers. However, the lack of ‘solid’ inorganic cores requires charge transport through single-atom chains and/or organic groups, limiting their electronic properties. Here, we report that strongly interacting diamondoid structure-directing agents guide the growth of hybrid metal–organic chalcogenide nanowires with solid inorganic cores having three-atom cross-sections, representing the smallest possible nanowires. The strong van der Waals attraction between diamondoids overcomes steric repulsion leading to a cis configuration at the active growth front, enabling face-on additionmore » of precursors for nanowire elongation. These nanowires have band-like electronic properties, low effective carrier masses and three orders-of-magnitude conductivity modulation by hole doping. Furthermore, this discovery highlights a previously unexplored regime of structure-directing agents compared with traditional surfactant, block copolymer or metal–organic framework linkers.« less

  4. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  5. Rapid determination of nanowires electrical properties using a dielectrophoresis-well based system

    NASA Astrophysics Data System (ADS)

    Constantinou, Marios; Hoettges, Kai F.; Krylyuk, Sergiy; Katz, Michael B.; Davydov, Albert; Rigas, Grigorios-Panagiotis; Stolojan, Vlad; Hughes, Michael P.; Shkunov, Maxim

    2017-03-01

    The use of high quality semiconducting nanomaterials for advanced device applications has been hampered by the unavoidable growth variability of electrical properties of one-dimensional nanomaterials, such as nanowires and nanotubes, thus highlighting the need for the characterization of efficient semiconducting nanomaterials. In this study, we demonstrate a low-cost, industrially scalable dielectrophoretic (DEP) nanowire assembly method for the rapid analysis of the electrical properties of inorganic single crystalline nanowires, by identifying key features in the DEP frequency response spectrum from 1 kHz to 20 MHz in just 60 s. Nanowires dispersed in anisole were characterized using a three-dimensional DEP chip (3DEP), and the resultant spectrum demonstrated a sharp change in nanowire response to DEP signal in 1-20 MHz frequency range. The 3DEP analysis, directly confirmed by field-effect transistor data, indicates that nanowires of higher quality are collected at high DEP signal frequency range above 10 MHz, whereas lower quality nanowires, with two orders of magnitude lower current per nanowire, are collected at lower DEP signal frequencies. These results show that the 3DEP platform can be used as a very efficient characterization tool of the electrical properties of rod-shaped nanoparticles to enable dielectrophoretic selective deposition of nanomaterials with superior conductivity properties.

  6. Synthesis, characterization, and growth mechanism of motifs of ultrathin cobalt-substituted NaFeSi 2O 6 nanowires

    DOE PAGES

    Lewis, Crystal S.; Moronta, Dominic; Terban, Maxwell W.; ...

    2017-12-12

    In this report, we have synthesized and structurally characterized nanowire bundles of cobalt-substituted pyroxenes, similar to the crystal structure of aegirine (i.e. Co-substituted XYSi 2O 6 with X and Y referring to metallic elements such as but not limited to Co, Na, and Fe), using a readily scalable hydrothermal technique. We then propose a growth mechanism for these bundles, based on detailed time and temperature dependent studies as well as complementary control experiments, particularly reactions in the absence of either 3-aminopropyltriethoxysilane (APTES) or sodium hydroxide (NaOH), via a transmission electron microscopy visualization study. Moreover, these nanowire bundles were probed formore » their magnetic properties and chemical composition using superconducting quantum interference device (SQUID) measurements, X-ray diffraction, and pair distribution function analysis, respectively. Specifically, SQUID measurement observations highlighted that these bundles evince (i) unique and interesting super-paramagnetic properties at 5 K that are consistent with that of our previously published ~2 nm ultra-small nanoparticles as well as (ii) paramagnetic behavior at 300 K.« less

  7. Synthesis, characterization, and growth mechanism of motifs of ultrathin cobalt-substituted NaFeSi 2O 6 nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lewis, Crystal S.; Moronta, Dominic; Terban, Maxwell W.

    In this report, we have synthesized and structurally characterized nanowire bundles of cobalt-substituted pyroxenes, similar to the crystal structure of aegirine (i.e. Co-substituted XYSi 2O 6 with X and Y referring to metallic elements such as but not limited to Co, Na, and Fe), using a readily scalable hydrothermal technique. We then propose a growth mechanism for these bundles, based on detailed time and temperature dependent studies as well as complementary control experiments, particularly reactions in the absence of either 3-aminopropyltriethoxysilane (APTES) or sodium hydroxide (NaOH), via a transmission electron microscopy visualization study. Moreover, these nanowire bundles were probed formore » their magnetic properties and chemical composition using superconducting quantum interference device (SQUID) measurements, X-ray diffraction, and pair distribution function analysis, respectively. Specifically, SQUID measurement observations highlighted that these bundles evince (i) unique and interesting super-paramagnetic properties at 5 K that are consistent with that of our previously published ~2 nm ultra-small nanoparticles as well as (ii) paramagnetic behavior at 300 K.« less

  8. Low temperature synthesis of seed mediated CuO bundle of nanowires, their structural characterisation and cholesterol detection.

    PubMed

    Ibupoto, Z H; Khun, K; Liu, X; Willander, M

    2013-10-01

    In this study, we have successfully synthesised CuO bundle of nanowires using simple, cheap and low temperature hydrothermal growth method. The growth parameters such as precursor concentration and time for duration of growth were optimised. The field emission scanning electron microscopy (FESEM) has demonstrated that the CuO bundles of nanowires are highly dense, uniform and perpendicularly oriented to the substrate. The high resolution transmission electron microscopy (HRTEM) has demonstrated that the CuO nanostructures consist of bundle of nanowires and their growth pattern is along the [010] direction. The X-ray diffraction (XRD) technique described that CuO bundle of nanowires possess the monoclinic crystal phase. The surface and chemical composition analyses were carried out with X-ray photoelectron spectroscopy (XPS) technique and the obtained results suggested the pure crystal state of CuO nanostructures. In addition, the CuO nanowires were used for the cholesterol sensing application by immobilising the cholesterol oxidase through electrostatic attraction. The infrared reflection absorption spectroscopy study has also revealed that CuO nanostructures are consisting of only CuO bonding and has also shown the possible interaction of cholesterol oxidase with the sharp edge surface of CuO bundle of nanowires. The proposed cholesterol sensor has demonstrated the wide range of detection of cholesterol with good sensitivity of 33.88±0.96 mV/decade. Moreover, the CuO bundle of nanowires based sensor electrode has revealed good repeatability, reproducibility, stability, selectivity and a fast response time of less than 10s. The cholesterol sensor based on the immobilised cholesterol oxidase has good potential applicability for the determination of cholesterol from the human serum and other biological samples. Copyright © 2013 Elsevier B.V. All rights reserved.

  9. Surface physics of semiconducting nanowires

    NASA Astrophysics Data System (ADS)

    Amato, Michele; Rurali, Riccardo

    2016-02-01

    Semiconducting nanowires (NWs) are firm candidates for novel nanoelectronic devices and a fruitful playground for fundamental physics. Ultra-thin nanowires, with diameters below 10 nm, present exotic quantum effects due to the confinement of the wave functions, e.g. widening of the electronic band-gap, deepening of the dopant states. However, although several reports of sub-10 nm wires exist to date, the most common NWs have diameters that range from 20 to 200 nm, where these quantum effects are absent or play a very minor role. Yet, the research activity on this field is very intense and these materials still promise to provide an important paradigm shift for the design of emerging electronic devices and different kinds of applications. A legitimate question is then: what makes a nanowire different from bulk systems? The answer is certainly the large surface-to-volume ratio. In this article we discuss the most salient features of surface physics and chemistry in group-IV semiconducting nanowires, focusing mostly on Si NWs. First we review the state-of-the-art of NW growth to achieve a smooth and controlled surface morphology. Next we discuss the importance of a proper surface passivation and its role on the NW electronic properties. Finally, stressing the importance of a large surface-to-volume ratio and emphasizing the fact that in a NW the surface is where most of the action takes place, we discuss molecular sensing and molecular doping.

  10. Bandgap Tuning of GaAs/GaAsSb Core-Shell Nanowires Grown by Molecular Beam Epitaxy

    DTIC Science & Technology

    2015-09-21

    SECURITY CLASSIFICATION OF: Semiconductor nanowires have been identified as a viable technology for next-generation infrared (IR) photodetectors with... nanowires , by varying the Sb content using Ga-assisted molecular beam epitaxy. An increase in Sb content leads to strain accumulation in shell...manifesting in rough surface morphology, multifaceted growths, curved nanowires , and deterioration in the 1. REPORT DATE (DD-MM-YYYY) 4. TITLE AND SUBTITLE

  11. Digital selective growth of a ZnO nanowire array by large scale laser decomposition of zinc acetate.

    PubMed

    Hong, Sukjoon; Yeo, Junyeob; Manorotkul, Wanit; Kang, Hyun Wook; Lee, Jinhwan; Han, Seungyong; Rho, Yoonsoo; Suh, Young Duk; Sung, Hyung Jin; Ko, Seung Hwan

    2013-05-07

    We develop a digital direct writing method for ZnO NW micro-patterned growth on a large scale by selective laser decomposition of zinc acetate. For ZnO NW growth, by replacing the bulk heating with the scanning focused laser as a fully digital local heat source, zinc acetate crystallites can be selectively activated as a ZnO seed pattern to grow ZnO nanowires locally on a larger area. Together with the selective laser sintering process of metal nanoparticles, more than 10,000 UV sensors have been demonstrated on a 4 cm × 4 cm glass substrate to develop all-solution processible, all-laser mask-less digital fabrication of electronic devices including active layer and metal electrodes without any conventional vacuum deposition, photolithographic process, premade mask, high temperature and vacuum environment.

  12. Controlling the growth and field emission properties of silicide nanowire arrays by direct silicification of Ni foil.

    PubMed

    Liu, Zhihong; Zhang, Hui; Wang, Lei; Yang, Deren

    2008-09-17

    Nickel silicide nanowire arrays have been achieved by the decomposition of SiH(4) on Ni foil at 650 °C. It is indicated that the nickel silicide nanowires consist of roots with diameter of about 100-200 nm and tips with diameter of about 10-50 nm. A Ni diffusion controlled mechanism is proposed to explain the formation of the nickel silicide nanowires. Field emission measurement shows that the turn-on field of the nickel silicide nanowire arrays is low, at about 3.7 V µm(-1), and the field enhancement factor is as high as 4280, so the arrays have promising applications as emitters.

  13. Influence factors of the inter-nanowire thermal contact resistance in the stacked nanowires

    NASA Astrophysics Data System (ADS)

    Wu, Dongxu; Huang, Congliang; Zhong, Jinxin; Lin, Zizhen

    2018-05-01

    The inter-nanowire thermal contact resistance is important for tuning the thermal conductivity of a nanocomposite for thermoelectric applications. In this paper, the stacked copper nanowires are applied for studying the thermal contact resistance. The stacked copper nanowires are firstly made by the cold-pressing method, and then the nanowire stacks are treated by sintering treatment. With the effect of the volumetric fraction of nanowires in the stack and the influence of the sintering-temperature on the thermal contact resistance discussed, results show that: The thermal conductivity of the 150-nm copper nanowires can be enlarged almost 2 times with the volumetric fraction increased from 32 to 56% because of the enlarged contact-area and contact number of a copper nanowire. When the sintering temperature increases from 293 to 673 K, the thermal conductivity of the stacked 300-nm nanowires could be enlarged almost 2.5 times by the sintering treatment, because of the improved lattice property of the contact zone. In conclusion, application of a high volumetric fraction or/and a sintering-treatment are effectivity to tune the inter-nanowire thermal contact resistance, and thus to tailor the thermal conductivity of a nanowire network or stack.

  14. Construction of 3D Metallic Nanowire Arrays on Arbitrarily-Shaped Substrate.

    NASA Astrophysics Data System (ADS)

    Chen, Fei; Li, Jingning; Yu, Fangfang; Peng, Ru-Wen; Wang, Mu; Mu Wang Team

    Formation of three-dimensional (3D) nanostructures is an important step of advanced manufacture for new concept devices with novel functionality. Despite of great achievements in fabricating nanostructures with state of the art lithography approaches, these nanostructures are normally limited on flat substrates. Up to now it remains challenging to build metallic nanostructures directly on a rough and bumpy surface. Here we demonstrate a unique approach to fabricate metallic nanowire arrays on an arbitrarily-shaped surface by electrodeposition, which is unknown before 2016. Counterintuitively here the growth direction of the nanowires is perpendicular to their longitudinal axis, and the specific geometry of nanowires can be achieved by introducing specially designed shaped substrate. The spatial separation and the width of the nanowires can be tuned by voltage, electrolyte concentration and temperature in electrodeposition. By taking cobalt nanowire array as an example, we demonstrate that head-to-head and tail-to-tail magnetic domain walls can be easily introduced and modulated in the nanowire arrays, which is enlightening to construct new devices such as domain wall racetrack memory. We acknowledge the foundation from MOST and NSF(China).

  15. Polycrystalline nanowires of gadolinium-doped ceria via random alignment mediated by supercritical carbon dioxide

    PubMed Central

    Kim, Sang Woo; Ahn, Jae-Pyoung

    2013-01-01

    This study proposes a seed/template-free method that affords high-purity semiconducting nanowires from nanoclusters, which act as basic building blocks for nanomaterials, under supercritical CO2 fluid. Polycrystalline nanowires of Gd-doped ceria (Gd-CeO2) were formed by CO2-mediated non-oriented attachment of the nanoclusters resulting from the dissociation of single-crystalline aggregates. The unique formation mechanism underlying this morphological transition may be exploited for the facile growth of high-purity polycrystalline nanowires. PMID:23572061

  16. Physical origin of the incubation time of self-induced GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consonni, V.; Trampert, A.; Geelhaar, L.

    2011-07-18

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 {+-} 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  17. Recent advances in large-scale assembly of semiconducting inorganic nanowires and nanofibers for electronics, sensors and photovoltaics.

    PubMed

    Long, Yun-Ze; Yu, Miao; Sun, Bin; Gu, Chang-Zhi; Fan, Zhiyong

    2012-06-21

    Semiconducting inorganic nanowires (NWs), nanotubes and nanofibers have been extensively explored in recent years as potential building blocks for nanoscale electronics, optoelectronics, chemical/biological/optical sensing, and energy harvesting, storage and conversion, etc. Besides the top-down approaches such as conventional lithography technologies, nanowires are commonly grown by the bottom-up approaches such as solution growth, template-guided synthesis, and vapor-liquid-solid process at a relatively low cost. Superior performance has been demonstrated using nanowires devices. However, most of the nanowire devices are limited to the demonstration of single devices, an initial step toward nanoelectronic circuits, not adequate for production on a large scale at low cost. Controlled and uniform assembly of nanowires with high scalability is still one of the major bottleneck challenges towards the materials and device integration for electronics. In this review, we aim to present recent progress toward nanowire device assembly technologies, including flow-assisted alignment, Langmuir-Blodgett assembly, bubble-blown technique, electric/magnetic- field-directed assembly, contact/roll printing, planar growth, bridging method, and electrospinning, etc. And their applications in high-performance, flexible electronics, sensors, photovoltaics, bioelectronic interfaces and nano-resonators are also presented.

  18. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  19. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  20. Dealloying of gold–copper alloy nanowires: From hillocks to ring-shaped nanopores

    PubMed Central

    Chauvin, Adrien; Delacôte, Cyril; Boujtita, Mohammed; Angleraud, Benoit; Ding, Junjun; Choi, Chang-Hwan; Tessier, Pierre-Yves

    2016-01-01

    Summary We report on a novel fabrication approach of metal nanowires with complex surface. Taking advantage of nodular growth triggered by the presence of surface defects created intentionally on the substrate as well as the high tilt angle between the magnetron source axis and the normal to the substrate, metal nanowires containing hillocks emerging out of the surface can be created. The approach is demonstrated for several metals and alloys including gold, copper, silver, gold–copper and gold–silver. We demonstrate that applying an electrochemical dealloying process to the gold–copper alloy nanowire arrays allows for transforming the hillocks into ring-like shaped nanopores. The resulting porous gold nanowires exhibit a very high roughness and high specific surface making of them a promising candidate for the development of SERS-based sensors. PMID:27826510

  1. DNA-Templated Pd Conductive Metallic Nanowires

    NASA Astrophysics Data System (ADS)

    Nguyen, K.; Monteverde, M.; Lyonnais, S.; Campidelli, S.; Bourgoin, J.-Ph.; Filoramo, A.

    2008-10-01

    Because of its unique recognition properties, its size and the sub-nanometric resolution, DNA is of particular interest for positioning and organizing nanomaterials. However, in DNA-directed nanoelectronic it can be envisioned to use DNA not only as a positioning scaffold, but also as a support for the conducting element. To ensure this function a metallization process is necessary and among the various DNA metallization methods the Pd based ones are of particular interest for carbon nanotube transistor connections. In this field, the major drawback of the existing methods is the fast kinetics of the process which lead to a stochastic growth. Here, we present a novel approach to DNA Pd metalization where the DNA molecule is previously deposited on a dry substrate in a typical nanodevice configuration. In our approach the progressive growth of nanowires is achieved by the slow and selective precipitation of PdO, followed by a subsequent reduction step. Thanks to this strategy we fabricated homogeneous, continuous and conductive Pd nanowires on the DNA scaffolds of very thin diameter (20-25 nm).

  2. Self-catalytic growth of unmodified gold nanoparticles as conductive bridges mediated gap-electrical signal transduction for DNA hybridization detection.

    PubMed

    Zhang, Jing; Nie, Huagui; Wu, Zhan; Yang, Zhi; Zhang, Lijie; Xu, Xiangju; Huang, Shaoming

    2014-01-21

    A simple and sensitive gap-electrical biosensor based on self-catalytic growth of unmodified gold nanoparticles (AuNPs) as conductive bridges has been developed for amplifying DNA hybridization events. In this strategy, the signal amplification degree of such conductive bridges is closely related to the variation of the glucose oxidase (GOx)-like catalytic activity of AuNPs upon interaction with single- and double-stranded DNA (ssDNA and dsDNA), respectively. In the presence of target DNA, the obtained dsDNA product cannot adsorb onto the surface of AuNPs due to electrostatic interaction, which makes the unmodified AuNPs exhibit excellent GOx-like catalytic activity. Such catalytic activity can enlarge the diameters of AuNPs in the glucose and HAuCl4 solution and result in a connection between most of the AuNPs and a conductive gold film formation with a dramatically increased conductance. For the control sample, the catalytic activity sites of AuNPs are fully blocked by ssDNA due to the noncovalent interaction between nucleotide bases and AuNPs. Thus, the growth of the assembled AuNPs will not happen and the conductance between microelectrodes will be not changed. Under the optimal experimental conditions, the developed strategy exhibited a sensitive response to target DNA with a high signal-to-noise ratio. Moreover, this strategy was also demonstrated to provide excellent differentiation ability for single-nucleotide polymorphism. Such performances indicated the great potential of this label-free electrical strategy for clinical diagnostics and genetic analysis under real biological sample separation.

  3. Long exciton lifetimes in stacking-fault-free wurtzite GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Furthmeier, Stephan, E-mail: stephan.furthmeier@ur.de; Dirnberger, Florian; Hubmann, Joachim

    We present a combined photoluminescence and transmission electron microscopy study of single GaAs nanowires. Each wire was characterized both in microscopy and spectroscopy, allowing a direct correlation of the optical and the structural properties. By tuning the growth parameters, the nanowire crystal structure is optimized from a highly mixed zincblende–wurtzite structure to pure wurtzite. We find the latter one to be stacking-fault-free over nanowire lengths up to 4.1 μm. We observe the emission of purely wurtzite nanowires to occur only with polarization directions perpendicular to the wurtzite c{sup ^}-axis, as expected from the hexagonal unit cell symmetry. The free exciton recombinationmore » energy in the wurtzite structure is 1.518 eV at 5 K with a narrow linewidth of 4 meV. Most notably, these pure wurtzite nanowires display long carrier recombination lifetimes of up to 11.2 ns, exceeding reported lifetimes in bulk GaAs and state-of-the-art 2D GaAs/AlGaAs heterostructures.« less

  4. Regulation of Catalytic and Non-catalytic Functions of the Drosophila Ste20 Kinase Slik by Activation Segment Phosphorylation.

    PubMed

    Panneton, Vincent; Nath, Apurba; Sader, Fadi; Delaunay, Nathalie; Pelletier, Ariane; Maier, Dominic; Oh, Karen; Hipfner, David R

    2015-08-21

    Protein kinases carry out important functions in cells both by phosphorylating substrates and by means of regulated non-catalytic activities. Such non-catalytic functions have been ascribed to many kinases, including some members of the Ste20 family. The Drosophila Ste20 kinase Slik phosphorylates and activates Moesin in developing epithelial tissues to promote epithelial tissue integrity. It also functions non-catalytically to promote epithelial cell proliferation and tissue growth. We carried out a structure-function analysis to determine how these two distinct activities of Slik are controlled. We find that the conserved C-terminal coiled-coil domain of Slik, which is necessary and sufficient for apical localization of the kinase in epithelial cells, is not required for Moesin phosphorylation but is critical for the growth-promoting function of Slik. Slik is auto- and trans-phosphorylated in vivo. Phosphorylation of at least two of three conserved sites in the activation segment is required for both efficient catalytic activity and non-catalytic signaling. Slik function is thus dependent upon proper localization of the kinase via the C-terminal coiled-coil domain and activation via activation segment phosphorylation, which enhances both phosphorylation of substrates like Moesin and engagement of effectors of its non-catalytic growth-promoting activity. © 2015 by The American Society for Biochemistry and Molecular Biology, Inc.

  5. Straight single-crystalline germanium nanowires and their patterns grown on sol gel prepared gold/silica substrates

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Lowndes, Douglas H.

    2005-04-01

    Straight single-crystalline Ge nanowires with a uniform diameter distribution of 50-80 nm and lengths up to tens of micrometers were grown in a high yield on sol-gel prepared gold/silica substrates by using Ge powder as the Ge source. Detailed electron microscopy analyses show that the nanowires grow through a vapor-liquid-solid growth mechanism with gold nanoparticles located at the nanowire tips. By using transmission electron microscope grids as the shadow mask, the sol-gel technique can be readily adapted to prepare patterned film-like gold/silica substrates, so that regular micropatterns of Ge nanowires were obtained, which could facilitate the integration of Ge nanowires for characterization and devices.

  6. Differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires with DFT method

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-05-01

    To investigate the influences of dangling bonds on GaN nanowires surface, the differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires are researched through first-principles study. The GaN nanowires along the [0001] growth direction with diameters of 3.7, 7.5 and 9.5 Å are considered. According to the results, H-saturated GaN nanowires are more stable than the unsaturated ones. With increasing nanowire diameter, unsaturated GaN nanowires become more stable, while the stability of H-saturated GaN nanowires has little change. After geometry optimization, the atomic displacements of unsaturated and H-saturated models are almost reversed. In (0001) crystal plane, Ga atoms tend to move inwards and N atoms tend to move outwards slightly for the unsaturated nanowires, while Ga atoms tend to move outwards and N atoms tend to move inwards slightly for the H-saturated nanowires. Besides, with increasing nanowire diameter, the conduction band minimum of H-saturated nanowire moves to the lower energy side, while that of the unsaturated nanowire changes slightly. The bandgaps of H-saturated nanowires are approaching to bulk GaN as the diameter increases. Absorption curves and reflectivity curves of the unsaturated and H-saturated nanowires exhibit the same trend with the change of energy except the H-saturated models which show larger variations. Through all the calculated results above, we can better understand the effects of dangling bonds on the optoelectronic properties of GaN nanowires and select more proper calculation models and methods for other calculations.

  7. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  8. Role of oxygen vacancies in visible emission and transport properties of indium oxide nanowires

    NASA Astrophysics Data System (ADS)

    Gali, Pradeep; Kuo, Fang-Ling; Shepherd, Nigel; Philipose, U.

    2012-01-01

    We report on the effect of oxygen vacancies on the defect-related emission and the electronic properties of In2O3 nanowires. The nanowires were synthesized by vapor phase transport and had diameters ranging from 80-100 nm and lengths over 10-20 μm, with a growth direction of [0 0 1]. The as-grown nanowires connected in an FET type of configuration show n-type conductivity, which is ascribed to the presence of intrinsic defects like oxygen vacancies in the nanowire. The resistivity, transconductance, field effect mobility and carrier concentration of the In2O3 nanowires were determined to be 1.82 × 10-2 Ω cm, 11.2 nS, 119 cm2 V-1 s-1 and 4.89 × 1017 cm-3, respectively. The presence of oxygen vacancies was also confirmed by photoluminescence measurements, which show a strong UV emission peak at 3.18 eV and defect peaks in the visible region at 2.85 eV, 2.66 eV and 2.5 eV. We present a technique of post-growth annealing in O2 environment and passivation with (NH4)2S to reduce the defect-induced emission.

  9. ZnxCd1-xSe alloy nanowires covering the entire compositional range grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shan, C. X.; Liu, Z.; Ng, C. M.; Hark, S. K.

    2005-07-01

    We show that preferentially oriented, single-crystalline ZnxCd1-xSe alloy nanowires can be grown on GaAs (100) surface using Au as a catalyst over the entire compositional range in a metalorganic chemical vapor deposition system. The composition of the alloy nanowires can be simply adjusted through the ratio of the flow rates of group-II precursors. Electron microscopy shows that the nanowires are smooth and uniform in shape; their diameters range from 20 to 80 nm and lengths exceed a few micrometers. Nanowires containing more than 13% Zn are zinc blende structured and grow along the ⟨110⟩ direction. Those containing less Zn are wurtzite structured and grow along the ⟨210⟩ direction. Compared with the bulk alloy, the change from zinc blende to wurtzite structure in nanowires occurs at far smaller x. The preferred orientation and the persistence of the zinc blende structure both reflect the influence of the substrate on the growth of the nanowires. Photoluminescence measurements identify a strong near-band-edge emission for all samples and show that its peak energy tracks the band gap of ZnxCd1-xSe epilayer for x>0.13. The growth of alloy nanowires at many compositions opens up the possibility of realizing quasi-one-dimensional heterojunctions.

  10. Reduction of furnace temperature in ultra long carbon nanotube growth by plasmonic excitation of electron Fermi gas of catalytic nanocluster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saeidi, Mohammadreza, E-mail: Saeidi.mr@gmail.com, E-mail: m.saeidi@shahed.ac.ir

    2016-06-15

    In this paper, a novel physical method is presented to reduce the temperature of the furnace and prevent loss of thermal energy in ultra long carbon nanotube (CNT) growth process by catalytic chemical vapor deposition. This method is based on the plasmonic excitation of electron Fermi gas of catalytic nanocluster sitting at tip end of CNT by ultraviolet (UV) irradiation. Physical concepts of the method are explained in detail. The results of applying the presented method consequences to an appropriate tip-growth mechanism of the ultra long CNTs show that, in the presence of plasmonic excitation, the growth rate of themore » CNT is enhanced. Demonstration of temperature reduction and simultaneous increase in CNT length by UV irradiation with the proper frequency are the most important and practical result of the paper. All results are interpreted and discussed.« less

  11. Integration of Metal Oxide Nanowires in Flexible Gas Sensing Devices

    PubMed Central

    Comini, Elisabetta

    2013-01-01

    Metal oxide nanowires are very promising active materials for different applications, especially in the field of gas sensors. Advances in fabrication technologies now allow the preparation of nanowires on flexible substrates, expanding the potential market of the resulting sensors. The critical steps for the large-scale preparation of reliable sensing devices are the elimination of high temperatures processes and the stretchability of the entire final device, including the active material. Direct growth on flexible substrates and post-growth procedures have been successfully used for the preparation of gas sensors. The paper will summarize the procedures used for the preparation of flexible and wearable gas sensors prototypes with an overlook of the challenges and the future perspectives concerning this field. PMID:23955436

  12. Boron nitride nanowires synthesis via a simple chemical vapor deposition at 1200 °C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmad, Pervaiz; Khandaker, Mayeen Uddin; Amin, Yusoff Mohd

    2015-04-24

    A very simple chemical vapor deposition technique is used to synthesize high quality boron nitride nanowires at 1200 °C within a short growth duration of 30 min. FESEM micrograph shows that the as-synthesized boron nitride nanowires have a clear wire like morphology with diameter in the range of ∼20 to 150 nm. HR-TEM confirmed the wire-like structure of boron nitride nanowires, whereas XPS and Raman spectroscopy are used to find out the elemental composition and phase of the synthesized material. The synthesized boron nitride nanowires have potential applications as a sensing element in solid state neutron detector, neutron capture therapy and microelectronicmore » devices with uniform electronic properties.« less

  13. Three-Dimensional Array of TiN@Pt3Cu Nanowires as an Efficient Porous Electrode for the Lithium-Oxygen Battery.

    PubMed

    Luo, Wen-Bin; Pham, Thien Viet; Guo, Hai-Peng; Liu, Hua-Kun; Dou, Shi-Xue

    2017-02-28

    The nonaqueous lithium-oxygen battery is a promising candidate as a next-generation energy storage system because of its potentially high energy density (up to 2-3 kW kg -1 ), exceeding that of any other existing energy storage system for storing sustainable and clean energy to reduce greenhouse gas emissions and the consumption of nonrenewable fossil fuels. To achieve high round-trip efficiency and satisfactory cycling stability, the air electrode structure and the electrocatalysts play important roles. Here, a 3D array composed of one-dimensional TiN@Pt 3 Cu nanowires was synthesized and employed as a whole porous air electrode in a lithium-oxygen battery. The TiN nanowire was primarily used as an air electrode frame and catalyst support to provide a high electronic conductivity network because of the high-orientation one-dimensional crystalline structure. Meanwhile, deposited icosahedral Pt 3 Cu nanocrystals exhibit highly efficient catalytic activity owing to the abundant {111} active lattice facets and multiple twin boundaries. This porous air electrode comprises a one-dimensional TiN@Pt 3 Cu nanowire array that demonstrates excellent energy conversion efficiency and rate performance in full discharge and charge modes. The discharge capacity is up to 4600 mAh g -1 along with an 84% conversion efficiency at a current density of 0.2 mA cm -2 , and when the current density increased to 0.8 mA cm -2 , the discharge capacity is still greater than 3500 mAh g -1 together with a nearly 70% efficiency. This designed array is a promising bifunctional porous air electrode for lithium-oxygen batteries, forming a continuous conductive and high catalytic activity network to facilitate rapid gas and electrolyte diffusion and catalytic reaction throughout the whole energy conversion process.

  14. Solution synthesis of germanium nanowires using a Ge+2 alkoxide precursor.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boyle, Timothy J.; Tribby, Louis, J; Bunge, Scott D.

    2006-02-01

    A simple solution synthesis of germanium (Ge{sup 0}) nanowires under mild conditions (<400 C and 1 atm) was demonstrated using germanium 2,6 dibutylphenoxide Ge(DBP){sub 2} (1) as the precursor where DBP = OC{sub 6}H{sub 3}(C(CH{sub 3}){sub 3}){sub 2}-2,6. Compound 1, synthesized from Ge(NR{sub 2}){sub 2} where R = SiMe{sub 3} and two equivalents of DBP-H, was characterized as a mononuclear species by single crystal X-ray diffraction. Dissolution of 1 in oleylamine, followed by rapid injection into a 1-octadecene solution heated to 300 C under an atmosphere of Ar, led to the formation of Ge{sup 0} nanowires. The Ge{sup 0} nanowiresmore » were characterized by transmission electron microscopy (TEM), X-ray diffraction analysis, and Fourier transform infrared spectroscopy. These characterizations revealed that the nanowires are single crystalline in the cubic phase and coated with oleylamine surfactant. We also observed that the nanowire length (0.1 to 10 {micro}m) increases with increasing temperature (285 to 315 C) and time (5 to 60 min). Two growth mechanisms are proposed based on the TEM images intermittently taken during the growth process as a function of time: (1) self-seeding mechanism where one of two overlapping nanowires serves as a seed, while the other continues to grow as a wire and (2) self-assembly mechanism where an aggregate of small rods (< 50 nm in diameter) recrystallize on the tip of a longer wire, extending its length.« less

  15. Mesoscopic Ni particles and nanowires by pulsed electrodeposition into porous Si

    NASA Astrophysics Data System (ADS)

    Michelakaki, E.; Valalaki, K.; G. Nassiopoulou, A.

    2013-04-01

    We report in this article on the formation of mesoscopic Ni particles and filling of continuous Ni nanowires into porous Si layers of thickness in the range of 0.5-4 μm with anisotropic vertical pores of average diameter in the range of 30-45 nm using pulsed electrodeposition from a Ni salt solution. The effect of pulse duration, number of pulses, and total process time on pore filling was investigated for porous Si with different porosities and porous Si layer thicknesses in the above thickness range. Scanning and transmission electron microscopy were used to characterize the samples. It was found that pore filling starts with Ni nucleation and nanoparticle formation at different points of the pore walls along the whole pore length and continues with nanoparticle coalescence to form continuous Ni nanowires that completely fill the pores. The mechanism involved in pore filling is particle nucleation and diffusion-controlled growth of Ni nanoparticles that coalesce to nanowires. From the beginning of the process, a metal film starts to form on the porous Si surface, and its thickness increases with increasing the process time. However, the presence of this film does not impede further pore filling and nanowire formation into the pores. This supports further the diffusion-controlled growth mechanism. Finally, it was demonstrated that full pore filling and continuous Ni nanowire formation were also achieved under direct current electrodeposition, and the results are quite similar to those obtained with pulsed electrodeposition when the same total deposition time is used in both cases.

  16. Topological insulator nanowires and nanowire hetero-junctions

    NASA Astrophysics Data System (ADS)

    Deng, Haiming; Zhao, Lukas; Wade, Travis; Konczykowski, Marcin; Krusin-Elbaum, Lia

    2014-03-01

    The existing topological insulator materials (TIs) continue to present a number of challenges to complete understanding of the physics of topological spin-helical Dirac surface conduction channels, owing to a relatively large charge conduction in the bulk. One way to reduce the bulk contribution and to increase surface-to-volume ratio is by nanostructuring. Here we report on the synthesis and characterization of Sb2Te3, Bi2Te3 nanowires and nanotubes and Sb2Te3/Bi2Te3 heterojunctions electrochemically grown in porous anodic aluminum oxide (AAO) membranes with varied (from 50 to 150 nm) pore diameters. Stoichiometric rigid polycrystalline nanowires with controllable cross-sections were obtained using cell voltages in the 30 - 150 mV range. Transport measurements in up to 14 T magnetic fields applied along the nanowires show Aharonov-Bohm (A-B) quantum oscillations with periods corresponding to the nanowire diameters. All nanowires were found to exhibit sharp weak anti-localization (WAL) cusps, a characteristic signature of TIs. In addition to A-B oscillations, new quantization plateaus in magnetoresistance (MR) at low fields (< 0 . 7T) were observed. The analysis of MR as well as I - V characteristics of heterojunctions will be presented. Supported in part by NSF-DMR-1122594, NSF-DMR-1312483-MWN, and DOD-W911NF-13-1-0159.

  17. Nanowire structures and electrical devices

    DOEpatents

    Bezryadin, Alexey; Remeika, Mikas

    2010-07-06

    The present invention provides structures and devices comprising conductive segments and conductance constricting segments of a nanowire, such as metallic, superconducting or semiconducting nanowire. The present invention provides structures and devices comprising conductive nanowire segments and conductance constricting nanowire segments having accurately selected phases including crystalline and amorphous states, compositions, morphologies and physical dimensions, including selected cross sectional dimensions, shapes and lengths along the length of a nanowire. Further, the present invention provides methods of processing nanowires capable of patterning a nanowire to form a plurality of conductance constricting segments having selected positions along the length of a nanowire, including conductance constricting segments having reduced cross sectional dimensions and conductance constricting segments comprising one or more insulating materials such as metal oxides.

  18. Ultrahigh-Speed Electrically Injected 1.55 micrometer Quantum Dot Microtube and Nanowire Lasers on Si

    DTIC Science & Technology

    2015-08-30

    Ultrahigh-Speed Electrically Injected 1.55 um Quantum Dot Microtube and Nanowire Lasers on Si In this report, we describe the progress made in rolled...up InP-based tube lasers and in the growth and characterization of III-nitride nanowire structures on Si. We report on the demonstration of...injected AlGaN nanowire lasers that can operate in the UV-AII (315-340 nm), UV-B (280-315nm), and UV-C (200-280 nm). The views, opinions and/or findings

  19. Nanowire-based thermoelectrics

    NASA Astrophysics Data System (ADS)

    Ali, Azhar; Chen, Yixi; Vasiraju, Venkata; Vaddiraju, Sreeram

    2017-07-01

    Research on thermoelectrics has seen a huge resurgence since the early 1990s. The ability of tuning a material’s electrical and thermal transport behavior upon nanostructuring has led to this revival. Nevertheless, thermoelectric performances of nanowires and related materials lag far behind those achieved with thin-film superlattices and quantum dot-based materials. This is despite the fact that nanowires offer many distinct advantages in enhancing the thermoelectric performances of materials. The simplicity of the strategy is the first and foremost advantage. For example, control of the nanowire diameters and their surface roughnesses will aid in enhancing their thermoelectric performances. Another major advantage is the possibility of obtaining high thermoelectric performances using simpler nanowire chemistries (e.g., elemental and binary compound semiconductors), paving the way for the fabrication of thermoelectric modules inexpensively from non-toxic elements. In this context, the topical review provides an overview of the current state of nanowire-based thermoelectrics. It concludes with a discussion of the future vision of nanowire-based thermoelectrics, including the need for developing strategies aimed at the mass production of nanowires and their interface-engineered assembly into devices. This eliminates the need for trial-and-error strategies and complex chemistries for enhancing the thermoelectric performances of materials.

  20. Growth and transfer of monolithic horizontal nanowire superstructures onto flexible substrates

    DOEpatents

    Wang, Zhong L; Xu, Sheng

    2013-08-27

    In a method of making a monolithic elongated nanowire, a mask polymer layer is applied to a selected crystal surface of a seed crystal. A plurality of spaced apart elongated openings is defined through the mask polymer layer, thereby exposing a corresponding plurality of portions of the crystal surface. The openings are disposed so as to be aligned with and parallel to a selected crystal axis of the seed crystal. The portions of the crystal surface are subjected to a chemical nutrient environment that causes crystalline material to grow from the plurality of portions for at least a period of time so that monocrystalline members grow from the elongated openings and until the monocrystalline members laterally expand so that each monocrystalline member grows into and merges with an adjacent one of the monocrystalline members, thereby forming a monolithic elongated nanowire.