Sample records for nanowires nws grown

  1. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    PubMed

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  2. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  3. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  4. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  5. Effects of silicon nanowires (SiNWs) contents on the optical and dielectric properties of poly(3-hexylthiophene):SiNWs nanocomposites

    NASA Astrophysics Data System (ADS)

    Saidi, Hamza; Walid, Aloui; Bouazizi, Abdelaziz; Herrero, Beatriz Romero; Saidi, Faouzi

    2017-08-01

    In this study, we investigated the dependency of the optical and electrical proprieties of poly(3-hexylthiophene):silicon nanowires (P3HT:SiNWs) nanocomposites on the concentration of SiNWs based on photoluminescence (PL) and impedance spectroscopy. The PL spectra indicated the presence of charge transfer at low concentrations of SiNWs. The effects of the SiNWs contents on the loss mechanism were determined based on permittivity measurements, which were related to the distribution of the SiNWs contents on the polymer backbones, as well as being correlated with the PL and conductance results. The imaginary part of the impedance exhibited a high relaxation frequency attributable to Maxwell-Wagner polarization, where the extracted relaxation time was in the range of milliseconds. The Cole-Cole diagram had an excellent fit via the equivalent circuit, which incorporated the chemical capacitance Cμ, contact electrical resistance Rs, and recombination resistance Rp.

  6. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  7. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  8. A generic approach for vertical integration of nanowires.

    PubMed

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Lévy, F; Chelnokov, A

    2008-08-27

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs.

  9. Effect of in situ Al doping on structure and optical properties of ZnO nanowires grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Souissi, H.; Jabri, S.; Souissi, A.; Lusson, A.; Galtier, P.; Meftah, A.; Sallet, V.; Oueslati, M.

    2018-01-01

    Al-doped ZnO nanowires (NWs) were grown on C-axis oriented sapphire by metal organic chemical vapor deposition using dimethylzinc-triethylamine (DMZn-TEN), nitrogen dioxide (NO2) and TMAl as zinc, oxygen and aluminum doping sources respectively. The NWs morphology has been characterized by scanning electron microscopy and transmission electron microscopy. The photoluminescence (PL) spectra exhibit a strong excitonic transition bond that confirms the Al incorporation in the ZnO NWs. Raman results support PL conclusion by showing additional modes in Al-doped ZnO NWs at nearly 270, 510, 579 and 641 cm-1. The micro-Raman scattering analysis along a single Al-doped ZnO needle-like NW shows an increase of the Al concentration from the basis to the tip of the wire.

  10. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  11. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  12. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  13. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  14. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, R. Rakesh, E-mail: rakesh.rajaboina@gmail.com; Department of Physics, Indian Institute of Science Education and Research, Bhopal 462066; Rao, K. Narasimha

    2014-04-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400more » °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices.« less

  15. Bulk to nanostructured vanadium pentaoxide-nanowires (V2O5-NWs) for high energy density supercapacitors

    NASA Astrophysics Data System (ADS)

    Ahirrao, Dinesh J.; Mohanapriya., K.; Jha, Neetu

    2018-04-01

    Vanadium pentoxide (V2O5) has attracted huge attention in field of energy storage including supercapacitor electrodes due to its low cost and layered structure. In this present study, Bulk V2O5 has been prepared by the calcination of ammonium metavanadate followed by the synthesis of V2O5-nanowires (V2O5-NWs) by hydrothermal treatment of bulk V2O5. Obtained V2O5-NWs was further used to fabricate the supercapacitor electrodes. Structure and morphology analyzed by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM) and Transmission electron microscopy (TEM). Energy storage capability of as prepared nanowires was investigated by Galvanostatic charge-discharge (GCD), cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) in aqueous electrolyte (1M H2SO4). High specific capacitantance of about 622 F/g was achieved at 1 A/g. Along with high storage by faradic charge storage mechanism; V2O5-NWs electrodes also possess high stability. It could retain 63% of its initial capacitance even after 1000 GCD cycles. Excellent performance of V2O5-NWs promotes its commercial utilization for the development of high performance supercapacitors.

  16. Paper-based piezoelectric touch pads with hydrothermally grown zinc oxide nanowires.

    PubMed

    Li, Xiao; Wang, Yu-Hsuan; Zhao, Chen; Liu, Xinyu

    2014-12-24

    This paper describes a new type of paper-based piezoelectric touch pad integrating zinc oxide nanowires (ZnO NWs), which can serve as user interfaces in paper-based electronics. The sensing functionality of these touch pads is enabled by the piezoelectric property of ZnO NWs grown on paper using a simple, cost-efficient hydrothermal method. A piece of ZnO-NW paper with two screen-printed silver electrodes forms a touch button, and touch-induced electric charges from the button are converted into a voltage output using a charge amplifier circuit. A touch pad consisting of an array of buttons can be readily integrated into paper-based electronic devices, allowing user input of information for various purposes such as programming, identification checking, and gaming. This novel design features ease of fabrication, low cost, ultrathin structure, and good compatibility with techniques in printed electronics, and further enriches the available technologies of paper-based electronics.

  17. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  18. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  19. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  20. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  1. Four-probe electrical-transport measurements on single indium tin oxide nanowires between 1.5 and 300 K

    NASA Astrophysics Data System (ADS)

    Chiu, Shao-Pin; Chung, Hui-Fang; Lin, Yong-Han; Kai, Ji-Jung; Chen, Fu-Rong; Lin, Juhn-Jong

    2009-03-01

    Single-crystalline indium tin oxide (ITO) nanowires (NWs) were grown by the standard thermal evaporation method. The as-grown NWs were typically 100-300 nm in diameter and a few µm long. Four-probe submicron Ti/Au electrodes on individual NWs were fabricated by the electron-beam lithography technique. The resistivities of several single NWs have been measured from 300 down to 1.5 K. The results indicate that the as-grown ITO NWs are metallic, but disordered. The overall temperature behavior of resistivity can be described by the Bloch-Grüneisen law plus a low-temperature correction due to the scattering of electrons off dynamic point defects. This observation suggests the existence of numerous dynamic point defects in as-grown ITO NWs.

  2. Functionalized ZnO nanowires for microcantilever biosensors with enhanced binding capability.

    PubMed

    Stassi, Stefano; Chiadò, Alessandro; Cauda, Valentina; Palmara, Gianluca; Canavese, Giancarlo; Laurenti, Marco; Ricciardi, Carlo

    2017-04-01

    An efficient way to increase the binding capability of microcantilever biosensors is here demonstrated by growing zinc oxide nanowires (ZnO NWs) on their active surface. A comprehensive evaluation of the chemical compatibility of ZnO NWs brought to the definition of an innovative functionalization method able to guarantee the proper immobilization of biomolecules on the nanostructured surface. A noteworthy higher amount of grafted molecules was evidenced with colorimetric assays on ZnO NWs-coated devices, in comparison with functionalized and activated silicon flat samples. ZnO NWs grown on silicon microcantilever arrays and activated with the proposed immobilization strategy enhanced the sensor binding capability (and thus the dynamic range) of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices. Graphical Abstract An efficient way to increase the binding capability of microcantilever biosensors is represented by growing zinc oxide nanowires (ZnO NWs) on their active surface. ZnO NWs grown on silicon microcantilever arrays and activated with an innovative immobilization strategy enhanced the sensor binding capability of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices.

  3. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  4. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  5. ZnO nanowires for tunable near-UV/blue LED

    NASA Astrophysics Data System (ADS)

    Pauporté, Thierry; Lupan, Oleg; Viana, Bruno

    2012-02-01

    Nanowires (NWs)-based light emitting diodes (LEDs) have drawn large interest due to many advantages compared to thin film based devices. Markedly improved performances are expected from nanostructured active layers for light emission. Nanowires can act as direct waveguides and favor light extraction without the use of lenses and reflectors. Moreover, the use of wires avoids the presence of grain boundaries and then the emission efficiency should be boosted by the absence of non-radiative recombinations at the joint defects. Electrochemical deposition technique was used for the preparation of ZnO-NWs based light emitters. Nanowires of high structural and optical quality have been epitaxially grown on p-GaN single crystalline films substrates. We have shown that the emission is directional with a wavelength that was tuned and red-shifted toward the visible region by doping with Cu in ZnO NWs.

  6. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  7. Bright photoluminescence from ordered arrays of SiGe nanowires grown on Si(111)

    PubMed Central

    Rowell, N L; Benkouider, A; Ronda, A; Favre, L; Berbezier, I

    2014-01-01

    Summary We report on the optical properties of SiGe nanowires (NWs) grown by molecular beam epitaxy (MBE) in ordered arrays on SiO2/Si(111) substrates. The production method employs Au catalysts with self-limited sizes deposited in SiO2-free sites opened-up in the substrate by focused ion beam patterning for the preferential nucleation and growth of these well-organized NWs. The NWs thus produced have a diameter of 200 nm, a length of 200 nm, and a Ge concentration x = 0.15. Their photoluminescence (PL) spectra were measured at low temperatures (from 6 to 25 K) with excitation at 405 and 458 nm. There are four major features in the energy range of interest (980–1120 meV) at energies of 1040.7, 1082.8, 1092.5, and 1098.5 meV, which are assigned to the NW-transverse optic (TO) Si–Si mode, NW-transverse acoustic (TA), Si–substrate–TO and NW-no-phonon (NP) lines, respectively. From these results the NW TA and TO phonon energies are found to be 15.7 and 57.8 meV, respectively, which agree very well with the values expected for bulk Si1− xGex with x = 0.15, while the measured NW NP energy of 1099 meV would indicate a bulk-like Ge concentration of x = 0.14. Both of these concentrations values, as determined from PL, are in agreement with the target value. The NWs are too large in diameter for a quantum confinement induced energy shift in the band gap. Nevertheless, NW PL is readily observed, indicating that efficient carrier recombination is occurring within the NWs. PMID:25671145

  8. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  9. Synthesis of high crystallinity ZnO nanowire array on polymer substrate and flexible fiber-based sensor.

    PubMed

    Liu, Jinmei; Wu, Weiwei; Bai, Suo; Qin, Yong

    2011-11-01

    Well aligned ZnO nanowire (NW) arrays are grown on Kevlar fiber and Kapton film via the chemical vapor deposition (CVD) method. These NWs have better crystallinity than those synthesized through the low-temperature hydrothermal method. The average length and diameter of ZnO NWs grown on Kevlar fiber can be controlled from 0.5 to 2.76 μm and 30 to 300 nm, respectively. A flexible ultraviolet (UV) sensor based on Kevlar fiber/ZnO NWs hybrid structure is made to detect UV illumination quantificationally.

  10. Zn2GeO4 nanowires as efficient electron injection material for electroluminescent devices.

    PubMed

    Wang, Jiangxin; Yan, Chaoyi; Magdassi, Shlomo; Lee, Pooi See

    2013-08-14

    Pure phase Zn2GeO4 nanowires (NWs) were grown by the chemical vapor transport method on p-GaN: Mg/Al2O3 substrate. The as-grown Zn2GeO4 NWs exhibited n-type characteristic due to native defects and formed a p-n heterojunction with the p-GaN substrate. The unique energy level of Zn2GeO4 NWs promotes electron injection into GaN active region while suppressing hole injection into Zn2GeO4 NWs. The device exhibited an emission centered at 426 nm and a low turn-on voltage around 4 V. Zn2GeO4 NWs are first reported in this paper as promising electron transport and injection material for electroluminescent devices.

  11. Lithium effects on the mechanical and electronic properties of germanium nanowires

    NASA Astrophysics Data System (ADS)

    González-Macías, A.; Salazar, F.; Miranda, A.; Trejo-Baños, A.; Pérez, L. A.; Carvajal, E.; Cruz-Irisson, M.

    2018-04-01

    Semiconductor nanowire arrays promise rapid development of a new generation of lithium (Li) batteries because they can store more Li atoms than conventional crystals due to their large surface areas. During the charge-discharge process, the electrodes experience internal stresses that fatigue the material and limit the useful life of the battery. The theoretical study of electronic and mechanical properties of lithiated nanowire arrays allows the designing of electrode materials that could improve battery performance. In this work, we present a density functional theory study of the electronic band structure, formation energy, binding energy, and Young’s modulus (Y) of hydrogen passivated germanium nanowires (H-GeNWs) grown along the [111] and [001] crystallographic directions with surface and interstitial Li atoms. The results show that the germanium nanowires (GeNWs) with surface Li atoms maintain their semiconducting behavior but their energy gap size decreases when the Li concentration grows. In contrast, the GeNWs can have semiconductor or metallic behavior depending on the concentration of the interstitial Li atoms. On the other hand, Y is an indicator of the structural changes that GeNWs suffer due to the concentration of Li atoms. For surface Li atoms, Y stays almost constant, whereas for interstitial Li atoms, the Y values indicate important structural changes in the GeNWs.

  12. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  13. Ultrasensitive NO2 gas sensors using hybrid heterojunctions of multi-walled carbon nanotubes and on-chip grown SnO2 nanowires

    NASA Astrophysics Data System (ADS)

    Nguyet, Quan Thi Minh; Van Duy, Nguyen; Manh Hung, Chu; Hoa, Nguyen Duc; Van Hieu, Nguyen

    2018-04-01

    Hybrid heterojunction devices are designed for ultrahigh response to NO2 toxic gas. The devices were constructed by assembling multi-walled carbon nanotubes (MWCNTs) on a microelectrode chip bridged bare Pt-electrode and a Pt-electrode with pre-grown SnO2 nanowires (NWs). All heterojunction devices were realized using different types of MWCNTs, which exhibit ultrahigh response to sub-ppm NO2 gas at 50 °C operated in the reverse bias mode. The response to 1 ppm NO2 gas reaches 11300, which is about 100 times higher than that of a back-to-back heterojunction device fabricated from SnO2 NWs and MWCNTs. In addition, the present device exhibits an ultralow detection limit of about 0.68 ppt. The modulation of trap-assisted tunneling current under reverse bias is the main gas-sensing mechanism. This principle device presents a concept for developing gas sensors made of a hybrid between semiconductor metal oxide NWs and CNTs.

  14. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  15. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  16. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires

    PubMed Central

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-01-01

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased. PMID:27556534

  17. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires.

    PubMed

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-08-24

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased.

  18. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  19. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  20. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  1. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  2. In Situ Integration of Ultrathin PtCu Nanowires with Reduced Graphene Oxide Nanosheets for Efficient Electrocatalytic Oxygen Reduction.

    PubMed

    Yan, Xiaoxiao; Chen, Yifan; Deng, Sihui; Yang, Yifan; Huang, Zhenna; Ge, Cunwang; Xu, Lin; Sun, Dongmei; Fu, Gengtao; Tang, Yawen

    2017-11-27

    Ultrathin Pt-based nanowires are considered as promising electrocatalysts owing to their high atomic utilization efficiency and structural robustness. Moreover, integration of Pt-based nanowires with graphene oxide (GO) could further increase the electrocatalytic performance, yet remains challenging to date. Herein, for the first time we demonstrate the in situ synthesis of ultrathin PtCu nanowires grown over reduced GO (PtCu-NWs/rGO) by a one-pot hydrothermal approach with the aid of amine-terminated poly(N-isopropyl acrylamide) (PNIPAM-NH 2 ). The judicious selection of PNIPAM-NH 2 facilitates the in situ nucleation and anisotropic growth of nanowires on the rGO surface and oriented attachment mechanism accounts for the formation of PtCu ultrathin nanowires. Owing to the synergy between PtCu NWs and rGO support, the PtCu-NWs/rGO outperforms the rGO supported PtCu nanoparticles (PtCu-NPs/rGO), PtCu-NWs, and commercial Pt/C toward the oxygen reduction reaction (ORR) with higher activity and better stability, making it a promising cathodic electrocatalyst for both fuel cells and metal-air cells. Moreover, the present synthetic strategy could inspire the future design of other metal alloy nanowires/carbon hybrid catalysts. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  4. Improvement of efficiency in graphene/gallium nitride nanowire on Silicon photoelectrode for overall water splitting

    NASA Astrophysics Data System (ADS)

    Bae, Hyojung; Rho, Hokyun; Min, Jung-Wook; Lee, Yong-Tak; Lee, Sang Hyun; Fujii, Katsushi; Lee, Hyo-Jong; Ha, Jun-Seok

    2017-11-01

    Gallium nitride (GaN) nanowires are one of the most promising photoelectrode materials due to their high stability in acidic and basic electrolytes, and tunable band edge potentials. In this study, GaN nanowire arrays (GaN NWs) were prepared by molecular beam epitaxy (MBE); their large surface area enhanced the solar to hydrogen conversion efficiency. More significantly, graphene was grown by chemical vapor deposition (CVD), which enhanced the electron transfer between NWs for water splitting and protected the GaN NW surface. Structural characterizations of the prepared composite were performed using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The photocurrent density of Gr/GaN NWs exhibited a two-fold increase over pristine GaN NWs and sustained water splitting up to 70 min. These improvements may accelerate possible applications for hydrogen generation with high solar to hydrogen conversion efficiency.

  5. Zn(1-x)MnxTe diluted magnetic semiconductor nanowires grown by molecular beam epitaxy.

    PubMed

    Zaleszczyk, Wojciech; Janik, Elzbieta; Presz, Adam; Dłuzewski, Piotr; Kret, Sławomir; Szuszkiewicz, Wojciech; Morhange, Jean-François; Dynowska, Elzbieta; Kirmse, Holm; Neumann, Wolfgang; Petroutchik, Aleksy; Baczewski, Lech T; Karczewski, Grzegorz; Wojtowicz, Tomasz

    2008-11-01

    It is shown that the growth of II-VI diluted magnetic semiconductor nanowires is possible by the catalytically enhanced molecular beam epitaxy (MBE). Zn(1-x)MnxTe NWs with manganese content up to x=0.60 were produced by this method. X-ray diffraction, Raman spectroscopy, and temperature dependent photoluminescence measurements confirm the incorporation of Mn(2+) ions in the cation substitutional sites of the ZnTe matrix of the NWs.

  6. Silver nanowires-templated metal oxide for broadband Schottky photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patel, Malkeshkumar; Kim, Hong-Sik; Kim, Joondong, E-mail: joonkim@inu.ac.kr

    2016-04-04

    Silver nanowires (AgNWs)-templated transparent metal oxide layer was applied for Si Schottky junction device, which remarked the record fastest photoresponse of 3.4 μs. Self-operating AgNWs-templated Schottky photodetector showed broad wavelength photodetection with high responsivity (42.4 A W{sup −1}) and detectivity (2.75 × 10{sup 15} Jones). AgNWs-templated indium-tin-oxide (ITO) showed band-to-band excitation due to the internal photoemission, resulting in significant carrier collection performances. Functional metal oxide layer was formed by AgNWs-templated from ITO structure. The grown ITO above AgNWs has a cylindrical shape and acts as a thermal protector of AgNWs for high temperature environment without any deformation. We developed thermal stable AgNWs-templated transparent oxidemore » devices and demonstrated the working mechanism of AgNWs-templated Schottky devices. We may propose the high potential of hybrid transparent layer design for various photoelectric applications, including solar cells.« less

  7. Effect of cobalt doping on the mechanical properties of ZnO nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vahtrus, Mikk; Šutka, Andris

    In this work, we investigate the influence of doping on the mechanical properties of ZnO nanowires (NWs) by comparing the mechanical properties of pure and Co-doped ZnO NWs grown in similar conditions and having the same crystallographic orientation [0001]. The mechanical characterization included three-point bending tests made with atomic force microscopy and cantilever beam bending tests performed inside scanning electron microscopy. It was found that the Young's modulus of ZnO NWs containing 5% of Co was approximately a third lower than that of the pure ZnO NWs. Bending strength values were comparable for both materials and in both cases weremore » close to theoretical strength indicating high quality of NWs. Dependence of mechanical properties on NW diameter was found for both doped and undoped ZnO NWs. - Highlights: •Effect of Co doping on the mechanical properties of ZnO nanowires is studied. •Co substitutes Zn atoms in ZnO crystal lattice. •Co addition affects crystal lattice parameters. •Co addition results in significantly decreased Young's modulus of ZnO. •Bending strength for doped and undoped wires is close to the theoretical strength.« less

  8. Synthesis and properties of ultra-long InP nanowires on glass.

    PubMed

    Dhaka, Veer; Pale, Ville; Khayrudinov, Vladislav; Kakko, Joona-Pekko; Haggren, Tuomas; Jiang, Hua; Kauppinen, Esko; Lipsanen, Harri

    2016-12-16

    We report on the synthesis of Au-catalyzed InP nanowires (NWs) on low-cost glass substrates. Ultra-dense and ultra-long (up to ∼250 μm) InP NWs, with an exceptionally high growth rate of ∼25 μm min -1 , were grown directly on glass using metal organic vapor phase epitaxy (MOVPE). Structural properties of InP NWs grown on glass were similar to the ones grown typically on Si substrates showing many structural twin faults but the NWs on glass always exhibited a stronger photoluminescence (PL) intensity at room temperature. The PL measurements of NWs grown on glass reveal two additional prominent impurity related emission peaks at low temperature (10 K). In particular, the strongest unusual emission peak with an activation energy of 23.8 ± 2 meV was observed at 928 nm. Different possibilities including the role of native defects (phosphorus and/or indium vacancies) are discussed but most likely the origin of this PL peak is related to the impurity incorporation from the glass substrate. Furthermore, despite the presence of suspected impurities, the NWs on glass show outstanding light absorption in a wide spectral range (60%-95% for λ = 300-1600 nm). The optical properties and the NW growth mechanism on glass is discussed qualitatively. We attribute the exceptionally high growth rate mostly to the atmospheric pressure growth conditions of our MOVPE reactor and stronger PL intensity on glass due to the impurity doping. Overall, the III-V NWs grown on glass are similar to the ones grown on semiconductor substrates but offer additional advantages such as low-cost and light transparency.

  9. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  10. Growth of hierarchical GaN nanowires for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Raj, Rishabh; Vignesh, Veeramuthu; Ra, Yong-Ho; Nirmala, Rajkumar; Lee, Cheul-Ro; Navamathavan, Rangaswamy

    2017-01-01

    Gallium nitride nanostructures have been receiving considerable attention as building blocks for nanophotonic technologies due to their unique high aspect ratios, promising the realization of photonic and biological nanodevices such as blue light emitting diodes (LEDs), short-wavelength ultraviolet nanolasers, and nanofluidic biochemical sensors. We report on the growth of hierarchical GaN nanowires (NWs) by dynamically adjusting the growth parameters using the pulsed flow metal-organic chemical vapor deposition technique. We carried out two step growth processes to grow hierarchical GaN NWs. In the first step, the GaN NWs were grown at 950°C, and in the second, we suitably decreased the growth temperature to 630°C and 710°C to grow the hierarchical structures. The surface morphology and optical characterization of the grown GaN NWs were studied by field-emission scanning electron microscopy, high-resolution transmission electron microscopy, photoluminescence, and cathodoluminescence measurements. These kinds of hierarchical GaN NWs are promising for allowing flat band quantum structures that are shown to improve the efficiency of LEDs.

  11. Ni3S2 nanowires grown on nickel foam as an efficient bifunctional electrocatalyst for water splitting with greatly practical prospects.

    PubMed

    Zhang, Dawei; Li, Jingwei; Luo, Jiaxian; Xu, Peiman; Wei, Licheng; Zhou, Dan; Xu, Weiming; Yuan, Dingsheng

    2018-06-15

    It is essential to synthesize low-cost, earth-abundant bifunctional electrocatalysts for both the hydrogen evolution reaction (HER) and oxygen evolution reactions (OER) for water electrolysis. Herein, we present a one-step sulfurization method to fabricate Ni 3 S 2 nanowires directly grown on Ni foam (Ni 3 S 2 NWs/Ni) as such an electrocatalyst. This synthetic strategy has several advantages including facile preparation, low cost and can even be expanded to large-scale preparation for practical applications. The as-synthesized Ni 3 S 2 NWs/Ni exhibits a low overpotential of 81 and 317 mV to render a current density of 10 mA cm -2 for the HER and OER, respectively, in 1.0 mol l -1 KOH solution. The Ni 3 S 2 NWs/Ni was integrated to be the cathode and the anode in the alkaline electrolyzer for overall water splitting with a current density of 10 mA cm -2 afforded at a cell voltage of 1.63 V. More importantly, this electrolyzer maintained its electrocatalytic activity even after continual water splitting for 30 h. Owing to its simple synthesis process, the earth-abundant electrocatalyst and high performance, this versatile Ni 3 S 2 NWs/Ni electrode will become a promising electrocatalyst for water splitting.

  12. Ni3S2 nanowires grown on nickel foam as an efficient bifunctional electrocatalyst for water splitting with greatly practical prospects

    NASA Astrophysics Data System (ADS)

    Zhang, Dawei; Li, Jingwei; Luo, Jiaxian; Xu, Peiman; Wei, Licheng; Zhou, Dan; Xu, Weiming; Yuan, Dingsheng

    2018-06-01

    It is essential to synthesize low-cost, earth-abundant bifunctional electrocatalysts for both the hydrogen evolution reaction (HER) and oxygen evolution reactions (OER) for water electrolysis. Herein, we present a one-step sulfurization method to fabricate Ni3S2 nanowires directly grown on Ni foam (Ni3S2 NWs/Ni) as such an electrocatalyst. This synthetic strategy has several advantages including facile preparation, low cost and can even be expanded to large-scale preparation for practical applications. The as-synthesized Ni3S2 NWs/Ni exhibits a low overpotential of 81 and 317 mV to render a current density of 10 mA cm‑2 for the HER and OER, respectively, in 1.0 mol l‑1 KOH solution. The Ni3S2 NWs/Ni was integrated to be the cathode and the anode in the alkaline electrolyzer for overall water splitting with a current density of 10 mA cm‑2 afforded at a cell voltage of 1.63 V. More importantly, this electrolyzer maintained its electrocatalytic activity even after continual water splitting for 30 h. Owing to its simple synthesis process, the earth-abundant electrocatalyst and high performance, this versatile Ni3S2 NWs/Ni electrode will become a promising electrocatalyst for water splitting.

  13. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    NASA Astrophysics Data System (ADS)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  14. Fabrication of silicon nanowires based on-chip micro-supercapacitor

    NASA Astrophysics Data System (ADS)

    Soam, Ankur; Arya, Nitin; Singh, Aniruddh; Dusane, Rajiv

    2017-06-01

    An on-chip micro-supercapacitor (μ-SC) based on Silicon nanowires (SiNWs) has been developed by Hot-wire chemical vapor process. First, finger patterned electrodes of Al were made on a silicon nitride coated Si wafer and SiNWs were then grown selectively on the Al electrodes. μ-SC performance has been tested in an ionic electrolyte and a capacitance of 13 μF/cm2 has been obtained by the μ-SC. The resulted μ-SC can be exploited to store the harvesting energy in micro-electro-mechanical-systems and coupled with battery for peak power leveling. Low temperature growth of SiNWs at 350 °C makes it suitable for prospective flexible electronics applications.

  15. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  16. Platinum Assisted Vapor–Liquid–Solid Growth of Er–Si Nanowires and Their Optical Properties

    PubMed Central

    2010-01-01

    We report the optical activation of erbium coated silicon nanowires (Er–SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor–liquid–solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core–shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er–SiNWs. PMID:20672113

  17. Platinum assisted vapor-liquid-solid growth of er-si nanowires and their optical properties.

    PubMed

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H; Choi, Heon-Jin

    2009-11-14

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  18. Platinum Assisted Vapor-Liquid-Solid Growth of Er-Si Nanowires and Their Optical Properties

    NASA Astrophysics Data System (ADS)

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H.; Choi, Heon-Jin

    2010-02-01

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  19. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  20. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  1. A Novel Bimetallic NiMo Carbide Nanowire Array for Efficient Hydrogen Evolution.

    PubMed

    Guo, Lixia; Wang, Jianying; Teng, Xue; Liu, Yangyang; He, Xiaoming; Chen, Zuofeng

    2018-06-12

    Design and fabrication of noble metal-free hydrogen evolution electrocatalysts with high activity is significant to future renewable energy systems. In this work, self-supported NiMo carbide nanowires have been developed on carbon cloth (Ni3Mo3C@NPC NWs/CC; NPC is N,P-doped carbon) through an electropolymerization-assisted procedure. During the synthesis process, NiMoO4 nanowires were first grown on CC through a hydrothermal reaction which is free of any polymer binder like Nafion. The as-prepared NiMoO4 NWs/CC was then coated by a layer of polypyrole (PPy) by electropolymerization that serves as carbon source for the subsequent conversion to Ni3Mo3C@NPC NWs/CC by carbothermal reduction. The experimental results indicate that the judicious choices of the amount of coated PPy and the pyrolysis temperature are essential for obtaining pure phase and nanowire array structure of Ni3Mo3C@NPC NWs/CC. Benefitting from the pure phase of bimetallic carbide, the unique architecture of nanowire array and the self-supported merit, the optimized Ni3Mo3C@NPC NWs/CC electrode exhibits excellent HER performance in both acidic and alkaline media. It requires low overpotentials of 161 mV and 215 mV to afford a high current density of 100 mA cm-2 toward the HER in acidic and alkaline media, respectively, and the catalytic activity is maintained for at least 48 h, which makes it among the best HER electrocatalysts based on metallic carbides yet reported. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  3. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  4. Crystallographic Mapping of Guided Nanowires by Second Harmonic Generation Polarimetry

    PubMed Central

    2017-01-01

    The growth of horizontal nanowires (NWs) guided by epitaxial and graphoepitaxial relations with the substrate is becoming increasingly attractive owing to the possibility of controlling their position, direction, and crystallographic orientation. In guided NWs, as opposed to the extensively characterized vertically grown NWs, there is an increasing need for understanding the relation between structure and properties, specifically the role of the epitaxial relation with the substrate. Furthermore, the uniformity of crystallographic orientation along guided NWs and over the substrate has yet to be checked. Here we perform highly sensitive second harmonic generation (SHG) polarimetry of polar and nonpolar guided ZnO NWs grown on R-plane and M-plane sapphire. We optically map large areas on the substrate in a nondestructive way and find that the crystallographic orientations of the guided NWs are highly selective and specific for each growth direction with respect to the substrate lattice. In addition, we perform SHG polarimetry along individual NWs and find that the crystallographic orientation is preserved along the NW in both polar and nonpolar NWs. While polar NWs show highly uniform SHG along their axis, nonpolar NWs show a significant change in the local nonlinear susceptibility along a few micrometers, reflected in a reduction of 40% in the ratio of the SHG along different crystal axes. We suggest that these differences may be related to strain accumulation along the nonpolar wires. We find SHG polarimetry to be a powerful tool to study both selectivity and uniformity of crystallographic orientations of guided NWs with different epitaxial relations. PMID:28094977

  5. Crystallographic Mapping of Guided Nanowires by Second Harmonic Generation Polarimetry.

    PubMed

    Neeman, Lior; Ben-Zvi, Regev; Rechav, Katya; Popovitz-Biro, Ronit; Oron, Dan; Joselevich, Ernesto

    2017-02-08

    The growth of horizontal nanowires (NWs) guided by epitaxial and graphoepitaxial relations with the substrate is becoming increasingly attractive owing to the possibility of controlling their position, direction, and crystallographic orientation. In guided NWs, as opposed to the extensively characterized vertically grown NWs, there is an increasing need for understanding the relation between structure and properties, specifically the role of the epitaxial relation with the substrate. Furthermore, the uniformity of crystallographic orientation along guided NWs and over the substrate has yet to be checked. Here we perform highly sensitive second harmonic generation (SHG) polarimetry of polar and nonpolar guided ZnO NWs grown on R-plane and M-plane sapphire. We optically map large areas on the substrate in a nondestructive way and find that the crystallographic orientations of the guided NWs are highly selective and specific for each growth direction with respect to the substrate lattice. In addition, we perform SHG polarimetry along individual NWs and find that the crystallographic orientation is preserved along the NW in both polar and nonpolar NWs. While polar NWs show highly uniform SHG along their axis, nonpolar NWs show a significant change in the local nonlinear susceptibility along a few micrometers, reflected in a reduction of 40% in the ratio of the SHG along different crystal axes. We suggest that these differences may be related to strain accumulation along the nonpolar wires. We find SHG polarimetry to be a powerful tool to study both selectivity and uniformity of crystallographic orientations of guided NWs with different epitaxial relations.

  6. Tuning the morphology of self-assisted GaP nanowires

    NASA Astrophysics Data System (ADS)

    Leshchenko, E. D.; Kuyanov, P.; LaPierre, R. R.; Dubrovskii, V. G.

    2018-06-01

    Patterned arrays of self-assisted GaP nanowires (NWs) were grown on a Si substrate by gas source molecular beam epitaxy using various V/III flux ratios from 1–6, and various pitches from 360–1000 nm. As the V/III flux ratio was increased from 1–6, the NWs showed a change in morphology from outward tapering to straight, and eventually to inward tapering. The morphologies of the self-assisted GaP NWs are well described by a simple kinetic equation for the NW radius versus the position along the NW axis. The most important growth parameter that governs the NW morphology is the V/III flux ratio. Sharpened NWs with a stable radius equal to only 12 nm at a V/III flux of 6 were achieved, demonstrating their suitability for the insertion of quantum dots.

  7. Tuning the morphology of self-assisted GaP nanowires.

    PubMed

    Leshchenko, E D; Kuyanov, P; LaPierre, R R; Dubrovskii, V G

    2018-06-01

    Patterned arrays of self-assisted GaP nanowires (NWs) were grown on a Si substrate by gas source molecular beam epitaxy using various V/III flux ratios from 1-6, and various pitches from 360-1000 nm. As the V/III flux ratio was increased from 1-6, the NWs showed a change in morphology from outward tapering to straight, and eventually to inward tapering. The morphologies of the self-assisted GaP NWs are well described by a simple kinetic equation for the NW radius versus the position along the NW axis. The most important growth parameter that governs the NW morphology is the V/III flux ratio. Sharpened NWs with a stable radius equal to only 12 nm at a V/III flux of 6 were achieved, demonstrating their suitability for the insertion of quantum dots.

  8. In situ passivation of GaAsP nanowires.

    PubMed

    Himwas, C; Collin, S; Rale, P; Chauvin, N; Patriarche, G; Oehler, F; Julien, F H; Travers, L; Harmand, J-C; Tchernycheva, M

    2017-12-08

    We report on the structural and optical properties of GaAsP nanowires (NWs) grown by molecular-beam epitaxy. By adjusting the alloy composition in the NWs, the transition energy was tuned to the optimal value required for tandem III-V/silicon solar cells. We discovered that an unintentional shell was also formed during the GaAsP NW growth. The NW surface was passivated by an in situ deposition of a radial Ga(As)P shell. Different shell compositions and thicknesses were investigated. We demonstrate that the optimal passivation conditions for GaAsP NWs (with a gap of 1.78 eV) are obtained with a 5 nm thick GaP shell. This passivation enhances the luminescence intensity of the NWs by 2 orders of magnitude and yields a longer luminescence decay. The luminescence dynamics changes from single exponential decay with a 4 ps characteristic time in non-passivated NWs to a bi-exponential decay with characteristic times of 85 and 540 ps in NWs with GaP shell passivation.

  9. Temperature-dependent thermal conductivities of 1D semiconducting nanowires via four-point-probe 3-ω method.

    PubMed

    Lee, Seung-Yong; Lee, Mi-Ri; Park, No-Won; Kim, Gil-Sung; Choi, Heon-Jin; Choi, Tae-Youl; Lee, Sang-Kwon

    2013-12-13

    We report on a systematic study of the thermal transport characteristics of both as-grown zinc oxide and gallium nitride nanowires (NWs) via the four-point-probe 3-ω method in the temperature range 130-300 K. Both as-grown NWs were synthesized by a vapor-liquid-solid growth mechanism, and show clear n-type semiconducting behavior without any defects, which enables both the NWs to be promising candidates for thermoelectric materials. To measure the thermal conductivities of both NWs with lower heat loss and measurement errors, the suspended structures were formed by a combination of an e-beam lithography process and a random dispersion method. The measured thermal conductivities of both NWs are greatly reduced compared to their bulk materials due to the enhanced phonon scattering via the size effect and dopants (impurities). Furthermore, we observed that the Umklapp peaks of both NWs are shifted to a higher temperature than those of their bulk counterparts, indicating that phonon-boundary scattering dominates over other phonon scattering due to the size effect.

  10. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  11. Growth strategies to control tapering in Ge nanowires

    NASA Astrophysics Data System (ADS)

    Periwal, P.; Baron, T.; Gentile, P.; Salem, B.; Bassani, F.

    2014-04-01

    We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs). Ge NWs were grown on Si (111) substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  12. Electrostatically Gated Graphene-Zinc Oxide Nanowire Heterojunction.

    PubMed

    You, Xueqiu; Pak, James Jungho

    2015-03-01

    This paper presents an electrostatically gated graphene-ZnO nanowire (NW) heterojunction for the purpose of device applications for the first time. A sub-nanometer-thick energy barrier width was formed between a monatomic graphene layer and electrochemically grown ZnO NWs. Because of the narrow energy barrier, electrons can tunnel through the barrier when a voltage is applied across the junction. A near-ohmic current-voltage (I-V) curve was obtained from the graphene-electrochemically grown ZnO NW heterojunction. This near-ohmic contact changed to asymmetric I-V Schottky contact when the samples were exposed to an oxygen environment. It is believed that the adsorbed oxygen atoms or molecules on the ZnO NW surface capture free electrons of the ZnO NWs, thereby creating a depletion region in the ZnO NWs. Consequentially, the electron concentration in the ZnO NWs is dramatically reduced, and the energy barrier width of the graphene-ZnO NW heterojunction increases greatly. This increased energy barrier width reduces the electron tunneling probability, resulting in a typical Schottky contact. By adjusting the back-gate voltage to control the graphene-ZnO NW Schottky energy barrier height, a large modulation on the junction current (on/off ratio of 10(3)) was achieved.

  13. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  14. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    PubMed

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  15. Catalyst-free growth of ZnO nanowires on ITO seed/glass by thermal evaporation method: Effects of ITO seed layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alsultany, Forat H., E-mail: foratusm@gmail.com; Ahmed, Naser M.; Hassan, Z.

    A seed/catalyst-free growth of ZnO nanowires (ZnO-NWs) on a glass substrate were successfully fabricated using thermal evaporation technique. These nanowires were grown on ITO seed layers of different thicknesses of 25 and 75 nm, which were deposited on glass substrates by radio frequency (RF) magnetron sputtering. Prior to synthesized ITO nanowires, the sputtered ITO seeds were annealed using the continuous wave (CW) CO2 laser at 450 °C in air for 15 min. The effect of seed layer thickness on the morphological, structural, and optical properties of ZnO-NWs were systematically investigated by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM),more » and UV-Vis spectrophotometer.« less

  16. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    NASA Astrophysics Data System (ADS)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  17. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  18. Mechanical Energy Harvesting Performance of Ferroelectric Polymer Nanowires Grown via Template‐Wetting

    PubMed Central

    Whiter, Richard A.; Boughey, Chess; Smith, Michael

    2018-01-01

    Abstract Nanowires of the ferroelectric co‐polymer poly(vinylidenefluoride‐co‐triufloroethylene) [P(VDF‐TrFE)] are fabricated from solution within nanoporous templates of both “hard” anodic aluminium oxide (AAO) and “soft” polyimide (PI) through a facile and scalable template‐wetting process. The confined geometry afforded by the pores of the templates leads directly to highly crystalline P(VDF‐TrFE) nanowires in a macroscopic “poled” state that precludes the need for external electrical poling procedure typically required for piezoelectric performance. The energy‐harvesting performance of nanogenerators based on these template‐grown nanowires are extensively studied and analyzed in combination with finite element modelling. Both experimental results and computational models probing the role of the templates in determining overall nanogenerator performance, including both materials and device efficiencies, are presented. It is found that although P(VDF‐TrFE) nanowires grown in PI templates exhibit a lower material efficiency due to lower crystallinity as compared to nanowires grown in AAO templates, the overall device efficiency was higher for the PI‐template‐based nanogenerator because of the lower stiffness of the PI template as compared to the AAO template. This work provides a clear framework to assess the energy conversion efficiency of template‐grown piezoelectric nanowires and paves the way towards optimization of template‐based nanogenerator devices.

  19. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  20. Ni-silicide growth kinetics in Si and Si/SiO2 core/shell nanowires.

    PubMed

    Ogata, K; Sutter, E; Zhu, X; Hofmann, S

    2011-09-07

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from ∼ 10 to 100 nm is presented. For temperatures between 300 and 440 °C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for (111) orientated SiNWs. In situ TEM silicidation experiments show that NiSi(2) is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.

  1. Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, S.; Sutter, E.; Ogata, K.

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from {approx} 10 to 100 nm is presented. For temperatures between 300 and 440 C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Nimore » flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In situ TEM silicidation experiments show that NiSi{sub 2} is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.« less

  2. Nucleation and growth mechanism of self-catalyzed InAs nanowires on silicon

    NASA Astrophysics Data System (ADS)

    Gomes, U. P.; Ercolani, D.; Zannier, V.; David, J.; Gemmi, M.; Beltram, F.; Sorba, L.

    2016-06-01

    We report on the nucleation and growth mechanism of self-catalyzed InAs nanowires (NWs) grown on Si (111) substrates by chemical beam epitaxy. Careful choices of the growth parameters lead to In-rich conditions such that the InAs NWs nucleate from an In droplet and grow by the vapor-liquid-solid mechanism while sustaining an In droplet at the tip. As the growth progresses, new NWs continue to nucleate on the Si (111) surface causing a spread in the NW size distribution. The observed behavior in NW nucleation and growth is described within a suitable existing theoretical model allowing us to extract relevant growth parameters. We argue that these results provide useful guidelines to rationally control the growth of self-catalyzed InAs NWs for various applications.

  3. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  4. Contact planarization of ensemble nanowires

    NASA Astrophysics Data System (ADS)

    Chia, A. C. E.; LaPierre, R. R.

    2011-06-01

    The viability of four organic polymers (S1808, SC200, SU8 and Cyclotene) as filling materials to achieve planarization of ensemble nanowire arrays is reported. Analysis of the porosity, surface roughness and thermal stability of each filling material was performed. Sonication was used as an effective method to remove the tops of the nanowires (NWs) to achieve complete planarization. Ensemble nanowire devices were fully fabricated and I-V measurements confirmed that Cyclotene effectively planarizes the NWs while still serving the role as an insulating layer between the top and bottom contacts. These processes and analysis can be easily implemented into future characterization and fabrication of ensemble NWs for optoelectronic device applications.

  5. Contact planarization of ensemble nanowires.

    PubMed

    Chia, A C E; LaPierre, R R

    2011-06-17

    The viability of four organic polymers (S1808, SC200, SU8 and Cyclotene) as filling materials to achieve planarization of ensemble nanowire arrays is reported. Analysis of the porosity, surface roughness and thermal stability of each filling material was performed. Sonication was used as an effective method to remove the tops of the nanowires (NWs) to achieve complete planarization. Ensemble nanowire devices were fully fabricated and I-V measurements confirmed that Cyclotene effectively planarizes the NWs while still serving the role as an insulating layer between the top and bottom contacts. These processes and analysis can be easily implemented into future characterization and fabrication of ensemble NWs for optoelectronic device applications.

  6. Nanowire dopant measurement using secondary ion mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chia, A. C. E.; Boulanger, J. P.; Wood, B. A.

    2015-09-21

    A method is presented to improve the quantitative determination of dopant concentration in semiconductor nanowire (NW) arrays using secondary ion mass spectrometry (SIMS). SIMS measurements were used to determine Be dopant concentrations in a Be-doped GaAs thin film and NW arrays of various pitches that were dry-etched from the same film. A comparison of these measurements revealed a factor of 3 to 12 difference, depending on the NW array pitch, between the secondary Be ion yields of the film and the NW arrays, despite being identically doped. This was due to matrix effects and ion beam mixing of Be frommore » the NWs into the surrounding benzocyclobutene that was used to fill the space between the NWs. This indicates the need for etched NWs to be used as doping standards instead of 2D films when evaluating NWs of unknown doping by SIMS. Using the etched NWs as doping standards, NW arrays of various pitches grown by the vapour-liquid-solid mechanism were characterized by SIMS to yield valuable insights into doping mechanisms.« less

  7. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  8. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  9. High-performance polyimide nanocomposites with core-shell AgNWs@BN for electronic packagings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Yongcun; Liu, Feng, E-mail: liufeng@nwpu.edu.cn

    2016-08-22

    The increasing density of electronic devices underscores the need for efficient thermal management. Silver nanowires (AgNWs), as one-dimensional nanostructures, possess a high aspect ratio and intrinsic thermal conductivity. However, high electrical conductivity of AgNWs limits their application for electronic packaging. We synthesized boron nitride-coated silver nanowires (AgNWs@BN) using a flexible and fast method followed by incorporation into synthetic polyimide (PI) for enhanced thermal conductivity and dielectric properties of nanocomposites. The thinner boron nitride intermediate nanolayer on AgNWs not only alleviated the mismatch between AgNWs and PI but also enhanced their interfacial interaction. Hence, the maximum thermal conductivity of an AgNWs@BN/PImore » composite with a filler loading up to 20% volume was increased to 4.33 W/m K, which is an enhancement by nearly 23.3 times compared with that of the PI matrix. The relative permittivity and dielectric loss were about 9.89 and 0.015 at 1 MHz, respectively. Compared with AgNWs@SiO{sub 2}/PI and Ag@BN/PI composites, boron nitride-coated core-shell structures effectively increased the thermal conductivity and reduced the permittivity of nanocomposites. The relative mechanism was studied and discussed. This study enables the identification of appropriate modifier fillers for polymer matrix nanocomposites.« less

  10. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  11. Three-dimensional mesoscale heterostructures of ZnO nanowire arrays epitaxially grown on CuGaO2 nanoplates as individual diodes.

    PubMed

    Forticaux, Audrey; Hacialioglu, Salih; DeGrave, John P; Dziedzic, Rafal; Jin, Song

    2013-09-24

    We report a three-dimensional (3D) mesoscale heterostructure composed of one-dimensional (1D) nanowire (NW) arrays epitaxially grown on two-dimensional (2D) nanoplates. Specifically, three facile syntheses are developed to assemble vertical ZnO NWs on CuGaO2 (CGO) nanoplates in mild aqueous solution conditions. The key to the successful 3D mesoscale integration is the preferential nucleation and heteroepitaxial growth of ZnO NWs on the CGO nanoplates. Using transmission electron microscopy, heteroepitaxy was found between the basal planes of CGO nanoplates and ZnO NWs, which are their respective (001) crystallographic planes, by the observation of a hexagonal Moiré fringes pattern resulting from the slight mismatch between the c planes of ZnO and CGO. Careful analysis shows that this pattern can be described by a hexagonal supercell with a lattice parameter of almost exactly 11 and 12 times the a lattice constants for ZnO and CGO, respectively. The electrical properties of the individual CGO-ZnO mesoscale heterostructures were measured using a current-sensing atomic force microscopy setup to confirm the rectifying p-n diode behavior expected from the band alignment of p-type CGO and n-type ZnO wide band gap semiconductors. These 3D mesoscale heterostructures represent a new motif in nanoassembly for the integration of nanomaterials into functional devices with potential applications in electronics, photonics, and energy.

  12. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  13. Solution synthesis of lead seeded germanium nanowires and branched nanowire networks and their application as Li-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Flynn, Grace; Palaniappan, Kumaranand; Sheehan, Martin; Kennedy, Tadhg; Ryan, Kevin M.

    2017-06-01

    Herein, we report the high density growth of lead seeded germanium nanowires (NWs) and their development into branched nanowire networks suitable for application as lithium ion battery anodes. The synthesis of the NWs from lead seeds occurs simultaneously in both the liquid zone (solution-liquid-solid (SLS) growth) and solvent rich vapor zone (vapor-liquid-solid (VLS) growth) of a high boiling point solvent growth system. The reaction is sufficiently versatile to allow for the growth of NWs directly from either an evaporated catalyst layer or from pre-defined nanoparticle seeds and can be extended to allowing extensive branched nanowire formation in a secondary reaction where these seeds are coated onto existing wires. The NWs are characterized using TEM, SEM, XRD and DF-STEM. Electrochemical analysis was carried out on both the single crystal Pb-Ge NWs and the branched Pb-Ge NWs to assess their suitability for use as anodes in a Li-ion battery. Differential capacity plots show both the germanium wires and the lead seeds cycle lithium and contribute to the specific capacity that is approximately 900 mAh g-1 for the single crystal wires, rising to approximately 1100 mAh g-1 for the branched nanowire networks.

  14. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  15. MOCVD growth of vertically aligned InGaN nanowires

    NASA Astrophysics Data System (ADS)

    Kuo, H. C.; Su Oh, Tae; Ku, P.-C.

    2013-05-01

    In this work, we report the growth of vertically aligned bulk InGaN nanowires (NWs) on r-plane sapphire substrate by metal organic chemical vapor deposition (MOCVD). Through the optimization process of growth conditions, such as growth temperature and pressure, we obtained high density InGaN NWs consisting of one (0001) polar- and two equivalent {1101} semi-polar planes. We have shown the highest InGaN NWs wire density of 8×108 cm-2,with an average diameter of 300 nm and a length of 2 μm. From results of photoluminescence (PL) at 30 K and 300 K, we observed the intense and broad emission peak from InGaN NWs at around 595 nm, and confirmed that the luminescence could be tuned from 580 nm to 660 nm by controlling the indium flow (TMIn) rate. Our results indicate that MOCVD-grown InGaN NWs can be effective absorbers of the blue-green range of solar spectrum and may be one of the good candidates for high efficiency photovoltaic devices targeting at blue-green photons.

  16. A novel nonenzymatic amperometric hydrogen peroxide sensor based on CuO@Cu2O nanowires embedded into poly(vinyl alcohol).

    PubMed

    Chirizzi, Daniela; Guascito, Maria Rachele; Filippo, Emanuela; Tepore, Antonio

    2016-01-15

    A new, very simple, rapid and inexpensive nonenzymatic amperometric sensor for hydrogen peroxide (H2O2) detection is proposed. It is based on the immobilization of cupric/cuprous oxide core shell nanowires (CuO@Cu2O-NWs) in a poly(vinyl alcohol) (PVA) matrix directly drop casted on a glassy carbon electrode surface to make a CuO@Cu2O core shell like NWs PVA embedded (CuO@Cu2O-NWs/PVA) sensor. CuO nanowires with mean diameters of 120-170nm and length in the range 2-5μm were grown by a simple catalyst-free thermal oxidation process based on resistive heating of pure copper wires at ambient conditions. The oxidation process of the copper wire surface led to the formation of a three layered structure: a thick Cu2O bottom layer, a CuO thin intermediate layer and CuO nanowires. CuO nanowires were carefully scratched from Cu2O layer with a sharp knife, dispersed into ethanol and sonicated. Then, the NWs were embedded in PVA matrix. The morphological and spectroscopic characterization of synthesized CuO-NWs and CuO@Cu2O-NWs/PVA were performed by transmission electron microscopy (TEM), selected area diffraction pattern (SAD), scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analysis. Moreover a complete electrochemical characterization of these new CuO@Cu2O-NWs/PVA modified glassy carbon electrodes was performed by Cyclic Voltammetry (CV) and Cronoamperometry (CA) in phosphate buffer (pH=7; I=0.2) to investigate the sensing properties of this material against H2O2. The electrochemical performances of proposed sensors as high sensitivity, fast response, reproducibility and selectivity make them suitable for the quantitative determination of hydrogen peroxide substrate in batch analysis. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    PubMed Central

    Agati, Marta; Amiard, Guillaume; Borgne, Vincent Le; Castrucci, Paola; Dolbec, Richard; De Crescenzi, Maurizio; El Khakani, My Alì

    2017-01-01

    Scanning transmission electron microscopy (STEM) was successfully applied to the analysis of silicon nanowires (SiNWs) that were self-assembled during an inductively coupled plasma (ICP) process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm) were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX) spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS) mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs. PMID:28326234

  18. Enhanced Flexural Strength of Tellurium Nanowires/epoxy Composites with the Reinforcement Effect of Nanowires

    NASA Astrophysics Data System (ADS)

    Balguri, Praveen Kumar; Harris Samuel, D. G.; Aditya, D. B.; Vijaya Bhaskar, S.; Thumu, Udayabhaskararao

    2018-02-01

    Investigating the mechanical properties of polymer nanocomposite materials has been greatly increased in the last decade. In particular, flexural strength plays a major role in resisting bending and shear loads of a composite material. Here, one dimensional (1D) tellurium nanowires (TeNWs) reinforced epoxy composites have been prepared and the flexural properties of resulted TeNWs/epoxy nanocomposites are studied. The diameter and length of the TeNWs used to make TeNWs/epoxy nanocomposites are 21±2.5 nm and 697±87 nm, respectively. Plain and TeNWs/epoxy nanocomposites are characterized by X-ray diffraction (XRD), thermogravimetric analysis (TGA), and differential thermal analysis (DTA). Furthermore, significant enhancement in the flexural strength of TeNWs/epoxy nanocomposite is observed in comparison to plain epoxy composite, i.e. flexural strength is increased by 65% with the addition of very little amount of TeNWs content (0.05 wt.%) to epoxy polymer. Structural details of plain and TeNWs/epoxy at micrometer scale were examined by scanning electron microscopy (SEM). We believe that our results provide a new type of semiconductor nanowires based high strength epoxy polymer nanocomposites.

  19. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  20. Photoluminescence study of as-grown vertically standing wurtzite InP nanowire ensembles.

    PubMed

    Iqbal, Azhar; Beech, Jason P; Anttu, Nicklas; Pistol, Mats-Erik; Samuelson, Lars; Borgström, Magnus T; Yartsev, Arkady

    2013-03-22

    We demonstrate a method that enables the study of photoluminescence of as-grown nanowires on a native substrate by non-destructively suppressing the contribution of substrate photoluminescence. This is achieved by using polarized photo-excitation and photoluminescence and by making an appropriate choice of incident angle of both excitation beam and photoluminescence collection direction. Using TE-polarized excitation at a wavelength of 488 nm at an incident angle of ∼70° we suppress the InP substrate photoluminescence relative to that of the InP nanowires by about 80 times. Consequently, the photoluminescence originating from the nanowires becomes comparable to and easily distinguishable from the substrate photoluminescence. The measured photoluminescence, which peaks at photon energies of ∼1.35 eV and ∼1.49 eV, corresponds to the InP substrate with zinc-blende crystal structure and to the InP nanowires with wurtzite crystal structure, respectively. The photoluminescence quantum yield of the nanowires was found to be ∼20 times lower than that of the InP substrate. The nanowires, grown vertically in a random ensemble, neither exhibit substantial emission polarization selectivity to the axis of the nanowires nor follow excitation polarization preferences observed previously for a single nanowire.

  1. Kinetic effects in InP nanowire growth and stacking fault formation: the role of interface roughening.

    PubMed

    Chiaramonte, Thalita; Tizei, Luiz H G; Ugarte, Daniel; Cotta, Mônica A

    2011-05-11

    InP nanowire polytypic growth was thoroughly studied using electron microscopy techniques as a function of the In precursor flow. The dominant InP crystal structure is wurtzite, and growth parameters determine the density of stacking faults (SF) and zinc blende segments along the nanowires (NWs). Our results show that SF formation in InP NWs cannot be univocally attributed to the droplet supersaturation, if we assume this variable to be proportional to the ex situ In atomic concentration at the catalyst particle. An imbalance between this concentration and the axial growth rate was detected for growth conditions associated with larger SF densities along the NWs, suggesting a different route of precursor incorporation at the triple phase line in that case. The formation of SFs can be further enhanced by varying the In supply during growth and is suppressed for small diameter NWs grown under the same conditions. We attribute the observed behaviors to kinetically driven roughening of the semiconductor/metal interface. The consequent deformation of the triple phase line increases the probability of a phase change at the growth interface in an effort to reach local minima of system interface and surface energy.

  2. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  3. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  4. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  5. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    de Santiago, F.; Trejo, A.; Miranda, A.; Salazar, F.; Carvajal, E.; Pérez, L. A.; Cruz-Irisson, M.

    2018-05-01

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O2. Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A[B-doped] > E A[Al-doped] > E A[Ga-doped] > E A[undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  6. Template-Assisted Hydrothermal Growth of Aligned Zinc Oxide Nanowires for Piezoelectric Energy Harvesting Applications

    PubMed Central

    2016-01-01

    A flexible and robust piezoelectric nanogenerator (NG) based on a polymer-ceramic nanocomposite structure has been successfully fabricated via a cost-effective and scalable template-assisted hydrothermal synthesis method. Vertically aligned arrays of dense and uniform zinc oxide (ZnO) nanowires (NWs) with high aspect ratio (diameter ∼250 nm, length ∼12 μm) were grown within nanoporous polycarbonate (PC) templates. The energy conversion efficiency was found to be ∼4.2%, which is comparable to previously reported values for ZnO NWs. The resulting NG is found to have excellent fatigue performance, being relatively immune to detrimental environmental factors and mechanical failure, as the constituent ZnO NWs remain embedded and protected inside the polymer matrix. PMID:27172933

  7. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  8. Probing Intrawire, Interwire, and Diameter-Dependent Variations in Silicon Nanowire Surface Trap Density with Pump-Probe Microscopy.

    PubMed

    Cating, Emma E M; Pinion, Christopher W; Christesen, Joseph D; Christie, Caleb A; Grumstrup, Erik M; Cahoon, James F; Papanikolas, John M

    2017-10-11

    Surface trap density in silicon nanowires (NWs) plays a key role in the performance of many semiconductor NW-based devices. We use pump-probe microscopy to characterize the surface recombination dynamics on a point-by-point basis in 301 silicon NWs grown using the vapor-liquid-solid (VLS) method. The surface recombination velocity (S), a metric of the surface quality that is directly proportional to trap density, is determined by the relationship S = d/4τ from measurements of the recombination lifetime (τ) and NW diameter (d) at distinct spatial locations in individual NWs. We find that S varies by as much as 2 orders of magnitude between NWs grown at the same time but varies only by a factor of 2 or three within an individual NW. Although we find that, as expected, smaller-diameter NWs exhibit shorter τ, we also find that smaller wires exhibit higher values of S; this indicates that τ is shorter both because of the geometrical effect of smaller d and because of a poorer quality surface. These results highlight the need to consider interwire heterogeneity as well as diameter-dependent surface effects when fabricating NW-based devices.

  9. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  10. Effects of silver impurity on the structural, electrical, and optical properties of ZnO nanowires

    PubMed Central

    2011-01-01

    1, 3, and 5 wt.% silver-doped ZnO (SZO) nanowires (NWs) are grown by hot-walled pulsed laser deposition. After silver-doping process, SZO NWs show some change behaviors, including structural, electrical, and optical properties. In case of structural property, the primary growth plane of SZO NWs is switched from (002) to (103) plane, and the electrical properties of SZO NWs are variously measured to be about 4.26 × 106, 1.34 × 106, and 3.04 × 105 Ω for 1, 3, and 5 SZO NWs, respectively. In other words, the electrical properties of SZO NWs depend on different Ag ratios resulting in controlling the carrier concentration. Finally, the optical properties of SZO NWs are investigated to confirm p-type semiconductor by observing the exciton bound to a neutral acceptor (A0X). Also, Ag presence in ZnO NWs is directly detected by both X-ray photoelectron spectroscopy and energy dispersive spectroscopy. These results imply that Ag doping facilitates the possibility of changing the properties in ZnO NWs by the atomic substitution of Ag with Zn in the lattice. PMID:21985620

  11. Facile preparation of branched hierarchical ZnO nanowire arrays with enhanced photocatalytic activity: A photodegradation kinetic model

    NASA Astrophysics Data System (ADS)

    Ebrahimi, M.; Yousefzadeh, S.; Samadi, M.; Dong, Chunyang; Zhang, Jinlong; Moshfegh, A. Z.

    2018-03-01

    Branched hierarchical zinc oxide nanowires (BH-ZnO NWs) were fabricated successfully by a facile and rapid synthesis using two-step growth process. Initially, ZnO NWs have been prepared by anodizing zinc foil at room temperature and followed by annealing treatment. Then, the BH- ZnO NWs were grown on the ZnO NWs by a solution based method at very low temperature (31 oC). The BH- ZnO NWs with different aspect ratio were obtained by varying reaction time (0.5, 2, 5, 10 h). Photocatalytic activity of the samples was studied under both UV and visible light. The results indicated that the optimized BH-ZnO NWs (5 h) as a photocatalyst exhibited the highest photoactivity with about 3 times higher than the ZnO NWs under UV light. In addition, it was also determined that photodegradation rate constant (k) for the BH- ZnO NWs surface obeys a linear function with the branch length (l) and their correlation was described by using a proposed kinetic model.

  12. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  13. Photoresponse and Field Effect Transport Studies in InAsP-InP Core-Shell Nanowires

    NASA Astrophysics Data System (ADS)

    Lee, Rochelle; Jo, Min Hyeok; Kim, TaeWan; Kim, Hyo Jin; Kim, Doo Gun; Shin, Jae Cheol

    2018-05-01

    A ternary InAsyP1-y alloy is suitable for an application to near-infrared (NIR) optical devices as their direct bandgap energy covers the entire NIR band. A nanowire (NW) system allows an epitaxial integration of InAsyP1-y alloy on any type of substrate since the lattice mismatch strain can be relieved through the NW sidewall. Nevertheless, the very large surface to volume ratio feature of the NWs leads to enormous surface states which are susceptible to surface recombination of free carriers. Here, ternary InAs0.75P0.25 NWs are grown with InP passivation layer (i.e., core-shell structure) to minimize the influence of the surface states, thus increasing their optical and electrical properties. A photoresponse study was achieved through the modeled band structure of the grown NWs. The model and experimental results suggest that 5-nm-thick InP shell efficiently passivates the surface states of the InAs0.75P0.25 NWs. The fabricated core-shell photodetectors and field-effect transistors exhibit improved photoresponse and transport properties compared to its counterpart core-only structure.

  14. Photoresponse and Field Effect Transport Studies in InAsP-InP Core-Shell Nanowires

    NASA Astrophysics Data System (ADS)

    Lee, Rochelle; Jo, Min Hyeok; Kim, TaeWan; Kim, Hyo Jin; Kim, Doo Gun; Shin, Jae Cheol

    2018-03-01

    A ternary InAsyP1-y alloy is suitable for an application to near-infrared (NIR) optical devices as their direct bandgap energy covers the entire NIR band. A nanowire (NW) system allows an epitaxial integration of InAsyP1-y alloy on any type of substrate since the lattice mismatch strain can be relieved through the NW sidewall. Nevertheless, the very large surface to volume ratio feature of the NWs leads to enormous surface states which are susceptible to surface recombination of free carriers. Here, ternary InAs0.75P0.25 NWs are grown with InP passivation layer (i.e., core-shell structure) to minimize the influence of the surface states, thus increasing their optical and electrical properties. A photoresponse study was achieved through the modeled band structure of the grown NWs. The model and experimental results suggest that 5-nm-thick InP shell efficiently passivates the surface states of the InAs0.75P0.25 NWs. The fabricated core-shell photodetectors and field-effect transistors exhibit improved photoresponse and transport properties compared to its counterpart core-only structure.

  15. Vertically grown nanowire crystals of dibenzotetrathienocoronene (DBTTC) on large-area graphene

    DOE PAGES

    Kim, B.; Chiu, C. -Y.; Kang, S. J.; ...

    2016-06-01

    Here we demonstrate controlled growth of vertical organic crystal nanowires on single layer graphene. Using Scanning Electron Microscopy (SEM), high-resolution transition electron microscopy (TEM), and Grazing Incidence X-ray Diffraction (GIXD), we probe the microstructure and morphology of dibenzotetrathienocoronene (DBTTC) nanowires epitaxially grown on graphene. The investigation is performed at both the ensemble and single nanowire level, and as function of growth parameters, providing insight of and control over the formation mechanism. Finally, the size, density and height of the nanowires can be tuned via growth conditions, opening new avenues for tailoring three-dimensional (3-D) nanostructured architectures for organic electronics with improvedmore » functional performance.« less

  16. Structural and optical characterization of ZnO nanowires grown on alumina by thermal evaporation method.

    PubMed

    Mute, A; Peres, M; Peiris, T C; Lourenço, A C; Jensen, Lars R; Monteiro, T

    2010-04-01

    Zinc oxide nanowires have been grown on alumina substrate by thermal evaporation of zinc nanopowder in the presence of oxygen flow. The growth was performed under ambient pressure and without the use of foreign catalyst. Scanning electron microscopy (SEM) observation showed that the as-grown sample consists of bulk ZnO crystal on the substrate surface with nanowires growing from this base. Growth mechanism of the observed morphology is suggested to be governed by the change of zinc vapour supersaturation during the growth process. X-ray diffraction (XRD) measurement was used to identify the crystalline phase of the nanowires. Optical properties of the nanowires were investigated using Raman scattering and photoluminescence (PL). The appearance of dominant, Raman active E2 (high) phonon mode in the Raman spectrum has confirmed the wurtzite hexagonal phase of the nanowires. With above bandgap excitation the low temperature PL recombination is dominated by donor bound exciton luminescence at -3.37 eV with a narrow full width at half maximum. Free exciton emission is also seen at low temperature and can be observed up to room temperature. The optical data indicates that the grown nanowires have high optical quality.

  17. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires.

    PubMed

    de Santiago, F; Trejo, A; Miranda, A; Salazar, F; Carvajal, E; Pérez, L A; Cruz-Irisson, M

    2018-05-18

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O 2 . Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A [B-doped] > E A [Al-doped] > E A [Ga-doped] > E A [undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O 2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  18. Optical properties of single ZnTe nanowires grown at low temperature

    NASA Astrophysics Data System (ADS)

    Artioli, A.; Rueda-Fonseca, P.; Stepanov, P.; Bellet-Amalric, E.; Den Hertog, M.; Bougerol, C.; Genuist, Y.; Donatini, F.; André, R.; Nogues, G.; Kheng, K.; Tatarenko, S.; Ferrand, D.; Cibert, J.

    2013-11-01

    Optically active gold-catalyzed ZnTe nanowires have been grown by molecular beam epitaxy, on a ZnTe(111) buffer layer, at low temperature (350 °C) under Te rich conditions, and at ultra-low density (from 1 to 5 nanowires per μm2). The crystalline structure is zinc blende as identified by transmission electron microscopy. All nanowires are tapered and the majority of them are ⟨111⟩ oriented. Low temperature micro-photoluminescence and cathodoluminescence experiments have been performed on single nanowires. We observe a narrow emission line with a blue-shift of 2 or 3 meV with respect to the exciton energy in bulk ZnTe. This shift is attributed to the strain induced by a 5 nm-thick oxide layer covering the nanowires, and this assumption is supported by a quantitative estimation of the strain in the nanowires.

  19. Enhanced electronic and electrochemical properties of core-shelled V2O5-Pt nanowires

    NASA Astrophysics Data System (ADS)

    Pan, Ko-Ying; Wei, Da-Hua

    2018-01-01

    Platinum nanoparticles (Pt NPs) were decorated on vanadium pentoxide nanowires (V2O5 NWs) to form the core-shelled vanadium-platinum nanowires (Pt@V2O5 NWs) and their electrochemical activities for methanol oxidation were investigated. The synthetic procedure involved the synthesis of abundant vanadium pentoxide nanowires (V2O5 NWs) by a direct vapor-solid growth process (VS method), followed by atomic layer depositions (ALD) of platinum nanoparticles (Pt NPs) onto the V2O5 NWs. After the physical examinations, three designed deposition parameters (50, 100 and 150 cycles) of Pt NPs onto the V2O5 NWs by ALD process were successful. From the measurements of current-voltage (I-V) and cyclic voltammetry (CV) curves respectively, both the conductivity and the ratio of the forward anodic peak current (IF) to the reverse anodic peak current (IR) are enhancing proportionately to the deposition cycles of ALD process, which denotes that coating Pt atomic layers onto V2O5 nanowires indeed improves the catalytic performances than that of pure V2O5 nanowires.

  20. Nonlinear Conductive Behaviour of Silver Nanowires/Silicone Rubber Composites

    NASA Astrophysics Data System (ADS)

    Lu, Pin; Qu, Zhaoming; Wang, Qingguo; Bai, Liyun; Zhao, Shiyang

    2018-01-01

    Silver nanowires with an average length of 10 μm and diameter of about 90 nm have been synthesized by polyol reduction of silver nitrate in the presence of polyvinylpyrrolidone(PVP). Silver nanowires (AgNWs)/silicone rubber (SR) composites have been made by mixing silver nanowires into silicone rubber. The nonlinear response of AgNWs/SR composites under high electric field is investigated. The nonlinear Conductive behavior of composites is considered as a competitive process of several effects. From the perspective of the microstructure of composites, the conductive path is established by the quantum tunnel effect between silver nanowires. The influence factors on the conductivity of composites are discussed and analyzed. The results show that the AgNWs/SR composites with nonlinear conductive properties are of great potential application in electromagnetic protection of electron device and system.

  1. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  2. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  3. Structural and optical properties of InGaN--GaN nanowire heterostructures grown by molecular beam epitaxy

    DOE PAGES

    Limbach, F.; Gotschke, T.; Stoica, T.; ...

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaNmore » to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.« less

  4. Structural and optical properties of InGaN-GaN nanowire heterostructures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Limbach, F.; Gotschke, T.; Stoica, T.; Calarco, R.; Sutter, E.; Ciston, J.; Cusco, R.; Artus, L.; Kremling, S.; Höfling, S.; Worschech, L.; Grützmacher, D.

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaN to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.

  5. Formation mechanisms for the dominant kinks with different angles in InP nanowires.

    PubMed

    Zhang, Minghuan; Wang, Fengyun; Wang, Chao; Wang, Yiqian; Yip, SenPo; Ho, Johnny C

    2014-01-01

    The morphologies and microstructures of kinked InP nanowires (NWs) prepared by solid-source chemical vapor deposition method were examined using scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). Statistical analysis and structural characterization reveal that four different kinds of kinks are dominant in the grown InP NWs with a bending angle of approximately 70°, 90°, 110°, and 170°, respectively. The formation mechanisms of these kinks are discussed. Specifically, the existence of kinks with bending angles of approximately 70° and 110° are mainly attributed to the occurrence of stacking faults and nanotwins in the NWs, which could easily form by the glide of {111} planes, while approximately 90° kinks result from the local amorphorization of InP NWs. Also, approximately 170° kinks are mainly caused by small-angle boundaries, where the insertion of extra atomic planes could make the NWs slightly bent. In addition, multiple kinks with various angles are also observed. Importantly, all these results are beneficial to understand the formation mechanisms of kinks in compound semiconductor NWs, which could guide the design of nanostructured materials, morphologies, microstructures, and/or enhanced mechanical properties.

  6. Formation mechanisms for the dominant kinks with different angles in InP nanowires

    PubMed Central

    2014-01-01

    The morphologies and microstructures of kinked InP nanowires (NWs) prepared by solid-source chemical vapor deposition method were examined using scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). Statistical analysis and structural characterization reveal that four different kinds of kinks are dominant in the grown InP NWs with a bending angle of approximately 70°, 90°, 110°, and 170°, respectively. The formation mechanisms of these kinks are discussed. Specifically, the existence of kinks with bending angles of approximately 70° and 110° are mainly attributed to the occurrence of stacking faults and nanotwins in the NWs, which could easily form by the glide of {111} planes, while approximately 90° kinks result from the local amorphorization of InP NWs. Also, approximately 170° kinks are mainly caused by small-angle boundaries, where the insertion of extra atomic planes could make the NWs slightly bent. In addition, multiple kinks with various angles are also observed. Importantly, all these results are beneficial to understand the formation mechanisms of kinks in compound semiconductor NWs, which could guide the design of nanostructured materials, morphologies, microstructures, and/or enhanced mechanical properties. PMID:24910572

  7. CdTe quantum-dot-modified ZnO nanowire heterostructure

    NASA Astrophysics Data System (ADS)

    Shahi, Kanchana; Singh, R. S.; Singh, Ajaya Kumar; Aleksandrova, Mariya; Khenata, Rabah

    2018-03-01

    The effect of CdTe quantum-dot (QD) decoration on the photoluminescence (PL) behaviour of ZnO nanowire (NW) array is presented in the present work. Highly crystalline and vertically 40-50 nm diameter range and 1 µm in length aligned ZnO NWs are synthesized using low-cost method. The crystallinity and morphology of the NWs are studied by scanning electron microscopy and X-ray powder diffraction methods.Optical properties of the nanowires are studied using photo-response and PL spectroscopy. CdTe QDs are successfully synthesized on ZnO nanowire surface by dip-coating method. ZnO NWs are sensitized with CdTe QDs characterized by transmission electron microscopy, energy-dispersive X-ray spectroscopy, and PL spectroscopy. The highly quenched PL intensity indicates the charge transfer at interface between CdTe QDs and ZnO NWs and is due to the formation of type-II heterostructure between QDs and NWs. Photo-response behaviour of heterostructure of the film is also been incorporated in the present work.

  8. Si NW network by Ag nanoparticle assisted etching and TiO2/Si NWs as photodetector

    NASA Astrophysics Data System (ADS)

    Bhowmik, Kishan; Mondal, Aniruddha

    2015-03-01

    Glancing angle deposited silver (Ag) nanoparticles (NPs) were employed to fabricate the silicon (Si) nanowire (NW) network on p-type Si substrate. The Si NWs were characterized by X-ray diffraction, which shows the (311) oriented single crystalline nature. The FEG-SEM images show that the nanowire diameters are in the order of 60-180 nm. The photoluminescence emission at 525 nm was recognized from the Si NWs. The Ag-TiO2 contacts exhibit Schottky behavior and higher photoconduction was observed for TiO2-Si NW detector than that of TiO2 Thin film under illumination up to 2.5 V applied potential. A threefold enhanced photodetection for the Silicon nanowire device was observed compared to the TiO2 thin film device, under applied voltages of 0.4-1.5 V. [Figure not available: see fulltext.

  9. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  10. Nanodevices based on silicon nanowires.

    PubMed

    Wan, Yuting; Sha, Jian; Chen, Bo; Fang, Yanjun; Wang, Zongli; Wang, Yewu

    2009-01-01

    Silicon nanowires (SiNWs) have been demonstrated as one of the promising building blocks for future nanodevices such as field effect transistors, solar cells, sensors and lithium battery; much progress has been made in this field during last decades. In this review paper, the synthesis and physical properties of SiNWs are introduced briefly. Significant advances of SiNWs-related nanodevices reported in recent literature and registered patents are reviewed. The latest development and prospects of SiNWs-related nanodevices are also discussed.

  11. Disordered array of Au covered Silicon nanowires for SERS biosensing combined with electrochemical detection

    NASA Astrophysics Data System (ADS)

    Convertino, Annalisa; Mussi, Valentina; Maiolo, Luca

    2016-04-01

    We report on highly disordered array of Au coated silicon nanowires (Au/SiNWs) as surface enhanced Raman scattering (SERS) probe combined with electrochemical detection for biosensing applications. SiNWs, few microns long, were grown by plasma enhanced chemical vapor deposition on common microscope slides and covered by Au evaporated film, 150 nm thick. The capability of the resulting composite structure to act as SERS biosensor was studied via the biotin-avidin interaction: the Raman signal obtained from this structure allowed to follow each surface modification step as well as to detect efficiently avidin molecules over a broad range of concentrations from micromolar down to the nanomolar values. The metallic coverage wrapping SiNWs was exploited also to obtain a dual detection of the same bioanalyte by electrochemical impedance spectroscopy (EIS). Indeed, the SERS signal and impedance modifications induced by the biomolecule perturbations on the metalized surface of the NWs were monitored on the very same three-electrode device with the Au/SiNWs acting as both working electrode and SERS probe.

  12. Atomistic investigations on the mechanical properties and fracture mechanisms of indium phosphide nanowires.

    PubMed

    Pial, Turash Haque; Rakib, Tawfiqur; Mojumder, Satyajit; Motalab, Mohammad; Akanda, M A Salam

    2018-03-28

    The mechanical properties of indium phosphide (InP) nanowires are an emerging issue due to the promising applications of these nanowires in nanoelectromechanical and microelectromechanical devices. In this study, molecular dynamics simulations of zincblende (ZB) and wurtzite (WZ) crystal structured InP nanowires (NWs) are presented under uniaxial tension at varying sizes and temperatures. It is observed that the tensile strengths of both types of NWs show inverse relationships with temperature, but are independent of the size of the nanowires. Moreover, applied load causes brittle fracture by nucleating cleavage on ZB and WZ NWs. When the tensile load is applied along the [001] direction, the direction of the cleavage planes of ZB NWs changes with temperature. It is found that the {111} planes are the cleavage planes at lower temperatures; on the other hand, the {110} cleavage planes are activated at elevated temperatures. In the case of WZ NWs, fracture of the material is observed to occur by cleaving along the (0001) plane irrespective of temperature when the tensile load is applied along the [0001] direction. Furthermore, the WZ NWs of InP show considerably higher strength than their ZB counterparts. Finally, the impact of strain rate on the failure behavior of InP NWs is also studied, and higher fracture strengths and strains at higher strain rates are found. With increasing strain rate, the number of cleavages also increases in the NWs. This paper also provides in-depth understanding of the failure behavior of InP NWs, which will aid the design of efficient InP NWs-based devices.

  13. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  14. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  15. A reliable method to grow vertically-aligned silicon nanowires by a novel ramp-cooling process

    NASA Astrophysics Data System (ADS)

    Ho, Tzuen-Wei; Hong, Franklin Chau-Nan

    2012-08-01

    We have grown silicon nanowires (SiNWs) on Si (1 1 1) substrates by gold-catalyzed vapor-liquid-solid (VLS) process using tetrachlorosilane (SiCl4) in a hot-wall chemical vapor deposition reactor. Even under the optimized conditions including H2 annealing to reduce the surface native oxide, epitaxial SiNWs of 150-200 nm in diameter often grew along all four <1 1 1> family directions with one direction vertical and three others inclined to the surface. Therefore, the growth of high degree ordered SiNW arrays along [1 1 1] only was attempted on Au-coated Si (1 1 1) by a ramp-cooling process utilizing the liquid phase epitaxy (LPE) mechanism. The Au-coated Si substrate was first annealed in H2 at 650 °C to form Au-Si alloy nanoparticles, and then ramp-cooled at a controlled rate to precipitate epitaxial Si seeds on the substrate based on LPE mechanism. The substrate was further heated in SiCl4/H2 to 850 °C for the VLS growths of SiNWs on the Si seeds. Thus, almost 100% vertically-aligned SiNWs along [1 1 1] only could be reproducibly grown on Si (1 1 1), without using a template or patterning the metal catalyst. The high-density vertically-aligned SiNWs have good potentials for solar cells and nano-devices.

  16. Reversal modes in FeCoNi nanowire arrays: Correlation between magnetostatic interactions and nanowires length

    NASA Astrophysics Data System (ADS)

    Samanifar, S.; Almasi Kashi, M.; Ramazani, A.; Alikhani, M.

    2015-03-01

    FeCoNi nanowire arrays (175 nm in diameter and lengths ranging from 5 to 40 μm) were fabricated into nanopores of hard-anodized aluminum oxide templates using pulsed ac electrodeposition technique. Increasing the length had no considerable effect on the composition and crystalline characteristics of Fe47Co38Ni15 nanowires (NWs). By eliminating the dendrites formed at the bottom of the pores, we report a careful investigation on the effect of magnetostatic interactions on magnetic properties and the effect of nanowire length on reversal modes. Hysteresis loop measurements indicated that increasing the length decreases coercivity and squareness values. On the other hand, first-order reversal curve measurements show a linear correlation between the magnetostatic interactions and length of NWs. Comparing reversal modes of the NWs both experimentally and theoretically using angular dependence of coercivity, we find that when L≤22 μm, a vortex domain wall mode is only occurred. When L>22 μm, a non-monotonic behavior indicates a transition from the vortex to transverse domain wall propagation. As a result, a critical length was found above which the transition between the reversal modes is occurred due the enhanced interactions. The transition angle also shifts toward a lower angle as the length increases. Moreover, with increasing length from 22 to 31 μm, the single domain structure of NWs changes to a pseudo single domain state. A multidomain-like behavior is also found for the longest NWs length.

  17. Enhanced photoelectric performance in self-powered UV detectors based on ZnO nanowires with plasmonic Au nanoparticles scattered electrolyte

    NASA Astrophysics Data System (ADS)

    Zeng, Yiyu; Ye, Zhizhen; Lu, Bin; Dai, Wei; Pan, Xinhua

    2016-04-01

    Vertically aligned ZnO nanowires (NWs) were grown on a fluorine-doped tin-oxide-coated glass substrate by a hydrothermal method. Au nanoparticles were well dispersed in the mixed solution of ethanol and deionized water. A simple self-powered ultraviolet detector based on solid-liquid heterojunction was fabricated, utilizing ZnO NWs as active photoanode and such prepared mixed solution as electrolyte. The introduction of Au nanoparticles results in considerable improvements in the responsivity and sensitivity of the device compared with the one using deionized water as electrolyte, which is attributed to the enhanced light harvesting by Au nanoparticles.

  18. Strong visible and near infrared photoluminescence from ZnO nanorods/nanowires grown on single layer graphene studied using sub-band gap excitation

    NASA Astrophysics Data System (ADS)

    Biroju, Ravi K.; Giri, P. K.

    2017-07-01

    Fabrication and optoelectronic applications of graphene based hybrid 2D-1D semiconductor nanostructures have gained tremendous research interest in recent times. Herein, we present a systematic study on the origin and evolution of strong broad band visible and near infrared (NIR) photoluminescence (PL) from vertical ZnO nanorods (NRs) and nanowires (NWs) grown on single layer graphene using both above band gap and sub-band gap optical excitations. High resolution field emission scanning electron microscopy and X-ray diffraction studies are carried out to reveal the morphology and crystalline quality of as-grown and annealed ZnO NRs/NWs on graphene. Room temperature PL studies reveal that besides the UV and visible PL bands, a new near-infrared (NIR) PL emission band appears in the range between 815 nm and 886 nm (1.40-1.52 eV). X-ray photoelectron spectroscopy studies revealed excess oxygen content and unreacted metallic Zn in the as-grown ZnO nanostructures, owing to the low temperature growth by a physical vapor deposition method. Post-growth annealing at 700 °C in the Ar gas ambient results in the enhanced intensity of both visible and NIR PL bands. On the other hand, subsequent high vacuum annealing at 700 °C results in a drastic reduction in the visible PL band and complete suppression of the NIR PL band. PL decay dynamics of green emission in Ar annealed samples show tri-exponential decay on the nanosecond timescale including a very slow decay component (time constant ˜604.5 ns). Based on these results, the NIR PL band comprising two peaks centered at ˜820 nm and ˜860 nm is tentatively assigned to neutral and negatively charged oxygen interstitial (Oi) defects in ZnO, detected experimentally for the first time. The evidence for oxygen induced trap states on the ZnO NW surface is further substantiated by the slow photocurrent response of graphene-ZnO NRs/NWs. These results are important for tunable light emission, photodetection, and other cutting edge

  19. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    NASA Astrophysics Data System (ADS)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  20. Correlation between surface reconstruction and polytypism in InAs nanowire selective area epitaxy

    NASA Astrophysics Data System (ADS)

    Liu, Ziyang; Merckling, Clement; Rooyackers, Rita; Richard, Olivier; Bender, Hugo; Mols, Yves; Vila, María; Rubio-Zuazo, Juan; Castro, Germán R.; Collaert, Nadine; Thean, Aaron; Vandervorst, Wilfried; Heyns, Marc

    2017-12-01

    The mechanism of widely observed intermixing of wurtzite and zinc-blende crystal structures in InAs nanowire (NW) grown by selective area epitaxy (SAE) is studied. We demonstrate that the crystal structure in InAs NW grown by SAE can be controlled using basic growth parameters, and wurtzitelike InAs NWs are achieved. We link the polytypic InAs NWs SAE to the reconstruction of the growth front (111)B surface. Surface reconstruction study of InAs (111) substrate and the following homoepitaxy experiment suggest that (111) planar defect nucleation is related to the (1 × 1) reconstruction of InAs (111)B surface. In order to reveal it more clearly, a model is presented to correlate growth temperature and arsenic partial pressure with InAs NW crystal structure. This model considers the transition between (1 × 1) and (2 × 2) surface reconstructions in the frame of adatom atoms adsorption/desorption, and the polytypism is thus linked to reconstruction quantitatively. The experimental data fit well with the model, which highly suggests that surface reconstruction plays an important role in the polytypism phenomenon in InAs NWs SAE.

  1. Design of Highly Selective Gas Sensors via Physicochemical Modification of Oxide Nanowires: Overview

    PubMed Central

    Woo, Hyung-Sik; Na, Chan Woong; Lee, Jong-Heun

    2016-01-01

    Strategies for the enhancement of gas sensing properties, and specifically the improvement of gas selectivity of metal oxide semiconductor nanowire (NW) networks grown by chemical vapor deposition and thermal evaporation, are reviewed. Highly crystalline NWs grown by vapor-phase routes have various advantages, and thus have been applied in the field of gas sensors over the years. In particular, n-type NWs such as SnO2, ZnO, and In2O3 are widely studied because of their simple synthetic preparation and high gas response. However, due to their usually high responses to C2H5OH and NO2, the selective detection of other harmful and toxic gases using oxide NWs remains a challenging issue. Various strategies—such as doping/loading of noble metals, decorating/doping of catalytic metal oxides, and the formation of core–shell structures—have been explored to enhance gas selectivity and sensitivity, and are discussed herein. Additional methods such as the transformation of n-type into p-type NWs and the formation of catalyst-doped hierarchical structures by branch growth have also proven to be promising for the enhancement of gas selectivity. Accordingly, the physicochemical modification of oxide NWs via various methods provides new strategies to achieve the selective detection of a specific gas, and after further investigations, this approach could pave a new way in the field of NW-based semiconductor-type gas sensors. PMID:27657076

  2. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  3. Piezo-generator integrating a vertical array of GaN nanowires.

    PubMed

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  4. Effect of Two-Step Metal Organic Chemical Vapor Deposition Growth on Quality, Diameter and Density of InAs Nanowires on Si (111) Substrate

    NASA Astrophysics Data System (ADS)

    Yu, Hung Wei; Anandan, Deepak; Hsu, Ching Yi; Hung, Yu Chih; Su, Chun Jung; Wu, Chien Ting; Kakkerla, Ramesh Kumar; Ha, Minh Thien Huu; Huynh, Sa Hoang; Tu, Yung Yi; Chang, Edward Yi

    2018-02-01

    High-density (˜ 80/um2) vertical InAs nanowires (NWs) with small diameters (˜ 28 nm) were grown on bare Si (111) substrates by means of two-step metal organic chemical vapor deposition. There are two critical factors in the growth process: (1) a critical nucleation temperature for a specific In molar fraction (approximately 1.69 × 10-5 atm) is the key factor to reduce the size of the nuclei and hence the diameter of the InAs NWs, and (2) a critical V/III ratio during the 2nd step growth will greatly increase the density of the InAs NWs (from 45 μm-2 to 80 μm-2) and at the same time keep the diameter small. The high-resolution transmission electron microscopy and selected area diffraction patterns of InAs NWs grown on Si exhibit a Wurtzite structure and no stacking faults. The observed longitudinal optic peaks in the Raman spectra were explained in terms of the small surface charge region width due to the small NW diameter and the increase of the free electron concentration, which was consistent with the TCAD program simulation of small diameter (< 40 nm) InAs NWs.

  5. Effects of Defects on the Mechanical Properties of Kinked Silicon Nanowires.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; He, Yunbo; Wong, Ching-Ping

    2017-12-01

    Kinked silicon nanowires (KSiNWs) have many special properties that make them attractive for a number of applications. The mechanical properties of KSiNWs play important roles in the performance of sensors. In this work, the effects of defects on the mechanical properties of KSiNWs are studied using molecular dynamics simulations and indirectly validated by experiments. It is found that kinks are weak points in the nanowire (NW) because of inharmonious deformation, resulting in a smaller elastic modulus than that of straight NWs. In addition, surface defects have more significant effects on the mechanical properties of KSiNWs than internal defects. The effects of the width or the diameter of the defects are larger than those of the length of the defects. Overall, the elastic modulus of KSiNWs is not sensitive to defects; therefore, KSiNWs have a great potential as strain or stress sensors in special applications.

  6. Fabrication of gallium nitride nanowires by metal-assisted photochemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Miao-Rong; Jiang, Qing-Mei; Zhang, Shao-Hui; Wang, Zu-Gang; Hou, Fei; Pan, Ge-Bo

    2017-11-01

    Gallium nitride (GaN) nanowires (NWs) were fabricated by metal-assisted photochemical etching (MaPEtch). Gold nanoparticles (AuNPs) as metal catalyst were electrodeposited on the GaN substrate. SEM and HRTEM images show the surface of GaN NWs is smooth and clean without any impurity. SAED and FFT patterns demonstrate GaN NWs have single crystal structure, and the crystallographic orientation of GaN NWs is (0002) face. On the basis of the assumption of localized galvanic cells, combined with the energy levels and electrochemical potentials of reactants in this etching system, the generation, transfer and consumption of electron-hole pairs reveal the whole MaPEtch reaction process. Such easily fabricated GaN NWs have great potential for the assembly of GaN-based single-nanowire nanodevices.

  7. Single Schottky junction FETs based on Si:P nanowires with axially graded doping

    NASA Astrophysics Data System (ADS)

    Barreda, Jorge; Keiper, Timothy; Zhang, Mei; Xiong, Peng

    2015-03-01

    Si nanowires (NWs) with a systematic axial increase in phosphorus doping have been synthesized via a vapor-liquid-solid method. Silane and phosphine precursor gases are utilized for the growth and doping, respectively. The phosphorous doping profile is controlled by the flow ratio of the precursor gases. After the as-grown product is ultrasonically agitated into a solution, the Si NWs are dispersed on a SiO2 substrate with a highly doped Si back gate. Individual NWs are identified for the fabrication of field-effect transistors (FETs) with multiple Cr/Ag contacts along the NW. Two-probe and four-probe measurements are taken systematically under vacuum conditions at room temperature and the contribution from each contact and each NW section between adjacent contacts is determined. The graded doping level, produced by a systematic reduction in dopant density along the length of the NWs, is manifested in the regular increases in the channel and contact resistances. Our Si NWs facilitate the fabrication of asymmetric FETs with one ohmic and one Schottky contact. A significant increase in gate modulation is obtained due to the single Schottky-barrier contact. Characterization details and the applicability for sensing purposes will be discussed.

  8. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    PubMed

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  9. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  10. Three-dimensional cross-linked carbon network wrapped with ordered polyaniline nanowires for high-performance pseudo-supercapacitors

    NASA Astrophysics Data System (ADS)

    Hu, Huan; Liu, Shuwu; Hanif, Muddasir; Chen, Shuiliang; Hou, Haoqing

    2014-12-01

    The polyaniline (PANI)-based pseudo-supercapacitor has been extensively studied due to its good conductivity, ease of synthesis, low-cost monomer, tunable properties and remarkable specific capacitance. In this work, a three-dimensional cross-linked carbon network (3D-CCN) was used as a contact-resistance-free substrate for PANI-based pseudo-supercapacitors. The ordered PANI nanowires (PaNWs) were grown on the 3D-CCN to form PaNWs/3D-CCN composites by in-situ polymerization. The PaNWs/3D-CCN composites exhibited a specific capacitance (Cs) of 1191.8 F g-1 at a current density of 0.5 A g-1 and a superior rate capability with 66.4% capacitance retention at 100.0 A g-1. The high specific capacitance is attributed to the thin PaNW coating and the spaced PANI nanowire array, which ensure a higher utilization of PANI due to the ease of diffusion of protons through/on the PANI nanowires. In addition, the unique 3D-CCN was used as a high-conductivity platform (or skeleton) with no contact resistance for fast electron transfer and facile charge transport within the composites. Therefore, the binder-free composites can process rapid gains or losses of electrons and ions, even at a high current density. As a result, the specific capacitance and rate capability of our composites are remarkably higher than those of other PANI composites.

  11. Effect of Ag/Al co-doping method on optically p-type ZnO nanowires synthesized by hot-walled pulsed laser deposition

    PubMed Central

    2012-01-01

    Silver and aluminum-co-doped zinc oxide (SAZO) nanowires (NWs) of 1, 3, and 5 at.% were grown on sapphire substrates. Low-temperature photoluminescence (PL) was studied experimentally to investigate the p-type behavior observed by the exciton bound to a neutral acceptor (A0X). The A0X was not observed in the 1 at.% SAZO NWs by low-temperature PL because 1 at.% SAZO NWs do not have a Ag-O chemical bonding as confirmed by XPS measurement. The activation energies (Ea) of the A0X were calculated to be about 18.14 and 19.77 meV for 3 and 5 at.% SAZO NWs, respectively, which are lower than the activation energy of single Ag-doped NW which is about 25 meV. These results indicate that Ag/Al co-doping method is a good candidate to make optically p-type ZnO NWs. PMID:22647319

  12. Growth of large-scale nanotwinned Cu nanowire arrays from anodic aluminum oxide membrane by electrochemical deposition process: controllable nanotwin density and growth orientation with enhanced electrical endurance performance

    NASA Astrophysics Data System (ADS)

    Chan, Tsung-Cheng; Lin, Yen-Miao; Tsai, Hung-Wei; Wang, Zhiming M.; Liao, Chien-Neng; Chueh, Yu-Lun

    2014-06-01

    Densely nanotwinned Cu nanowire (NW) arrays with an identical diameter of ~55 nm were fabricated by pulse electrochemical deposition at low temperature using anodic aluminum oxide as a template. Different growth orientations of nanotwinned Cu nanowire arrays were investigated. The endurance of the electrical current density before breakdown of the nanotwinned Cu NWs can reach up to 2.4 × 108 A cm-2. The formation of highly dense nanotwins is attributed to relaxation of coalescence induced stress and twin fault stacking when Cu NWs grow by two-dimensional kinetics. A mechanism based on the twinning structure effect on the electromigration was proposed to explain the improved electrical endurance of Cu. The result demonstrates that the formation of nanotwins into Cu NWs can effectively suppress the void growth, leading to extended life time for use in electronic devices.Densely nanotwinned Cu nanowire (NW) arrays with an identical diameter of ~55 nm were fabricated by pulse electrochemical deposition at low temperature using anodic aluminum oxide as a template. Different growth orientations of nanotwinned Cu nanowire arrays were investigated. The endurance of the electrical current density before breakdown of the nanotwinned Cu NWs can reach up to 2.4 × 108 A cm-2. The formation of highly dense nanotwins is attributed to relaxation of coalescence induced stress and twin fault stacking when Cu NWs grow by two-dimensional kinetics. A mechanism based on the twinning structure effect on the electromigration was proposed to explain the improved electrical endurance of Cu. The result demonstrates that the formation of nanotwins into Cu NWs can effectively suppress the void growth, leading to extended life time for use in electronic devices. Electronic supplementary information (ESI) available: X-ray diffraction spectra of Cu NWs grown by electrochemical deposition with a current density of 1.5 A cm-2 at -1 °C and room temperature; bright-field TEM images of Cu NWs

  13. Directed growth of horizontally aligned gallium nitride nanowires for nanoelectromechanical resonator arrays.

    PubMed

    Henry, Tania; Kim, Kyungkon; Ren, Zaiyuan; Yerino, Christopher; Han, Jung; Tang, Hong X

    2007-11-01

    We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.

  14. Native defect-assisted enhanced response to CH4 near room temperature by Al0.07Ga0.93N nanowires.

    PubMed

    Parida, Santanu; Das, A; Prasad, Arun K; Ghatak, Jay; Dhara, Sandip

    2018-06-26

    Gas sensors at low operating temperature with high sensitivity require group III nitrides owing to their high chemical and thermal stabilities. For the first time, Al0.07Ga0.93N nanowires (NWs) have been utilized in CH4 sensing, and it has been demonstrated that they exhibit an improved response compared to GaN NWs at the low operating temperature of 50 °C. Al0.07Ga0.93N NWs have been synthesized via the ion beam mixing process using inert gas ion irradiation on the bilayer of Al/GaN NWs. The sensing mechanism is explained with the help of native defects present in the system. The number of shallow acceptors created by Ga vacancies (VGa) is found to be higher in Al0.07Ga0.93N NWs than in as-grown GaN NWs. The role of the O antisite defect (ON) for the formation of shallow VGa is inferred from photoluminescence spectroscopic analysis. These native defects strongly influence the gas sensing behaviour, which results in enhanced and low-temperature CH4 sensing.

  15. Current–Voltage Characterization of Individual As-Grown Nanowires Using a Scanning Tunneling Microscope

    PubMed Central

    2013-01-01

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current–voltage properties. We report accurate on-top imaging and I–V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I–V properties with a very small spread in measured values compared to standard techniques. PMID:24059470

  16. Current-voltage characterization of individual as-grown nanowires using a scanning tunneling microscope.

    PubMed

    Timm, Rainer; Persson, Olof; Engberg, David L J; Fian, Alexander; Webb, James L; Wallentin, Jesper; Jönsson, Andreas; Borgström, Magnus T; Samuelson, Lars; Mikkelsen, Anders

    2013-11-13

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current-voltage properties. We report accurate on-top imaging and I-V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I-V properties with a very small spread in measured values compared to standard techniques.

  17. Water- and humidity-enhanced UV detector by using p-type La-doped ZnO nanowires on flexible polyimide substrate.

    PubMed

    Hsu, Cheng-Liang; Li, Hsieh-Heng; Hsueh, Ting-Jen

    2013-11-13

    High-density La-doped ZnO nanowires (NWs) were grown hydrothermally on flexible polyimide substrate. The length and diameter of the NWs were around 860 nm and 80-160 nm, respectively. All XRD peaks of the La-doped sample shift to a larger angle. The strong PL peak of the La-doped sample is 380 nm, which is close to the 3.3 eV ZnO bandgap. That PL dominated indicates that the La-doped sample has a great amount of oxygen vacancies. The lattice constants ~0.514 nm of the ZnO:La NW were smaller when measured by HR-TEM. The EDX spectrum determined that the La-doped sample contains approximately 1.27 at % La. The La-doped sample was found to be p-type by Hall Effect measurement. The dark current of the p-ZnO:La NWs decreased with increased relative humidity (RH), while the photocurrent of the p-ZnO:La nanowires increased with increased RH. The higher RH environment was improved that UV response performance. Based on the highest 98% RH, the photocurrent/dark current ratio was around 47.73. The UV response of water drops on the p-ZnO:La NWs was around 2 orders compared to 40% RH. In a water environment, the photocurrent/dark current ratio of p-ZnO:La NWs was 212.1, which is the maximum UV response.

  18. Confocal Raman studies in determining crystalline nature of PECVD grown Si nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Nafis; Bhargav, P. Balaji; Ramasamy, P.

    2015-06-24

    Silicon nanowires of diameter ∼200 nm and length of 2-4 µm are grown in the plasma enhanced chemical vapour deposition technique using nanoclustered Au catalyst assisted vapour-liquid-solid process. The crystallinity in the as-grown and annealed samples is studied using confocal Raman spectroscopic studies. Amorphous phase is formed in the as-grown samples. Structural studies using high resolution transmission electron microscopy confirm the polycrystalline nature in the annealed sample.

  19. Hard template synthesis of metal nanowires

    NASA Astrophysics Data System (ADS)

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-11-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  20. Hard template synthesis of metal nanowires.

    PubMed

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  1. Synthesis and electrical characterization of intrinsic and in situ doped Si nanowires using a novel precursor

    PubMed Central

    Molnar, Wolfgang; Wojcik, Tomasz; Pongratz, Peter; Auner, Norbert; Bauch, Christian; Bertagnolli, Emmerich

    2012-01-01

    Summary Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes SinCl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation. PMID:23019552

  2. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  3. Classical continuum theory limits to determine the size-dependency of mechanical properties of GaN NWs

    NASA Astrophysics Data System (ADS)

    Zamani Kouhpanji, Mohammad Reza; Behzadirad, Mahmoud; Busani, Tito

    2017-12-01

    We used the stable strain gradient theory including acceleration gradients to investigate the classical and nonclassical mechanical properties of gallium nitride (GaN) nanowires (NWs). We predicted the static length scales, Young's modulus, and shear modulus of the GaN NWs from the experimental data. Combining these results with atomic simulations, we also found the dynamic length scale of the GaN NWs. Young's modulus, shear modulus, static, and dynamic length scales were found to be 318 GPa, 131 GPa, 8 nm, and 8.9 nm, respectively, usable for demonstrating the static and dynamic behaviors of GaN NWs having diameters from a few nm to bulk dimensions. Furthermore, the experimental data were analyzed with classical continuum theory (CCT) and compared with the available literature to illustrate the size-dependency of the mechanical properties of GaN NWs. This practice resolves the previous published discrepancies that happened due to the limitations of CCT used for determining the mechanical properties of GaN NWs and their size-dependency.

  4. Seed-mediated synthesis of ultra-long copper nanowires and their application as transparent conducting electrodes

    NASA Astrophysics Data System (ADS)

    Kim, Hyunhong; Choi, Seong-Hyeon; Kim, Mijung; Park, Jang-Ung; Bae, Joonwon; Park, Jongnam

    2017-11-01

    Owing to a recent push toward one-dimensional nanomaterials, in this study, we report a seed-mediated synthetic strategy for copper nanowires (Cu NWs) production involving thermal decomposition of metal-surfactant complexes in an organic medium. Ultra-long Cu NWs with a high aspect ratio and uniform diameter were obtained by separating nucleation and growth steps. The underlying mechanism for nanowire formation was investigated, in addition, properties of the obtained Cu NWs were also characterized using diverse analysis techniques. The performance of resulting Cu NWs as transparent electrodes was demonstrated for potential application. This article can provide information on both new synthetic pathway and potential use of Cu NWs.

  5. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  6. Repurposing compact discs as master molds to fabricate high-performance organic nanowire field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kim, Kyunghun; Cho, Jinhwi; Jhon, Heesauk; Jeon, Jongwook; Kang, Myounggon; Eon Park, Chan; Lee, Jihoon; An, Tae Kyu

    2017-05-01

    Organic field-effect transistors (OFETs) have been developed over the past few decades due to their potential applications in future electronics such as wearable and foldable electronics. As the electrical performance of OFETs has improved, patterning organic semiconducting crystals has become a key issue for their commercialization. However, conventional soft lithographic techniques have required the use of expensive processes to fabricate high-resolution master molds. In this study, we demonstrated a cost-effective method to prepare nanopatterned master molds for the fabrication of high-performance nanowire OFETs. We repurposed commercially available compact discs (CDs) as master molds because they already have linear nanopatterns on their surface. Flexible nanopatterned templates were replicated from the CDs using UV-imprint lithography. Subsequently, 6,13-bis-(triisopropylsilylethynyl) pentacene nanowires (NWs) were grown from the templates using a capillary force-assisted lithographic technique. The NW-based OFETs showed a high average field-effect mobility of 2.04 cm2 V-1 s-1. This result was attributed to the high crystallinity of the NWs and to their crystal orientation favorable for charge transport.

  7. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  8. Nanometer Scale Confined Growth of Single-Crystalline Gold Nanowires via Photocatalytic Reduction.

    PubMed

    Lee, Seonhee; Bae, Changdeuck; Shin, Hyunjung

    2018-06-20

    Single-crystalline gold nanowires (Au NWs) are directly synthesized by the photocatalytic reduction of an aqueous HAuCl 4 solution inside high-aspect-ratio TiO 2 nanotubes (NTs). Crystalline TiO 2 (anatase) NTs are prepared by the template-assisted atomic layer deposition technique with a subsequent annealing. Under the irradiation of ultraviolet light, photoexcited electrons are formed on the surfaces of TiO 2 NTs and could reduce Au ions to create nuclei without using any surfactant, reducing agent, and/or seed. Once nucleation occurred, high-aspect-ratio Au NWs are grown inside the TiO 2 NTs in a diffusion-controlled manner. As the solution pH increased, the nucleation/growth rate decreased and twin-free (or not observed), single-crystalline Au NWs are formed. At a pH above 6, the nucleation/growth rates increased and Au nanoparticles are observed both inside and outside of the TiO 2 NTs. The confined nanoscale geometries of the interior of the TiO 2 NTs are found to play a key role in the controlled diffusion of Au species and in determining the crystal morphology of the resulting Au NWs.

  9. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  10. Spontaneous and Selective Nanowelding of Silver Nanowires by Electrochemical Ostwald Ripening and High Electrostatic Potential at the Junctions for High-Performance Stretchable Transparent Electrodes.

    PubMed

    Lee, Hyo-Ju; Oh, Semi; Cho, Ki-Yeop; Jeong, Woo-Lim; Lee, Dong-Seon; Park, Seong-Ju

    2018-04-25

    Metal nanowires have been gaining increasing attention as the most promising stretchable transparent electrodes for emerging field of stretchable optoelectronic devices. Nanowelding technology is a major challenge in the fabrication of metal nanowire networks because the optoelectronic performances of metal nanowire networks are mostly limited by the high junction resistance between nanowires. We demonstrate the spontaneous and selective welding of Ag nanowires (AgNWs) by Ag solders via an electrochemical Ostwald ripening process and high electrostatic potential at the junctions of AgNWs. The AgNWs were welded by depositing Ag nanoparticles (AgNPs) on the conducting substrate and then exposing them to water at room temperature. The AgNPs were spontaneously dissolved in water to form Ag + ions, which were then reduced to single-crystal Ag solders selectively at the junctions of the AgNWs. Hence, the welded AgNWs showed higher optoelectronic and stretchable performance compared to that of as-formed AgNWs. These results indicate that electrochemical Ostwald ripening-based welding can be used as a promising method for high-performance metal nanowire electrodes in various next-generation devices such as stretchable solar cells, stretchable displays, organic light-emitting diodes, and skin sensors.

  11. Hard template synthesis of metal nanowires

    PubMed Central

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed. PMID:25453031

  12. Multi-branched Cu2O nanowires for photocatalytic degradation of methyl orange

    NASA Astrophysics Data System (ADS)

    Yu, Chunxin; Shu, Yun; Zhou, Xiaowei; Ren, Yang; Liu, Zhu

    2018-03-01

    Multi-branched cuprous oxide nanowires (Cu2O NWs) were prepared by one-step hydrothermal method of a facile process. The architecture of these Cu2O NWs was examined by scanning electron microscopy, and the resulting crystal nanowire consists of the trunk growing along [100] plane and the branch growing along [110] plane. Photocatalytic degradation of methyl orange (MO) in the experiment indicates that pure Cu2O NWs prepared at 150 °C have a higher photocatalytic activity (90% MO were degraded within 20 min without the presence of H2O2) compared with the samples obtained at other temperatures. In the photoelectrochemical test, pure Cu2O NWs had outstanding photoelectric response, which corresponds to the catalytic performance. The superior photocatalytic performance can be attributed to the absence of grain boundaries between the small branches and the nanowire trunk, which is conducive to the transport of photo-generated carriers, and the reduction of Cu impurities to reduce the number of recombination centers.

  13. Optical regulation of protein adsorption and cell adhesion by photoresponsive GaN nanowires.

    PubMed

    Li, Jingying; Han, Qiusen; Zhang, Ying; Zhang, Wei; Dong, Mingdong; Besenbacher, Flemming; Yang, Rong; Wang, Chen

    2013-10-09

    Interfacing nanowires with living cells is attracting more and more interest due to the potential applications, such as cell culture engineering and drug delivery. We report on the feasibility of using photoresponsive semiconductor gallium nitride (GaN) nanowires (NWs) for regulating the behaviors of biomolecules and cells at the nano/biointerface. The GaN NWs have been fabricated by a facile chemical vapor deposition method. The superhydrophobicity to superhydrophilicity transition of the NWs is achieved by UV illumination. Bovine serum albumin adsorption could be modulated by photoresponsive GaN NWs. Tunable cell detachment and adhesion are also observed. The mechanism of the NW surface responsible for modulating both of protein adsorption and cell adhesion is discussed. These observations of the modulation effects on protein adsorption and cell adhesion by GaN NWs could provide a novel approach toward the regulation of the behaviors of biomolecules and cells at the nano/biointerface, which may be of considerable importance in the development of high-performance semiconductor nanowire-based biomedical devices for cell culture engineering, bioseparation, and diagnostics.

  14. Surface properties of anatase TiO2 nanowire films grown from a fluoride-containing solution.

    PubMed

    Berger, Thomas; Anta, Juan A; Morales-Flórez, Víctor

    2013-06-03

    Controlling the surface chemistry of nucleating seeds during wet-chemical synthesis allows for the preparation of morphologically well-defined nanostructures. Synthesis conditions play a key role in the surface properties, which directly affect the functional properties of the material. Therefore, it is important to establish post-synthesis treatments to facilitate the optimization of surface properties with respect to a specific application, without losing the morphological peculiarity of the nanostructure. We studied the surface properties of highly crystalline and porous anatase TiO2 nanowire (NW) electrodes, grown by chemical-bath deposition in fluoride-containing solutions, using a combined electrochemical and spectroscopic approach. As-deposited films showed low capacity for catechol adsorption and a poor photoelectrocatalytic activity for water oxidation. Mild thermal annealing at 200 °C resulted in a significant improvement of the electrode photoelectrocatalytic activity, whereas the bulk properties of the NWs (crystal structure, band-gap energy) remained unchanged. Enhancement of the functional properties of the material is discussed on the basis of adsorption capacity and electronic properties. The temperature-induced decrease of recombination centers, along with the concomitant increase of adsorption and reaction sites upon thermal annealing are called to be responsible for such improved performance. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Surface physics of semiconducting nanowires

    NASA Astrophysics Data System (ADS)

    Amato, Michele; Rurali, Riccardo

    2016-02-01

    Semiconducting nanowires (NWs) are firm candidates for novel nanoelectronic devices and a fruitful playground for fundamental physics. Ultra-thin nanowires, with diameters below 10 nm, present exotic quantum effects due to the confinement of the wave functions, e.g. widening of the electronic band-gap, deepening of the dopant states. However, although several reports of sub-10 nm wires exist to date, the most common NWs have diameters that range from 20 to 200 nm, where these quantum effects are absent or play a very minor role. Yet, the research activity on this field is very intense and these materials still promise to provide an important paradigm shift for the design of emerging electronic devices and different kinds of applications. A legitimate question is then: what makes a nanowire different from bulk systems? The answer is certainly the large surface-to-volume ratio. In this article we discuss the most salient features of surface physics and chemistry in group-IV semiconducting nanowires, focusing mostly on Si NWs. First we review the state-of-the-art of NW growth to achieve a smooth and controlled surface morphology. Next we discuss the importance of a proper surface passivation and its role on the NW electronic properties. Finally, stressing the importance of a large surface-to-volume ratio and emphasizing the fact that in a NW the surface is where most of the action takes place, we discuss molecular sensing and molecular doping.

  16. The role of graphene formed on silver nanowire transparent conductive electrode in ultra-violet light emitting diodes

    PubMed Central

    Seo, Tae Hoon; Lee, Seula; Min, Kyung Hyun; Chandramohan, S.; Park, Ah Hyun; Lee, Gun Hee; Park, Min; Suh, Eun-Kyung; Kim, Myung Jong

    2016-01-01

    This paper reports a highly reliable transparent conductive electrode (TCE) that integrates silver nanowires (AgNWs) and high-quality graphene as a protecting layer. Graphene with minimized defects and large graphene domains has been successfully obtained through a facile two-step growth approach. Ultraviolet light emitting diodes (UV-LEDs) were fabricated with AgNWs or hybrid electrodes where AgNWs were combined with two-step grown graphene (A-2GE) or conventional one-step grown graphene (A-1GE). The device performance and reliability of the UV-LEDs with three different electrodes were compared. The A-2GE offered high figure of merit owing to the excellent UV transmittance and reduced sheet resistance. As a consequence, the UV-LEDs made with A-2GE demonstrated reduced forward voltage, enhanced electroluminescence (EL) intensity, and alleviated efficiency droop. The effects of joule heating and UV light illumination on the electrode stability were also studied. The present findings prove superior performance of the A-2GE under high current injection and continuous operation of UV LED, compared to other electrodes. From our observation, the A-2GE would be a reliable TCE for high power UV-LEDs. PMID:27387274

  17. The role of graphene formed on silver nanowire transparent conductive electrode in ultra-violet light emitting diodes

    NASA Astrophysics Data System (ADS)

    Seo, Tae Hoon; Lee, Seula; Min, Kyung Hyun; Chandramohan, S.; Park, Ah Hyun; Lee, Gun Hee; Park, Min; Suh, Eun-Kyung; Kim, Myung Jong

    2016-07-01

    This paper reports a highly reliable transparent conductive electrode (TCE) that integrates silver nanowires (AgNWs) and high-quality graphene as a protecting layer. Graphene with minimized defects and large graphene domains has been successfully obtained through a facile two-step growth approach. Ultraviolet light emitting diodes (UV-LEDs) were fabricated with AgNWs or hybrid electrodes where AgNWs were combined with two-step grown graphene (A-2GE) or conventional one-step grown graphene (A-1GE). The device performance and reliability of the UV-LEDs with three different electrodes were compared. The A-2GE offered high figure of merit owing to the excellent UV transmittance and reduced sheet resistance. As a consequence, the UV-LEDs made with A-2GE demonstrated reduced forward voltage, enhanced electroluminescence (EL) intensity, and alleviated efficiency droop. The effects of joule heating and UV light illumination on the electrode stability were also studied. The present findings prove superior performance of the A-2GE under high current injection and continuous operation of UV LED, compared to other electrodes. From our observation, the A-2GE would be a reliable TCE for high power UV-LEDs.

  18. Control of morphology and crystal purity of InP nanowires by variation of phosphine flux during selective area MOMBE

    NASA Astrophysics Data System (ADS)

    Kelrich, A.; Dubrovskii, V. G.; Calahorra, Y.; Cohen, S.; Ritter, D.

    2015-02-01

    We present experimental results showing how the growth rate, morphology and crystal structure of Au-catalyzed InP nanowires (NWs) fabricated by selective area metal organic molecular beam epitaxy can be tuned by the growth parameters: temperature and phosphine flux. The InP NWs with 20-65 nm diameters are grown at temperatures of 420 and 480 °C with the PH3 flow varying from 1 to 9 sccm. The NW tapering is suppressed at a higher temperature, while pure wurtzite crystal structure is preferred at higher phosphine flows. Therefore, by combining high temperature and high phosphine flux, we are able to fabricate non-tapered and stacking fault-free InP NWs with the quality that other methods rarely achieve. We also develop a model for NW growth and crystal structure which explains fairly well the observed experimental tendencies.

  19. CdS-Nanowires Flexible Photo-detector with Ag-Nanowires Electrode Based on Non-transfer Process

    PubMed Central

    Pei, Yanli; Pei, Ruihan; Liang, Xiaoci; Wang, Yuhao; Liu, Ling; Chen, Haibiao; Liang, Jun

    2016-01-01

    In this study, UV-visible flexible resistivity-type photo-detectors were demonstrated with CdS-nanowires (NWs) percolation network channel and Ag-NWs percolation network electrode. The devices were fabricated on Mixed Cellulose Esters (MCE) membrane using a lithographic filtration method combined with a facile non-transfer process. The photo-detectors demonstrated strong adhesion, fast response time, fast decay time, and high photo sensitivity. The high performance could be attributed to the high quality single crystalline CdS-NWs, encapsulation of NWs in MCE matrix and excellent interconnection of the NWs. Furthermore, the sensing performance was maintained even the device was bent at an angle of 90°. This research may pave the way for the facile fabrication of flexible photo-detectors with high performances. PMID:26899726

  20. High conductive and scalable Ag nanowires flexible transparent electrode by nanowelding with physical methods

    NASA Astrophysics Data System (ADS)

    He, W. W.; Yan, X. H.; Long, Y. F.; Liang, Y. M.; Pan, C.; Zhao, J. L.; Liu, Q. X.

    2017-09-01

    Transparent electrodes (TEs) are very important for electronic devices. At present, ITO is gaining the largest market share but will be reduced. Ag nanowires (AgNWs) TEs is acknowledged as one of the most potential alternative to ITO. However, AgNWs TEs still have electrical problems because of the low contact between the AgNWs. In this paper, we report three physics methods to increase the conductivity of AgNWs TEs by nanowelding the contact of nanowires. For heat-resistant materials, 200 °C heat-nanowelding can help to reduce the sheet resistance by 96.7%. For pressure resistant materials, 20MPa pressure-nanowelding can help to increase the conductivity by 98.7%. And the transmittance (>90%) remains constant during the above process. Yet, both of these methods cannot improve the adhesion between nanowires and the substrates. Luckily, tight adhesion can be obtained by overcoating a PEDOT: PSS lalyer on AgNWs film which can reduce the sheet resistance by 87.8%. This means that things are usually not perfect, and they have their own advantages and lay the foundation for the popularization and application of AgNWs TEs. In a word, these three nano-welding methods are all suit for manufacture on a large scale for high conductive AgNWs TEs.

  1. Carrier thermalization dynamics in single zincblende and wurtzite InP Nanowires.

    PubMed

    Wang, Yuda; Jackson, Howard E; Smith, Leigh M; Burgess, Tim; Paiman, Suriati; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-12-10

    Using transient Rayleigh scattering (TRS) measurements, we obtain photoexcited carrier thermalization dynamics for both zincblende (ZB) and wurtzite (WZ) InP single nanowires (NW) with picosecond resolution. A phenomenological fitting model based on direct band-to-band transition theory is developed to extract the electron-hole-plasma density and temperature as a function of time from TRS measurements of single nanowires, which have complex valence band structures. We find that the thermalization dynamics of hot carriers depends strongly on material (GaAs NW vs InP NW) and less strongly on crystal structure (ZB vs WZ). The thermalization dynamics of ZB and WZ InP NWs are similar. But a comparison of the thermalization dynamics in ZB and WZ InP NWs with ZB GaAs NWs reveals more than an order of magnitude slower relaxation for the InP NWs. We interpret these results as reflecting their distinctive phonon band structures that lead to different hot phonon effects. Knowledge of hot carrier thermalization dynamics is an essential component for effective incorporation of nanowire materials into electronic devices.

  2. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  3. Controllable Surface Reorganization Engineering on Cobalt Phosphide Nanowire Arrays for Efficient Alkaline Hydrogen Evolution Reaction.

    PubMed

    Xu, Kun; Cheng, Han; Lv, Haifeng; Wang, Jingyu; Liu, Linqi; Liu, Si; Wu, Xiaojun; Chu, Wangsheng; Wu, Changzheng; Xie, Yi

    2018-01-01

    Developing highly efficient hydrogen evolution reaction (HER) catalysts in alkaline media is considered significant and valuable for water splitting. Herein, it is demonstrated that surface reorganization engineering by oxygen plasma engraving on electocatalysts successfully realizes a dramatically enhanced alkaline HER activity. Taking CoP nanowire arrays grown on carbon cloth (denoted as CoP NWs/CC) as an example, the oxygen plasma engraving can trigger moderate CoO x species formation on the surface of the CoP NWs/CC, which is visually verified by the X-ray absorption fine structure, high-resolution transmission electron microscopy, and energy-dispersive spectrometer (EDS) mapping. Benefiting from the moderate CoO x species formed on the surface, which can promote the water dissociation in alkaline HER, the surface reorganization of the CoP NWs/CC realizes almost fourfold enhanced alkaline HER activity and a 180 mV decreased overpotential at 100 mA cm -2 , compared with the pristine ones. More interestingly, this surface reorganization strategy by oxygen plasma engraving can also be effective to other electrocatalysts such as free-standing CoP, Co 4 N, O-CoSe 2 , and C-CoSe 2 nanowires, which verifies the universality of the strategy. This work thus opens up new avenues for designing alkaline HER electrocatalysts based on oxygen plasma engraving. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  5. Carrier concentration dependent photoluminescence properties of Si-doped InAs nanowires

    NASA Astrophysics Data System (ADS)

    Sonner, M.; Treu, J.; Saller, K.; Riedl, H.; Finley, J. J.; Koblmüller, G.

    2018-02-01

    We report the effects of intentional n-type doping on the photoluminescence (PL) properties of InAs nanowires (NWs). Employing silicon (Si) as a dopant in molecular beam epitaxy grown NWs, the n-type carrier concentration is tuned between 1 × 1017 cm-3 and 3 × 1018 cm-3 as evaluated from Fermi-tail fits of the high-energy spectral region. With the increasing carrier concentration, the PL spectra exhibit a distinct blueshift (up to ˜50 meV), ˜2-3-fold peak broadening, and a redshift of the low-energy tail, indicating both the Burstein-Moss shift and bandgap narrowing. The low-temperature bandgap energy (EG) decreases from ˜0.44 eV (n ˜ 1017 cm-3) to ˜0.41 eV (n ˜ 1018 cm-3), following a ΔEG ˜ n1/3 dependence. Simultaneously, the PL emission is quenched nearly 10-fold, while the pump-power dependent analysis of the integrated PL intensity evidences a typical 2/3-power-law scaling, indicative of non-radiative Auger recombination at high carrier concentrations. Carrier localization and activation at stacking defects are further observed in undoped InAs NWs by temperature-dependent measurements but are absent in Si-doped InAs NWs due to the increased Fermi energy.

  6. Structural and photoluminescence studies on catalytic growth of silicon/zinc oxide heterostructure nanowires

    PubMed Central

    2013-01-01

    Silicon/zinc oxide (Si/ZnO) core-shell nanowires (NWs) were prepared on a p-type Si(111) substrate using a two-step growth process. First, indium seed-coated Si NWs (In/Si NWs) were synthesized using a plasma-assisted hot-wire chemical vapor deposition technique. This was then followed by the growth of a ZnO nanostructure shell layer using a vapor transport and condensation method. By varying the ZnO growth time from 0.5 to 2 h, different morphologies of ZnO nanostructures, such as ZnO nanoparticles, ZnO shell layer, and ZnO nanorods were grown on the In/Si NWs. The In seeds were believed to act as centers to attract the ZnO molecule vapors, further inducing the lateral growth of ZnO nanorods from the Si/ZnO core-shell NWs via a vapor-liquid-solid mechanism. The ZnO nanorods had a tendency to grow in the direction of [0001] as indicated by X-ray diffraction and high resolution transmission electron microscopy analyses. We showed that the Si/ZnO core-shell NWs exhibit a broad visible emission ranging from 400 to 750 nm due to the combination of emissions from oxygen vacancies in ZnO and In2O3 structures and nanocrystallite Si on the Si NWs. The hierarchical growth of straight ZnO nanorods on the core-shell NWs eventually reduced the defect (green) emission and enhanced the near band edge (ultraviolet) emission of the ZnO. PMID:23590803

  7. A review on III-V core-multishell nanowires: growth, properties, and applications

    NASA Astrophysics Data System (ADS)

    Royo, Miquel; De Luca, Marta; Rurali, Riccardo; Zardo, Ilaria

    2017-04-01

    This review focuses on the emerging field of core-multishell (CMS) semiconductor nanowires (NWs). In these kinds of wires, a NW grown vertically on a substrate acts as a template for the coaxial growth of two or more layers wrapped around it. Thanks to the peculiar geometry, the strain is partially released along the radial direction, thus allowing the creation of fascinating heterostructures, even based on lattice mismatched materials that would hardly grow in a planar geometry. Enabling the unique bridging of the 1D nature of NWs with the exciting properties of 2D heterostructures, these novel systems are becoming attractive for material science, as well as fundamental and applied physics. We will focus on NWs made of III-V and III-V-based alloys as they represent a model system in which present growth techniques have reached a high degree of control on the material structural properties, and many physical properties have been assessed, from both the theoretical and experimental points of view. In particular, we provide an overview on the growth methods and structural properties of CMS NWs, on the modulation doping mechanisms enabled by these heterostructures, on the effects of a magnetic field, and on the phononic and optical properties typical of CMS NWs. Moreover, we review the main technological applications based on these systems, such as optoelectronic and photovoltaic devices.

  8. Titanium dioxide@polypyrrole core-shell nanowires for all solid-state flexible supercapacitors

    NASA Astrophysics Data System (ADS)

    Yu, Minghao; Zeng, Yinxiang; Zhang, Chong; Lu, Xihong; Zeng, Chenghui; Yao, Chenzhong; Yang, Yangyi; Tong, Yexiang

    2013-10-01

    Herein, we developed a facile two-step process to synthesize TiO2@PPy core-shell nanowires (NWs) on carbon cloth and reported their improved electrochemical performance for flexible supercapacitors (SCs). The fabricated solid-state SC device based on TiO2@PPy core-shell NWs not only has excellent flexibility, but also exhibits remarkable electrochemical performance.Herein, we developed a facile two-step process to synthesize TiO2@PPy core-shell nanowires (NWs) on carbon cloth and reported their improved electrochemical performance for flexible supercapacitors (SCs). The fabricated solid-state SC device based on TiO2@PPy core-shell NWs not only has excellent flexibility, but also exhibits remarkable electrochemical performance. Electronic supplementary information (ESI) available: Experimental details, XRD pattern, FT-IR absorption spectrum and CV curves of TiO2@PPy NWs, and SEM images of the PPy. See DOI: 10.1039/c3nr03578f

  9. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  10. Optically efficient InAsSb nanowires for silicon-based mid-wavelength infrared optoelectronics.

    PubMed

    Zhuang, Q D; Alradhi, H; Jin, Z M; Chen, X R; Shao, J; Chen, X; Sanchez, Ana M; Cao, Y C; Liu, J Y; Yates, P; Durose, K; Jin, C J

    2017-03-10

    InAsSb nanowires (NWs) with a high Sb content have potential in the fabrication of advanced silicon-based optoelectronics such as infrared photondetectors/emitters and highly sensitive phototransistors, as well as in the generation of renewable electricity. However, producing optically efficient InAsSb NWs with a high Sb content remains a challenge, and optical emission is limited to 4.0 μm due to the quality of the nanowires. Here, we report, for the first time, the success of high-quality and optically efficient InAsSb NWs enabling silicon-based optoelectronics operating in entirely mid-wavelength infrared. Pure zinc-blende InAsSb NWs were realized with efficient photoluminescence emission. We obtained room-temperature photoluminescence emission in InAs NWs and successfully extended the emission wavelength in InAsSb NWs to 5.1 μm. The realization of this optically efficient InAsSb NW material paves the way to realizing next-generation devices, combining advances in III-V semiconductors and silicon.

  11. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  12. Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires Grown by Self-Assisted Molecular Beam Epitaxy

    DTIC Science & Technology

    2015-06-18

    public release; distribution is unlimited. Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires grown by Self-Assisted Molecular...U.S. Army Research Office P.O. Box 12211 Research Triangle Park, NC 27709-2211 GaAsSb, Core Shell Nanowires , Micro Photoluminescence...University 1601 East Market Street Greensboro, NC 27411 -0001 ABSTRACT Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires grown by

  13. Dependence of performance of Si nanowire solar cells on geometry of the nanowires.

    PubMed

    Khan, Firoz; Baek, Seong-Ho; Kim, Jae Hyun

    2014-01-01

    The dependence of performance of silicon nanowires (SiNWs) solar cells on the growth condition of the SiNWs has been described. Metal-assisted electroless etching (MAE) technique has been used to grow SiNWs array. Different concentration of aqueous solution containing AgNO3 and HF for Ag deposition is used. The diameter and density of SiNWs are found to be dependent on concentration of solution used for Ag deposition. The diameter and density of SiNWs have been used to calculate the filling ratio of the SINWs arrays. The filling ratio is increased with increase in AgNO3 concentration, whereas it is decreased with increase in HF concentration. The minimum reflectance value achieved is ~1% for SiNWs of length of ~1.2 μ m in the wavelength range of 300-1000 nm. The performance and diode parameters strongly depend on the geometry of SiNWs. The maximum short circuit current density achieved is 35.6 mA/cm(2). The conversion efficiency of solar cell is 9.73% for SiNWs with length, diameter, and wire density of ~1.2 μ m, ~75 nm, and 90 μ m(-2), respectively.

  14. Enhanced photoluminescence and field-emission behavior of vertically well aligned arrays of In-doped ZnO Nanowires.

    PubMed

    Ahmad, Mashkoor; Sun, Hongyu; Zhu, Jing

    2011-04-01

    Vertically oriented well-aligned Indium doped ZnO nanowires (NWs) have been successfully synthesized on Au-coated Zn substrate by controlled thermal evaporation. The effect of indium dopant on the optical and field-emission properties of these well-aligned ZnO NWs is investigated. The doped NWs are found to be single crystals grown along the c-axis. The composition of the doped NWs is confirmed by X-ray diffraction (XRD), energy-dispersive spectroscopy (EDS), and X-ray photospectroscopy (XPS). The photoluminescence (PL) spectra of doped NWs having a blue-shift in the UV region show a prominent tuning in the optical band gap, without any significant peak relating to intrinsic defects. The turn-on field of the field emission is found to be ∼2.4 V μm(-1) and an emission current density of 1.13 mA cm(-2) under the field of 5.9 V μm(-1). The field enhancement factor β is estimated to be 9490 ± 2, which is much higher than that of any previous report. Furthermore, the doped NWs exhibit good emission current stability with a variation of less than 5% during a 200 s under a field of 5.9 V μm(-1). The superior field emission properties are attributed to the good alignment, high aspect ratio, and better crystallinity of In-doped NWs. © 2011 American Chemical Society

  15. Quantifying surface roughness effects on phonon transport in silicon nanowires.

    PubMed

    Lim, Jongwoo; Hippalgaonkar, Kedar; Andrews, Sean C; Majumdar, Arun; Yang, Peidong

    2012-05-09

    Although it has been qualitatively demonstrated that surface roughness can reduce the thermal conductivity of crystalline Si nanowires (SiNWs), the underlying reasons remain unknown and warrant quantitative studies and analysis. In this work, vapor-liquid-solid (VLS) grown SiNWs were controllably roughened and then thoroughly characterized with transmission electron microscopy to obtain detailed surface profiles. Once the roughness information (root-mean-square, σ, correlation length, L, and power spectra) was extracted from the surface profile of a specific SiNW, the thermal conductivity of the same SiNW was measured. The thermal conductivity correlated well with the power spectra of surface roughness, which varies as a power law in the 1-100 nm length scale range. These results suggest a new realm of phonon scattering from rough interfaces, which restricts phonon transport below the Casimir limit. Insights gained from this study can help develop a more concrete theoretical understanding of phonon-surface roughness interactions as well as aid the design of next generation thermoelectric devices.

  16. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer

    PubMed Central

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-01-01

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/PEDOT:PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/PEDOT:PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/PEDOT:PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells. PMID:26610848

  17. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer.

    PubMed

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-11-27

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) ( PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/ PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/ PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/ PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells.

  18. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  19. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  20. Recyclable patterning of silver nanowire percolated network for fabrication of flexible transparent electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Byungwook; Kim, Youngmin; Han, Chul Jong; Oh, Min Suk; Kim, Jong-Woong

    2018-01-01

    Recent studies have revealed that silver nanowires (AgNWs) are a promising material for highly flexible transparent electrodes. Here we introduce a novel photoinduced recyclable approach to AgNW patterning to overcome the issue of loss of material during fabrication of AgNW patterns, which is a leading factor in the high fabrication costs of AgNW-based electrodes. Our patterning scheme involves the selective irradiation of an AgNW/polymer composite with high-intensity pulsed light, followed by immersion of the sample in a liquid and an ultrasonication treatment. The nanowires that detach during sonication could be recycled, and the recycled AgNWs achieved comparable performance to that of pristine AgNWs. The recycled AgNWs were also superior to commercial indium tin oxide films and other competing materials. We successfully demonstrated a high performance transparent heater by employing the recyclable patterning method and recycled AgNWs.

  1. High-Density ZnO Nanowires as a Reversible Myogenic-Differentiation Switch.

    PubMed

    Errico, Vito; Arrabito, Giuseppe; Fornetti, Ersilia; Fuoco, Claudia; Testa, Stefano; Saggio, Giovanni; Rufini, Stefano; Cannata, Stefano; Desideri, Alessandro; Falconi, Christian; Gargioli, Cesare

    2018-04-25

    Mesoangioblasts are outstanding candidates for stem-cell therapy and are already being explored in clinical trials. However, a crucial challenge in regenerative medicine is the limited availability of undifferentiated myogenic progenitor cells because growth is typically accompanied by differentiation. Here reversible myogenic-differentiation switching during proliferation is achieved by functionalizing the glass substrate with high-density ZnO nanowires (NWs). Specifically, mesoangioblasts grown on ZnO NWs present a spherical viable undifferentiated cell state without lamellopodia formation during the entire observation time (8 days). Consistently, the myosin heavy chain, typically expressed in skeletal muscle tissue and differentiated myogenic progenitors, is completely absent. Remarkably, NWs do not induce any damage while they reversibly block differentiation, so that the differentiation capabilities are completely recovered upon cell removal from the NW-functionalized substrate and replating on standard culture glass. This is the first evidence of a reversible myogenic-differentiation switch that does not affect the viability. These results can be the first step toward for the in vitro growth of a large number of undifferentiated stem/progenitor cells and therefore can represent a breakthrough for cell-based therapy and tissue engineering.

  2. Straight single-crystalline germanium nanowires and their patterns grown on sol gel prepared gold/silica substrates

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Lowndes, Douglas H.

    2005-04-01

    Straight single-crystalline Ge nanowires with a uniform diameter distribution of 50-80 nm and lengths up to tens of micrometers were grown in a high yield on sol-gel prepared gold/silica substrates by using Ge powder as the Ge source. Detailed electron microscopy analyses show that the nanowires grow through a vapor-liquid-solid growth mechanism with gold nanoparticles located at the nanowire tips. By using transmission electron microscope grids as the shadow mask, the sol-gel technique can be readily adapted to prepare patterned film-like gold/silica substrates, so that regular micropatterns of Ge nanowires were obtained, which could facilitate the integration of Ge nanowires for characterization and devices.

  3. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    NASA Astrophysics Data System (ADS)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  4. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  5. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  6. Insights into semiconductor nanowire conductivity using electrodeposition

    NASA Astrophysics Data System (ADS)

    Liu, C.; Salehzadeh, O.; Poole, P. J.; Watkins, S. P.; Kavanagh, K. L.

    2012-10-01

    Copper (Cu) and iron (Fe) electrical contacts to gallium arsenide (GaAs) and indium arsenide (InAs) nanowires (NWs) have been fabricated via electrodeposition. For undoped or low carbon-doped (1017/cm-3), p-type GaAs NWs, Cu or Fe nucleate and grow only on the gold catalyst at the NW tip, avoiding the sidewalls. Metal growth is limited by the Au contact resistance due to thick sidewall depletion layers. For InAs NWs and heavier-doped, core-shell (undoped core-C-doped shell) GaAs NWs, metal nucleation and growth occurs on the sidewalls as well as on the gold catalyst limited now by the ion electrolyte diffusivity.

  7. Solution-processed assembly of ultrathin transparent conductive cellulose nanopaper embedding AgNWs

    NASA Astrophysics Data System (ADS)

    Song, Yuanyuan; Jiang, Yaoquan; Shi, Liyi; Cao, Shaomei; Feng, Xin; Miao, Miao; Fang, Jianhui

    2015-08-01

    Natural biomass based cellulose nanopaper is becoming a promising transparent substrate to supersede traditional petroleum based polymer films in realizing future flexible paper-electronics. Here, ultrathin, highly transparent, outstanding conductive hybrid nanopaper with excellent mechanical flexibility was synthesized by the assembly of nanofibrillated cellulose (NFC) and silver nanowires (AgNWs) using a pressured extrusion paper-making technique. The hybrid nanopaper with a thickness of 4.5 μm has a good combination of transparent conductive performance and mechanical stability using bamboo/hemp NFC and AgNWs cross-linked by hydroxypropylmethyl cellulose (HPMC). The heterogeneous fibrous structure of BNFC/HNFC/AgNWs endows a uniform distribution and an enhanced forward light scattering, resulting in high electrical conductivity and optical transmittance. The hybrid nanopaper with an optimal weight ratio of BNFC/HNFC to AgNWs shows outstanding synergistic properties with a transmittance of 86.41% at 550 nm and a sheet resistance of 1.90 ohm sq-1, equal to the electronic conductivity, which is about 500 S cm-1. The BNFC/HNFC/AgNW hybrid nanopaper maintains a stable electrical conductivity after the peeling test and bending at 135° for 1000 cycles, indicating remarkably strong adhesion and mechanical flexibility. Of importance here is that the high-performance and low-cost hybrid nanopaper shows promising potential for electronics application in solar cells, flexible displays and other high-technology products.Natural biomass based cellulose nanopaper is becoming a promising transparent substrate to supersede traditional petroleum based polymer films in realizing future flexible paper-electronics. Here, ultrathin, highly transparent, outstanding conductive hybrid nanopaper with excellent mechanical flexibility was synthesized by the assembly of nanofibrillated cellulose (NFC) and silver nanowires (AgNWs) using a pressured extrusion paper-making technique. The

  8. An analysis of the growth of silver catalyzed In{sub x}Ga{sub 1−x}As nanowires on Si (100) by metal organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarkar, K.; Banerji, P., E-mail: pallab@matsc.iitkgp.ernet.in; Palit, M.

    2016-08-28

    A model is proposed here to understand the nucleation of III–V semiconductor nanowires (NW). Whereas the classical nucleation theory is not adequately sufficient in explaining the evolution of the shape of the NWs under different chemical environment such as flow rate or partial pressure of the precursors, the effect of adsorption and desorption mediated growth, and diffusion limited growth are taken into account to explain the morphology and the crystal structure of In{sub x}Ga{sub 1−x}As nanowires (NW) on Silicon (100) substrates grown by a metalorganic chemical vapor deposition technique. It is found that the monolayer nucleus that originates at themore » triple phase line covers the entire nucleus-substrate (NS) region at a specific level of supersaturation and there are cases when the monolayer covers a certain fraction of the NS interface. When the monolayer covers the total NS interface, NWs grow with perfect cylindrical morphology and whenever a fraction of the interface is covered by the nucleus, the NWs become curved as observed from high resolution transmission electron microscopy images. The supersaturation, i.e., the chemical potential is found to be governed by the concentration of precursors into the molten silver which in the present case is taken as a catalyst. Our study provides new insights into the growth of ternary NWs which will be helpful in understanding the behavior of growth of different semiconducting NWs.« less

  9. Highly sensitive wearable strain sensor based on silver nanowires and nanoparticles.

    PubMed

    Shengbo, Sang; Lihua, Liu; Aoqun, Jian; Qianqian, Duan; Jianlong, Ji; Qiang, Zhang; Wendong, Zhang

    2018-06-22

    Here, we propose a highly sensitive and stretchable strain sensor based on silver nanoparticles and nanowires (Ag NPs and NWs), advancing the rapid development of electronic skin. To improve the sensitivity of strain sensors based on silver nanowires (Ag NWs), Ag NPs and NWs were added to polydimethylsiloxane (PDMS) as an aid filler. Silver nanoparticles (Ag NPs) increase the conductive paths for electrons, leading to the low resistance of the resulting sensor (14.9 Ω). The strain sensor based on Ag NPs and NWs showed strong piezoresistivity with a tunable gauge factor (GF) at 3766, and a change in resistance as the strain linearly increased from 0% to 28.1%. The high GF demonstrates the irreplaceable role of Ag NPs in the sensor. Moreover, the applicability of our high-performance strain sensor has been demonstrated by its ability to sense movements caused by human talking, finger bending, wrist raising and walking.

  10. Highly sensitive wearable strain sensor based on silver nanowires and nanoparticles

    NASA Astrophysics Data System (ADS)

    Shengbo, Sang; Lihua, Liu; Aoqun, Jian; Qianqian, Duan; Jianlong, Ji; Qiang, Zhang; Wendong, Zhang

    2018-06-01

    Here, we propose a highly sensitive and stretchable strain sensor based on silver nanoparticles and nanowires (Ag NPs and NWs), advancing the rapid development of electronic skin. To improve the sensitivity of strain sensors based on silver nanowires (Ag NWs), Ag NPs and NWs were added to polydimethylsiloxane (PDMS) as an aid filler. Silver nanoparticles (Ag NPs) increase the conductive paths for electrons, leading to the low resistance of the resulting sensor (14.9 Ω). The strain sensor based on Ag NPs and NWs showed strong piezoresistivity with a tunable gauge factor (GF) at 3766, and a change in resistance as the strain linearly increased from 0% to 28.1%. The high GF demonstrates the irreplaceable role of Ag NPs in the sensor. Moreover, the applicability of our high-performance strain sensor has been demonstrated by its ability to sense movements caused by human talking, finger bending, wrist raising and walking.

  11. Recent advances in large-scale assembly of semiconducting inorganic nanowires and nanofibers for electronics, sensors and photovoltaics.

    PubMed

    Long, Yun-Ze; Yu, Miao; Sun, Bin; Gu, Chang-Zhi; Fan, Zhiyong

    2012-06-21

    Semiconducting inorganic nanowires (NWs), nanotubes and nanofibers have been extensively explored in recent years as potential building blocks for nanoscale electronics, optoelectronics, chemical/biological/optical sensing, and energy harvesting, storage and conversion, etc. Besides the top-down approaches such as conventional lithography technologies, nanowires are commonly grown by the bottom-up approaches such as solution growth, template-guided synthesis, and vapor-liquid-solid process at a relatively low cost. Superior performance has been demonstrated using nanowires devices. However, most of the nanowire devices are limited to the demonstration of single devices, an initial step toward nanoelectronic circuits, not adequate for production on a large scale at low cost. Controlled and uniform assembly of nanowires with high scalability is still one of the major bottleneck challenges towards the materials and device integration for electronics. In this review, we aim to present recent progress toward nanowire device assembly technologies, including flow-assisted alignment, Langmuir-Blodgett assembly, bubble-blown technique, electric/magnetic- field-directed assembly, contact/roll printing, planar growth, bridging method, and electrospinning, etc. And their applications in high-performance, flexible electronics, sensors, photovoltaics, bioelectronic interfaces and nano-resonators are also presented.

  12. Metallic Nanowire Interconnections for Integrated Circuit Fabrication

    NASA Technical Reports Server (NTRS)

    Ng, Hou Tee (Inventor); Li, Jun (Inventor); Meyyappan, Meyya (Inventor)

    2007-01-01

    A method for fabricating an electrical interconnect between two or more electrical components. A conductive layer is provided on a substarte and a thin, patterned catalyst array is deposited on an exposed surface of the conductive layer. A gas or vapor of a metallic precursor of a metal nanowire (MeNW) is provided around the catalyst array, and MeNWs grow between the conductive layer and the catalyst array. The catalyst array and a portion of each of the MeNWs are removed to provide exposed ends of the MeNWs.

  13. High performance Ω-gated Ge nanowire MOSFET with quasi-metallic source/drain contacts.

    PubMed

    Burchhart, T; Zeiner, C; Hyun, Y J; Lugstein, A; Hochleitner, G; Bertagnolli, E

    2010-10-29

    Ge nanowires (NWs) about 2 µm long and 35 nm in diameter are grown heteroepitaxially on Si(111) substrates in a hot wall low-pressure chemical vapor deposition (LP-CVD) system using Au as a catalyst and GeH(4) as precursor. Individual NWs are contacted to Cu pads via e-beam lithography, thermal evaporation and lift-off techniques. Self-aligned and atomically sharp quasi-metallic copper-germanide source/drain contacts are achieved by a thermal activated phase formation process. The Cu(3)Ge segments emerge from the Cu contact pads through axial diffusion of Cu which was controlled in situ by SEM, thus the active channel length of the MOSFET is adjusted without any restrictions from a lithographic process. Finally the conductivity of the channel is enhanced by Ga(+) implantation leading to a high performance Ω-gated Ge-NW MOSFET with saturation currents of a few microamperes.

  14. Computational Analysis of the Optical and Charge Transport Properties of Ultrasonic Spray Pyrolysis-Grown Zinc Oxide/Graphene Hybrid Structures.

    PubMed

    Ali, Amgad Ahmed; Hashim, Abdul Manaf

    2016-12-01

    We demonstrate a systematic computational analysis of the measured optical and charge transport properties of the spray pyrolysis-grown ZnO nanostructures, i.e. nanosphere clusters (NSCs), nanorods (NRs) and nanowires (NWs) for the first time. The calculated absorbance spectra based on the time-dependent density functional theory (TD-DFT) shows very close similarity with the measured behaviours under UV light. The atomic models and energy level diagrams for the grown nanostructures were developed and discussed to explain the structural defects and band gap. The induced stresses in the lattices of ZnO NSCs that formed during the pyrolysis process seem to cause the narrowing of the gap between the energy levels. ZnO NWs and NRs show homogeneous distribution of the LUMO and HOMO orbitals all over the entire heterostructure. Such distribution contributes to the reduction of the band gap down to 2.8 eV, which has been confirmed to be in a good agreement with the experimental results. ZnO NWs and NRs exhibited better emission behaviours under the UV excitation as compared to ZnO NSCs and thin film as their visible range emissions are strongly quenched. Based on the electrochemical impedance measurement, the electrical models and electrostatic potential maps were developed to calculate the electron lifetime and to explain the mobility or diffusion behaviours in the grown nanostructure, respectively.

  15. Computational Analysis of the Optical and Charge Transport Properties of Ultrasonic Spray Pyrolysis-Grown Zinc Oxide/Graphene Hybrid Structures

    NASA Astrophysics Data System (ADS)

    Ali, Amgad Ahmed; Hashim, Abdul Manaf

    2016-05-01

    We demonstrate a systematic computational analysis of the measured optical and charge transport properties of the spray pyrolysis-grown ZnO nanostructures, i.e. nanosphere clusters (NSCs), nanorods (NRs) and nanowires (NWs) for the first time. The calculated absorbance spectra based on the time-dependent density functional theory (TD-DFT) shows very close similarity with the measured behaviours under UV light. The atomic models and energy level diagrams for the grown nanostructures were developed and discussed to explain the structural defects and band gap. The induced stresses in the lattices of ZnO NSCs that formed during the pyrolysis process seem to cause the narrowing of the gap between the energy levels. ZnO NWs and NRs show homogeneous distribution of the LUMO and HOMO orbitals all over the entire heterostructure. Such distribution contributes to the reduction of the band gap down to 2.8 eV, which has been confirmed to be in a good agreement with the experimental results. ZnO NWs and NRs exhibited better emission behaviours under the UV excitation as compared to ZnO NSCs and thin film as their visible range emissions are strongly quenched. Based on the electrochemical impedance measurement, the electrical models and electrostatic potential maps were developed to calculate the electron lifetime and to explain the mobility or diffusion behaviours in the grown nanostructure, respectively.

  16. Monolithically Integrated High-β Nanowire Lasers on Silicon.

    PubMed

    Mayer, B; Janker, L; Loitsch, B; Treu, J; Kostenbader, T; Lichtmannecker, S; Reichert, T; Morkötter, S; Kaniber, M; Abstreiter, G; Gies, C; Koblmüller, G; Finley, J J

    2016-01-13

    Reliable technologies for the monolithic integration of lasers onto silicon represent the holy grail for chip-level optical interconnects. In this context, nanowires (NWs) fabricated using III-V semiconductors are of strong interest since they can be grown site-selectively on silicon using conventional epitaxial approaches. Their unique one-dimensional structure and high refractive index naturally facilitate low loss optical waveguiding and optical recirculation in the active NW-core region. However, lasing from NWs on silicon has not been achieved to date, due to the poor modal reflectivity at the NW-silicon interface. We demonstrate how, by inserting a tailored dielectric interlayer at the NW-Si interface, low-threshold single mode lasing can be achieved in vertical-cavity GaAs-AlGaAs core-shell NW lasers on silicon as measured at low temperature. By exploring the output characteristics along a detection direction parallel to the NW-axis, we measure very high spontaneous emission factors comparable to nanocavity lasers (β = 0.2) and achieve ultralow threshold pump energies ≤11 pJ/pulse. Analysis of the input-output characteristics of the NW lasers and the power dependence of the lasing emission line width demonstrate the potential for high pulsation rates ≥250 GHz. Such highly efficient nanolasers grown monolithically on silicon are highly promising for the realization of chip-level optical interconnects.

  17. Micromagnetic evaluation of the dissipated heat in cylindrical magnetic nanowires

    NASA Astrophysics Data System (ADS)

    Fernandez-Roldan, Jose Angel; Serantes, David; del Real, Rafael P.; Vazquez, Manuel; Chubykalo-Fesenko, Oksana

    2018-05-01

    Magnetic nanowires (NWs) are promising candidates for heat generation under AC-field application due to their large shape anisotropy. They may be used for catalysis, hyperthermia, or water purification treatments. In the present work, we theoretically evaluate the heat dissipated by a single magnetic nanowire, originated from the domain wall (DW) dynamics under the action of an AC-field. We compare the Permalloy NWs (which demagnetize via the transverse wall propagation) with the Co fcc NWs whose reversal mode is via a vortex domain wall. The average hysteresis loop areas—which are proportional to the Specific Absorption Rate (SAR)—as a function of the field frequency have a pronounced maximum in the range 200 MHz-1 GHz. This maximum frequency is smaller in Permalloy than that in Co and depends on the nanowire length. A simple model related to the nucleation and propagation time and DW velocity (higher for the vortex than for the transverse domain wall) is proposed to explain the non-monotonic SAR dependence on the frequency.

  18. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  19. Single nanowire extinction spectroscopy.

    PubMed

    Giblin, Jay; Vietmeyer, Felix; McDonald, Matthew P; Kuno, Masaru

    2011-08-10

    Here we show the first direct extinction spectra of single one-dimensional (1D) semiconductor nanostructures obtained at room temperature utilizing a spatial modulation approach. (1) For these materials, ensemble averaging in conventional extinction spectroscopy has limited our understanding of the interplay between carrier confinement and their electrostatic interactions. (2-4) By probing individual CdSe nanowires (NWs), we have identified and assigned size-dependent exciton transitions occurring across the visible. In turn, we have revealed the existence of room temperature 1D excitons in the narrowest NWs.

  20. Tin Oxide Nanowires: The Influence of Trap States on Ultrafast Carrier Relaxation

    PubMed Central

    2009-01-01

    We have studied the optical properties and carrier dynamics in SnO2nanowires (NWs) with an average radius of 50 nm that were grown via the vapor–liquid solid method. Transient differential absorption measurements have been employed to investigate the ultrafast relaxation dynamics of photogenerated carriers in the SnO2NWs. Steady state transmission measurements revealed that the band gap of these NWs is 3.77 eV and contains two broad absorption bands. The first is located below the band edge (shallow traps) and the second near the center of the band gap (deep traps). Both of these absorption bands seem to play a crucial role in the relaxation of the photogenerated carriers. Time resolved measurements suggest that the photogenerated carriers take a few picoseconds to move into the shallow trap states whereas they take ~70 ps to move from the shallow to the deep trap states. Furthermore the recombination process of electrons in these trap states with holes in the valence band takes ~2 ns. Auger recombination appears to be important at the highest fluence used in this study (500 μJ/cm2); however, it has negligible effect for fluences below 50 μJ/cm2. The Auger coefficient for the SnO2NWs was estimated to be 7.5 ± 2.5 × 10−31 cm6/s. PMID:20596473

  1. Fabrication of a Stable New Polymorph Gold Nanowire with Sixfold Rotational Symmetry.

    PubMed

    Lee, Seonhee; Bae, Changdeuck; Lee, Jubok; Lee, Subin; Oh, Sang Ho; Kim, Jeongyong; Park, Gyeong-Su; Jung, Hyun Suk; Shin, Hyunjung

    2018-04-01

    Gold is known as the most noblest metal with only face-centered cubic (fcc) structure in ambient conditions. Here, stable hexagonal non-close-packed (ncp) gold nanowires (NWs), having a diameter of about 50 nm and aspect ratios of well over 400, are reported. Au NWs are grown in the confined system of nanotubular TiO 2 arrays via photoelectrochemical reduction of HAuCl 4 precursors. Some of the resulting Au NWs are proved to have sixfold rotational symmetry, observed by transmission electron microscopy tilting experiments. This new polymorph is identified as a hexagonal ncp-structure with lattice parameters of a = 2.884 Å and c = 7.150 Å, showing quite a large interplanar spacing (c/a ≈ 2.48). That is, Au atoms are close-packed along the ab plane, but each plane is not closely stacked along the c axis like in graphite. The structure is usually expected to be unstable, but the present ncp-2H gold is stable under ambient conditions and intense electron beam irradiation, and shows thermal stability up to 400 °C. Moreover, the resulting physical properties as a result of the corresponding change in electronic structures are investigated by comparing the optical properties of fcc and ncp-2H Au NWs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  3. Template-Assisted Scalable Nanowire Networks

    NASA Astrophysics Data System (ADS)

    Friedl, Martin; Cerveny, Kris; Weigele, Pirmin; Tütüncüoglu, Gozde; Martí-Sánchez, Sara; Huang, Chunyi; Patlatiuk, Taras; Potts, Heidi; Sun, Zhiyuan; Hill, Megan O.; Güniat, Lucas; Kim, Wonjong; Zamani, Mahdi; Dubrovskii, Vladimir G.; Arbiol, Jordi; Lauhon, Lincoln J.; Zumbühl, Dominik M.; Fontcuberta i Morral, Anna

    2018-04-01

    Topological qubits based on Majorana fermions have the potential to revolutionize the emerging field of quantum computing by making information processing significantly more robust to decoherence. Nanowires (NWs) are a promising medium for hosting these kinds of qubits, though branched NWs are needed to perform qubit manipulations. Here we report gold-free templated growth of III-V NWs by molecular beam epitaxy using an approach that enables patternable and highly regular branched NW arrays on a far greater scale than what has been reported thus far. Our approach relies on the lattice-mismatched growth of InAs on top of defect-free GaAs nanomembranes (NMs) yielding laterally-oriented, low-defect InAs and InGaAs NWs whose shapes are determined by surface and strain energy minimization. By controlling NM width and growth time, we demonstrate the formation of compositionally graded NWs with cross-sections less than 50 nm. Scaling the NWs below 20 nm leads to the formation of homogenous InGaAs NWs which exhibit phase-coherent, quasi-1D quantum transport as shown by magnetoconductance measurements. These results are an important advance towards scalable topological quantum computing.

  4. Copper Nanowires: A Substitute for Noble Metals to Enhance Photocatalytic H2 Generation.

    PubMed

    Xiao, Shuning; Liu, Peijue; Zhu, Wei; Li, Guisheng; Zhang, Dieqing; Li, Hexing

    2015-08-12

    Microwave-assisted hydrothermal approach was developed as a general strategy to decorate copper nanowires (CuNWs) with nanorods (NRs) or nanoparticles (NPs) of metal oxides, metal sulfides, and metal organic frameworks (MOFs). The microwave irradiation induced local "super hot" dots generated on the CuNWs surface, which initiated the adsorption and chemical reactions of the metal ions, accompanied by the growth and assembly of NPs building blocks along the metal nanowires' surfaces. This solution-processed approach enables the NRs (NPs) @CuNWs hybrid structure to exhibit three unique characteristics: (1) high coverage density of NRs (NPs) per NWs with the morphology of NRs (NPs) directly growing from the CuNWs core, (2) intimate contact between CuNWs and NRs (NPs), and (3) flexible choices of material composition. Such hybrid structures also increased light absorption by light scattering. In general, the TiO2/CuNWs showed excellent photocatalytic activity for H2 generation. The corresponding hydrogen production rate is 5104 μmol h(-1) g(-1) with an apparent quantum yield (AQY) of 17.2%, a remarkably high AQY among the noble-metal free TiO2 photocatalysts. Such performance may be associated with the favorable geometry of the hybrid system, which is characterized by a large contact area between the photoactive materials (TiO2) and the H2 evolution cocatalyst (Cu), the fast and short diffusion paths of photogenerated electrons transferring from the TiO2 to the CuNWs. This study not only shows a possibility for the utilization of low cost copper nanowires as a substitute for noble metals in enhanced solar photocatalytic H2 generation but also exhibits a general strategy for fabricating other highly active H2 production photocatalysts by a facile microwave-assisted solution approach.

  5. Magnetic-optical bifunctional CoPt3/Co multilayered nanowire arrays

    NASA Astrophysics Data System (ADS)

    Su, Yi-Kun; Yan, Zhi-Long; Wu, Xi-Ming; Liu, Huan; Ren, Xiao; Yang, Hai-Tao

    2015-10-01

    CoPt3/Co multilayered nanowire (NW) arrays are synthesized by pulsed electrodeposition into nanoporous anodic aluminum oxide (AAO) templates. The electrochemistry deposition parameters are determined by cyclic voltammetry to realize the well control of the ratio of Co to Pt and the length of every segment. The x-ray diffraction (XRD) patterns show that both Co and CoPt3 NWs exhibit face-centered cubic (fcc) structures. In the UV-visible absorption spectra, CoPt3/Co NW arrays show a red-shift with respect to pure CoPt3NWs. Compared with the pure Co nanowire arrays, the CoPt3/Co multilayered nanowire arrays show a weak shape anisotropy and well-modulated magnetic properties. CoPt3/Co multilayered nanowires are highly encouraging that new families of bimetallic nanosystems may be developed to meet the needs of nanomaterials in emerging multifunctional nanotechnologies. Project supported by the National Natural Science Foundation of China (Grant Nos. 51472165, 51471185, and 11274370).

  6. Laser-induced Greenish-Blue Photoluminescence of Mesoporous Silicon Nanowires

    PubMed Central

    Choi, Yan-Ru; Zheng, Minrui; Bai, Fan; Liu, Junjun; Tok, Eng-Soon; Huang, Zhifeng; Sow, Chorng-Haur

    2014-01-01

    Solid silicon nanowires and their luminescent properties have been widely studied, but lesser is known about the optical properties of mesoporous silicon nanowires (mp-SiNWs). In this work, we present a facile method to generate greenish-blue photoluminescence (GB-PL) by fast scanning a focused green laser beam (wavelength of 532 nm) on a close-packed array of mp-SiNWs to carry out photo-induced chemical modification. The threshold of laser power is 5 mW to excite the GB-PL, whose intensity increases with laser power in the range of 5–105 mW. The quenching of GB-PL comes to occur beyond 105 mW. The in-vacuum annealing effectively excites the GB-PL in the pristine mp-SiNWs and enhances the GB-PL of the laser-modified mp-SiNWs. A complex model of the laser-induced surface modification is proposed to account for the laser-power and post-annealing effect. Moreover, the fast scanning of focused laser beam enables us to locally tailor mp-SiNWs en route to a wide variety of micropatterns with different optical functionality, and we demonstrate the feasibility in the application of creating hidden images. PMID:24820533

  7. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  8. Polarization Dependence of Surface Enhanced Raman Scattering on a Single Dielectric Nanowire

    DTIC Science & Technology

    2012-01-01

    original work is properly cited. Our measurements of surface enhanced Raman scattering (SERS) on Ga2O3 dielectric nanowires (NWs) core/silver composites...process has been widely discussed [15–21]. In this work, a highly effective SERS composite of dielectric Ga2O3 NWs core/silver was employed to investigate...self-assembled monolayer of active SERS molecules on the NWs may affect the SERS enhancement as well. 2. Experimental Details Random Ga2O3 NWs were

  9. Nickel-copper oxide nanowires for highly sensitive sensing of glucose

    NASA Astrophysics Data System (ADS)

    Bai, Xiaofang; Chen, Wei; Song, Yanfang; Zhang, Jiazhou; Ge, Ruipeng; Wei, Wei; Jiao, Zheng; Sun, Yuhan

    2017-10-01

    Accurate determination of glucose is of considerable importance in diverse fields such as clinical diagnostics, biotechnology, and food industry. A low-cost and easy to scale-up approach has been developed for the preparation of nickel-copper oxide nanowires (Ni-CuO NWs) with hierarchical structures comprising porous NiO substrate and CuO nanowires. The successfully prepared Ni-CuO NWs were exploited as non-enzymatic electrochemical sensing probes for the reliable detection of glucose. Electrochemical measurements such as cyclic voltammetry (CV) and chronoamperometry (CA) illustrated that the Ni-CuO NWs exhibited excellent electrochemical performance toward glucose oxidation with a superior sensitivity of 5610.6 μA mM-1 cm-2, a low detection limit of 0.07 μM, a wide linear range from 0.2 to 3.0 mM, and a good selectivity. This was attributed to the synergetic effect of the hierarchical structures and active Ni(OH)2 surface species in Ni-CuO NWs. The rational design of the metal oxide composites provided an efficient strategy for the fabrication of electrochemical non-enzymatic sensors.

  10. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates.

    PubMed

    Janjua, Bilal; Sun, Haiding; Zhao, Chao; Anjum, Dalaver H; Priante, Davide; Alhamoud, Abdullah A; Wu, Feng; Li, Xiaohang; Albadri, Abdulrahman M; Alyamani, Ahmed Y; El-Desouki, Munir M; Ng, Tien Khee; Ooi, Boon S

    2017-01-23

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm2 (80 mA in 0.5 × 0.5 mm2 device), a turn-on voltage of ~5.5 V and droop-free behavior up to 120 A/cm2 of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  11. Structural modulation of nanowire interfaces grown over selectively disrupted single crystal surfaces

    NASA Astrophysics Data System (ADS)

    Garratt, E.; Nikoobakht, B.

    2015-08-01

    Recent breakthroughs in deterministic approaches to the fabrication of nanowire arrays have demonstrated the possibility of fabricating such networks using low-cost scalable methods. In this regard, we have developed a scalable growth platform for lateral fabrication of nanocrystals with high precision utilizing lattice match and symmetry. Using this planar architecture, a number of homo- and heterostructures have been demonstrated including ZnO nanowires grown over GaN. The latter combination produces horizontal, epitaxially formed crystals aligned in the plane of the substrate containing a very low number of intrinsic defects. We use such ordered structures as model systems in the interests of gauging the interfacial structural dynamics in relation to external stimuli. Nanosecond pulses of focused ion beams are used to slightly modify the substrate surface and selectively form lattice disorders in the path of nanowire growth to examine the nanocrystal, namely: its directionality and lattice defects. High resolution electron microscopies are used to reveal some interesting structural effects; for instance, a minimum threshold of surface defects that can divert nanowires. We also discuss data indicating formation of surface strains and show their mitigation during the growth process.

  12. Structure, morphology, and photoluminescence of porous Si nanowires: effect of different chemical treatments

    PubMed Central

    2013-01-01

    The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material. PMID:24025542

  13. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation

    PubMed Central

    Xue, Zhaoguo; Xu, Mingkun; Zhao, Yaolong; Wang, Jimmy; Jiang, Xiaofan; Yu, Linwei; Wang, Junzhuan; Xu, Jun; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere

    2016-01-01

    The ability to program highly modulated morphology upon silicon nanowires (SiNWs) has been fundamental to explore new phononic and electronic functionalities. We here exploit a nanoscale locomotion of metal droplets to demonstrate a large and readily controllable morphology engineering of crystalline SiNWs, from straight ones into continuous or discrete island-chains, at temperature <350 °C. This has been accomplished via a tin (Sn) droplet mediated in-plane growth where amorphous Si thin film is consumed as precursor to produce crystalline SiNWs. Thanks to a significant interface-stretching effect, a periodic Plateau-Rayleigh instability oscillation can be stimulated in the liquid Sn droplet, and the temporal oscillation of the Sn droplets is translated faithfully, via the deformable liquid/solid deposition interface, into regular spatial modulation upon the SiNWs. Combined with a unique self-alignment and positioning capability, this new strategy could enable a rational design and single-run fabrication of a wide variety of nanowire-based optoelectronic devices. PMID:27682161

  14. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires

    PubMed Central

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V.; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-01

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices. PMID:26751282

  15. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires.

    PubMed

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.

  16. Spatial potential ripples of azimuthal surface modes in topological insulator Bi 2Te 3 nanowires

    DOE PAGES

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V.; ...

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi 2Te 3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density ofmore » states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Finally, our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.« less

  17. Copper Nanowires and Their Applications for Flexible, Transparent Conducting Films: A Review

    PubMed Central

    Nam, Vu Binh; Lee, Daeho

    2016-01-01

    Cu nanowires (NWs) are attracting considerable attention as alternatives to Ag NWs for next-generation transparent conductors, replacing indium tin oxide (ITO) and micro metal grids. Cu NWs hold great promise for low-cost fabrication via a solution-processed route and show preponderant optical, electrical, and mechanical properties. In this study, we report a summary of recent advances in research on Cu NWs, covering the optoelectronic properties, synthesis routes, deposition methods to fabricate flexible transparent conducting films, and their potential applications. This review also examines the approaches on protecting Cu NWs from oxidation in air environments. PMID:28344304

  18. Nanowire Optoelectronics

    NASA Astrophysics Data System (ADS)

    Wang, Zhihuan; Nabet, Bahram

    2015-12-01

    Semiconductor nanowires have been used in a variety of passive and active optoelectronic devices including waveguides, photodetectors, solar cells, light-emitting diodes (LEDs), lasers, sensors, and optical antennas. We review the optical properties of these nanowires in terms of absorption, guiding, and radiation of light, which may be termed light management. Analysis of the interaction of light with long cylindrical/hexagonal structures with subwavelength diameters identifies radial resonant modes, such as Leaky Mode Resonances, or Whispering Gallery modes. The two-dimensional treatment should incorporate axial variations in "volumetric modes,"which have so far been presented in terms of Fabry-Perot (FP), and helical resonance modes. We report on finite-difference timedomain (FDTD) simulations with the aim of identifying the dependence of these modes on geometry (length, width), tapering, shape (cylindrical, hexagonal), core-shell versus core-only, and dielectric cores with semiconductor shells. This demonstrates how nanowires (NWs) form excellent optical cavities without the need for top and bottommirrors. However, optically equivalent structures such as hexagonal and cylindrical wires can have very different optoelectronic properties meaning that light management alone does not sufficiently describe the observed enhancement in upward (absorption) and downward transitions (emission) of light inNWs; rather, the electronic transition rates should be considered. We discuss this "rate management" scheme showing its strong dimensional dependence, making a case for photonic integrated circuits (PICs) that can take advantage of the confluence of the desirable optical and electronic properties of these nanostructures.

  19. Bio-Based Transparent Conductive Film Consisting of Polyethylene Furanoate and Silver Nanowires for Flexible Optoelectronic Devices.

    PubMed

    Lam, Jeun-Yan; Shih, Chien-Chung; Lee, Wen-Ya; Chueh, Chu-Chen; Jang, Guang-Way; Huang, Cheng-Jyun; Tung, Shih-Huang; Chen, Wen-Chang

    2018-05-30

    Exploiting biomass has raised great interest as an alternative to the fossil resources for environmental protection. In this respect, polyethylene furanoate (PEF), one of the bio-based polyesters, thus reveals a great potential to replace the commonly used polyethylene terephthalate (PET) on account of its better mechanical, gas barrier, and thermal properties. Herein, a bio-based, flexible, conductive film is successfully developed by coupling a PEF plastic substrate with silver nanowires (Ag NWs). Besides the appealing advantage of renewable biomass, PEF also exhibits a good transparency around 90% in the visible wavelength range, and its constituent polar furan moiety is revealed to enable an intense interaction with Ag NWs to largely enhance the adhesion of Ag NWs grown above, as exemplified by the superior bending and peeling durability than the currently prevailing PET substrate. Finally, the efficiency of conductive PEF/Ag NWs film in fabricating efficient flexible organic thin-film transistor and organic photovoltaic (OPV) is demonstrated. The OPV device achieves a power conversion efficiency of 6.7%, which is superior to the device based on ITO/PEN device, manifesting the promising merit of the bio-based PEF for flexible electronic applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Effect of diameter of metal nanowires on pool boiling heat transfer with FC-72

    NASA Astrophysics Data System (ADS)

    Kumar G., Udaya; S., Suresh; M. R., Thansekhar; Babu P., Dinesh

    2017-11-01

    Effect of varying diameter of metal nanowires on pool boiling heat transfer performance is presented in this study. Copper nanowires (CuNWs) of four different diameters (∼35 nm, ∼70 nm, ∼130 nm and ∼200 nm) were grown directly on copper specimen using template-based electrodeposition technique. Both critical heat flux (CHF) and boiling heat transfer coefficient (h) were found to be improved in surfaces with nanowires as compared to the bare copper surface. Moreover, both the parameters were found to increase with increasing diameter of the nanowires. The percentage increases observed in CHF for the samples with nanowires were 38.37%, 40.16%, 48.48% and 45.57% whereas the percentage increase in the heat transfer coefficient were 86.36%, 95.45%, 184.1% and 131.82% respectively as compared to the bare copper surface. Important reasons believed for this enhancement were improvement in micron scale cavity density and cavity size which arises as a result of the coagulation and grouping of nanowires during the drying process. In addition to this, superhydrophilic nature, capillary effect, and enhanced bubble dynamics parameters (bubble frequency, bubble departure diameter, and nucleation site density) were found to be the concurring mechanisms responsible for this enhancement in heat transfer performance. Qualitative bubble dynamics analysis was done for the surfaces involved and the visual observations are provided to support the results presented and discussed.

  1. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  2. Solution-processed assembly of ultrathin transparent conductive cellulose nanopaper embedding AgNWs.

    PubMed

    Song, Yuanyuan; Jiang, Yaoquan; Shi, Liyi; Cao, Shaomei; Feng, Xin; Miao, Miao; Fang, Jianhui

    2015-08-28

    Natural biomass based cellulose nanopaper is becoming a promising transparent substrate to supersede traditional petroleum based polymer films in realizing future flexible paper-electronics. Here, ultrathin, highly transparent, outstanding conductive hybrid nanopaper with excellent mechanical flexibility was synthesized by the assembly of nanofibrillated cellulose (NFC) and silver nanowires (AgNWs) using a pressured extrusion paper-making technique. The hybrid nanopaper with a thickness of 4.5 μm has a good combination of transparent conductive performance and mechanical stability using bamboo/hemp NFC and AgNWs cross-linked by hydroxypropylmethyl cellulose (HPMC). The heterogeneous fibrous structure of BNFC/HNFC/AgNWs endows a uniform distribution and an enhanced forward light scattering, resulting in high electrical conductivity and optical transmittance. The hybrid nanopaper with an optimal weight ratio of BNFC/HNFC to AgNWs shows outstanding synergistic properties with a transmittance of 86.41% at 550 nm and a sheet resistance of 1.90 ohm sq(-1), equal to the electronic conductivity, which is about 500 S cm(-1). The BNFC/HNFC/AgNW hybrid nanopaper maintains a stable electrical conductivity after the peeling test and bending at 135° for 1000 cycles, indicating remarkably strong adhesion and mechanical flexibility. Of importance here is that the high-performance and low-cost hybrid nanopaper shows promising potential for electronics application in solar cells, flexible displays and other high-technology products.

  3. Fabrication and Performance Study on Individual Zno Nanowires Based Bioelectrode

    NASA Astrophysics Data System (ADS)

    Zhao, Yanguang; Yan, Xiaoqin; Kang, Zhuo; Lin, Pei

    2012-08-01

    One-dimensional zinc oxide nanowires (ZnO NWs) have unique advantages for use in biosensors as follows: oxide stable surface, excellent biosafety, high specific surface area, high isoelectric point (IEP = 9.5). In this work, we have prepared a kind of electrochemical bioelectrode based on individual ZnO NWs. Here, ZnO NWs with high quality were successfully synthesized by CVD method, which were characterized by scanning electron microscopy, X-ray diffraction and photoluminescence. Then the Raman spectra and electrical characterization demonstrated the adsorption of uricase on ZnO wires. At last, a series of electrochemical measurements were carried out by using an electrochemical workstation with a conventional three-electrode system to obtain the cyclic voltammetry characteristics of the bioelectrodes. The excellent performance of the fabricated bioelectrode implies the potential application for single ZnO nanowire to construct electrochemical biosensor for the detection of uric acid.

  4. Synthesis of polystyrene coated SiC nanowires as fillers in a polyurethane matrix for electromechanical conversion.

    PubMed

    Rybak, Andrzej; Warde, Micheline; Beyou, Emmanuel; Chaumont, Philippe; Bechelany, Mikhael; Brioude, Arnaud; Toury, Bérangère; Cornu, David; Miele, Philippe; Guiffard, Benoit; Seveyrat, Laurence; Guyomar, Daniel

    2010-04-09

    Grafting of polystyrene (PS) from silica coating of silicon carbide nanowires (SiCNWs) has been performed by a two-step nitroxide mediated free radical polymerization (NMP) of styrene. First, an alkoxyamine based on N-tert-butyl-N-(1-diethylphosphono-2,2-dimethylpropyl) nitroxide (DEPN) was covalently attached onto NWs through free surface silanol groups. To immobilize the alkoxyamine initiator on the silica surface, alkoxylamine was formed in situ by the simultaneous reaction of polymerizable acryloxy propyl trimethoxysilane (APTMS), azobis isobutyronitrile (AIBN), and DEPN, which was used as a radical trap. Polystyrene chains with controlled molecular weights and narrow polydispersity were then grown from the alkoxyamine-functionalized NWs surface in the presence of a 'free' sacrificial styrylDEPN alkoxyamine. Both the initiator and polystyrene chains were characterized by FTIR and (13)C solid-state NMR and quantified by TGA. Ensuing nanocomposites were characterized by FEG-SEM, TEM and Raman spectroscopy. EDX analysis performed on functionalized nanowires during FEG-SEM analysis also gave evidence of grafting by a strong increase in the average C/Si atomic ratio. Incorporation of 2 wt% NWs into the polyurethane (PU) matrix has been carried out to prepare homogeneous nanocomposite films. The electric field induced thickness strain response has been investigated for the polystyrene-grafted silica coated SiC NWs (PU-SiC@SiO(2)@PS) nanocomposites and compared to pure polyurethane film and PU-SiC@SiO(2) nanocomposite without polystyrene grafting. At a moderate electric field of 10 V microm(-1), SiC@SiO(2)@PS loading increased the strain level of pure PU by a factor of 2.2. This improvement came partially due to polystyrene grafting since PU-SiC@SiO(2) films showed only a 1.7 times increase. The observed higher strain response of these nanocomposites makes them very attractive for micro-electromechanical applications.

  5. Substantial enhancement of energy storage capability in polymer nanocomposites by encapsulation of BaTiO3 NWs with variable shell thickness.

    PubMed

    Wang, Guanyao; Huang, Yanhui; Wang, Yuxin; Jiang, Pingkai; Huang, Xingyi

    2017-08-09

    Dielectric polymer nanocomposites have received keen interest due to their potential application in energy storage. Nevertheless, the large contrast in dielectric constant between the polymer and nanofillers usually results in a significant decrease of breakdown strength of the nanocomposites, which is unfavorable for enhancing energy storage capability. Herein, BaTiO 3 nanowires (NWs) encapsulated by TiO 2 shells of variable thickness were utilized to fabricate dielectric polymer nanocomposites. Compared with nanocomposites with bare BaTiO 3 NWs, significantly enhanced energy storage capability was achieved for nanocomposites with TiO 2 encapsulated BaTiO 3 NWs. For instance, an ultrahigh energy density of 9.53 J cm -3 at 440 MV m -1 could be obtained for nanocomposites comprising core-shell structured nanowires, much higher than that of nanocomposites with 5 wt% raw ones (5.60 J cm -3 at 360 MV m -1 ). The discharged energy density of the proposed nanocomposites with 5 wt% mTiO 2 @BaTiO 3 -1 NWs at 440 MV m -1 seems to rival or exceed those of some previously reported nanocomposites (mostly comprising core-shell structured nanofillers). More notably, this study revealed that the energy storage capability of the nanocomposites can be tailored by the TiO 2 shell thickness. Finite element simulations were employed to analyze the electric field distribution in the nanocomposites. The enhanced energy storage capability should be mainly attributed to the smoother gradient of dielectric constant between the nanofillers and polymer matrix, which alleviated the electric field concentration and leakage current in the polymer matrix. The methods and results herein offer a feasible approach to construct high-energy-density polymer nanocomposites with core-shell structured nanowires.

  6. Growth of low temperature silicon nano-structures for electronic and electrical energy generation applications.

    PubMed

    Gabrielyan, Nare; Saranti, Konstantina; Manjunatha, Krishna Nama; Paul, Shashi

    2013-02-15

    This paper represents the lowest growth temperature for silicon nano-wires (SiNWs) via a vapour-liquid-solid method, which has ever been reported in the literature. The nano-wires were grown using plasma-enhanced chemical vapour deposition technique at temperatures as low as 150°C using gallium as the catalyst. This study investigates the structure and the size of the grown silicon nano-structure as functions of growth temperature and catalyst layer thickness. Moreover, the choice of the growth temperature determines the thickness of the catalyst layer to be used.The electrical and optical characteristics of the nano-wires were tested by incorporating them in photovoltaic solar cells, two terminal bistable memory devices and Schottky diode. With further optimisation of the growth parameters, SiNWs, grown by our method, have promising future for incorporation into high performance electronic and optical devices.

  7. Preparation of smooth, flexible and stable silver nanowires- polyurethane composite transparent conductive films by transfer method

    NASA Astrophysics Data System (ADS)

    Bai, Shengchi; Wang, Haifeng; Yang, Hui; Zhang, He; Guo, Xingzhong

    2018-02-01

    Silver nanowires (AgNWs)-polyurethane (PU) composite transparent conductive films were fabricated via transfer method using AgNWs conductive inks and polyurethane as starting materials, and the effects of post-treatments including heat treatment, NaCl solution bath and HCl solution bath for AgNWs film on the sheet resistance and transmittance of the composite films were respectively investigated in detail. AgNWs networks are uniformly embedded in the PU layer to improve the adhesion and reduce the surface roughness of AgNWs-PU composite films. Heat treatment can melt and weld the nanowires, and NaCl and HCl solution baths promote the dissolution and re-deposition of silver and the dissolving of the polymer, both which form conduction pathways and improve contact of AgNWs for reducing the sheet resistance. Smooth and flexible AgNWs-PU composite film with a transmittance of 85% and a sheet resistance of 15 Ω · sq‑1 is obtained after treated in 0.5 wt% HCl solution bath for 60 s, and the optoelectronic properties of the resultant composite film can maintain after 1000 cycles of bending and 100 days.

  8. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  9. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  10. Scalable alignment of CdS nanowires based on efficient roll-on transfer technique.

    PubMed

    Yan, Shancheng; Shi, Yi; Xiao, Zhongdang; Wang, Junzhuan; Hu, Dong; Xul, Xin; Lu, Tao; Liu, Aili; Gao, Fan

    2013-06-01

    A roll-on transfer strategy is developed to enable large-scale and uniform assembly of CdS nanowires on various rigid and flexible substrate materials. In this method, the CdS nanowires were synthesized by the hydrothermal method. The dispersed CdS NWs could be firstly aligned and selectively deposited at the micro/nanochannels between aligned nanofibers on the surface of the donor roller as a result of evaporation-induced flow and capillary action, and then the directional and aligned transfer of the CdS NWs from the donor roller to a receiver substrate via roll-on transfer technique. Finally, a device structures consisting of the nanowire channel and two metal electrodes was fabricated. The electrical property of this device was observed.

  11. Simple synthetic route to manganese-containing nanowires with the spinel crystal structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Lei; Zhang, Yan; Hudak, Bethany M.

    This report describes a new route to synthesize single-crystalline manganese-containing spinel nanowires (NWs) by a two-step hydrothermal and solid-state synthesis. Interestingly, a nanowire or nanorod morphology is maintained during conversion from MnO{sub 2}/MnOOH to CuMn{sub 2}O{sub 4}/Mg{sub 2}MnO{sub 4}, despite the massive structural rearrangement this must involve. Linear sweep voltammetry (LSV) curves of the products give preliminary demonstration that CuMn{sub 2}O{sub 4} NWs are catalytically active towards the oxygen evolution reaction (OER) in alkaline solution, exhibiting five times the magnitude of current density found with pure carbon black. - Highlights: • Synthesis of single-crystalline manganese-containing spinel nanowires. • Binary oxidemore » nanowire converted to ternary oxide wire through solid state reaction. • Approach to structure conversion with shape retention could be generally applicable. • Copper and Manganese display multiple oxidation states with potential for catalysis. • CuMn{sub 2}O{sub 4} nanowires show promise as catalysts for the oxygen evolution reaction.« less

  12. The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy

    NASA Astrophysics Data System (ADS)

    Liu, Ziyang; Merckling, Clement; Rooyackers, Rita; Franquet, Alexis; Richard, Olivier; Bender, Hugo; Vila, María; Rubio-Zuazo, Juan; Castro, Germán R.; Collaert, Nadine; Thean, Aaron; Vandervorst, Wilfried; Heyns, Marc

    2018-04-01

    Vertical InAs nanowires (NWs) grown on a Si substrate are promising building-blocks for next generation vertical gate-all-around transistor fabrication. We investigate the initial stage of InAs NW selective area epitaxy (SAE) on a patterned Si (111) substrate with a focus on the interfacial structures. The direct epitaxy of InAs NWs on a clean Si (111) surface is found to be challenging. The yield of vertical InAs NWs is low, as the SAE is accompanied by high proportions of empty holes, inclined NWs, and irregular blocks. In contrast, it is improved when the NW contains gallium, and the yield of vertical InxGa1-xAs NWs increased with higher Ga content. Meanwhile, unintentional Ga surface contamination on a patterned Si substrate induces high yield vertical InAs NW SAE, which is attributed to a GaAs-like seeding layer formed at the InAs/Si interface. The role of Ga played in the III-V NW nucleation on Si is further discussed. It stabilizes the B-polarity on a non-polar Si (111) surface and enhances the nucleation. Therefore, gallium incorporation on a Si surface is identified as an important enabler for vertical InAs NW growth. A new method for high yield (>99%) vertical InAs NW SAE on Si using an InGaAs nucleation layer is proposed based on this study.

  13. Inactivation, Clearance, and Functional Effects of Lung-Instilled Short and Long Silver Nanowires in Rats

    PubMed Central

    2017-01-01

    There is a potential for silver nanowires (AgNWs) to be inhaled, but there is little information on their health effects and their chemical transformation inside the lungs in vivo. We studied the effects of short (S-AgNWs; 1.5 μm) and long (L-AgNWs; 10 μm) nanowires instilled into the lungs of Sprague–Dawley rats. S- and L-AgNWs were phagocytosed and degraded by macrophages; there was no frustrated phagocytosis. Interestingly, both AgNWs were internalized in alveolar epithelial cells, with precipitation of Ag2S on their surface as secondary Ag2S nanoparticles. Quantitative serial block face three-dimensional scanning electron microscopy showed a small, but significant, reduction of NW lengths inside alveolar epithelial cells. AgNWs were also present in the lung subpleural space where L-AgNWs exposure resulted in more Ag+ve macrophages situated within the pleura and subpleural alveoli, compared with the S-AgNWs exposure. For both AgNWs, there was lung inflammation at day 1, disappearing by day 21, but in bronchoalveolar lavage fluid (BALF), L-AgNWs caused a delayed neutrophilic and macrophagic inflammation, while S-AgNWs caused only acute transient neutrophilia. Surfactant protein D (SP-D) levels in BALF increased after S- and L-AgNWs exposure at day 7. L-AgNWs induced MIP-1α and S-AgNWs induced IL-18 at day 1. Large airway bronchial responsiveness to acetylcholine increased following L-AgNWs, but not S-AgNWs, exposure. The attenuated response to AgNW instillation may be due to silver inactivation after precipitation of Ag2S with limited dissolution. Our findings have important consequences for the safety of silver-based technologies to human health. PMID:28221763

  14. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    NASA Astrophysics Data System (ADS)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  15. Correlation between friction and thickness of vanadium-pentoxide nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Taekyeong

    2015-11-01

    We investigated the correlation between friction and thickness of vanadium-pentoxide nanowires (V2O5 NWs) by using friction/atomic force microscopy (FFM/AFM). We observed that the friction signal generally increased with thickness in the FFM/AFM image of the V2O5 NWs. We constructed a two-dimensional (2D) correlation distribution of the frictional force and the thickness of the V2O5 NWs and found that they are strongly correlated; i.e., thicker NWs had higher friction. We also generated a histogram for the correlation factors obtained from each distribution and found that the most probable factor is ~0.45. Furthermore, we found that the adhesion force between the tip and the V2O5 NWs was about -3 nN, and that the friction increased with increasing applied load for different thicknesses of V2O5 NWs. Our results provide an understanding of tribological and nanomechanical studies of various one-dimensional NWs for future fundamental research.

  16. Spatial buckling analysis of current-carrying nanowires in the presence of a longitudinal magnetic field accounting for both surface and nonlocal effects

    NASA Astrophysics Data System (ADS)

    Foroutan, Shahin; Haghshenas, Amin; Hashemian, Mohammad; Eftekhari, S. Ali; Toghraie, Davood

    2018-03-01

    In this paper, three-dimensional buckling behavior of nanowires was investigated based on Eringen's Nonlocal Elasticity Theory. The electric current-carrying nanowires were affected by a longitudinal magnetic field based upon the Lorentz force. The nanowires (NWs) were modeled based on Timoshenko beam theory and the Gurtin-Murdoch's surface elasticity theory. Generalized Differential Quadrature (GDQ) method was used to solve the governing equations of the NWs. Two sets of boundary conditions namely simple-simple and clamped-clamped were applied and the obtained results were discussed. Results demonstrated the effect of electric current, magnetic field, small-scale parameter, slenderness ratio, and nanowires diameter on the critical compressive buckling load of nanowires. As a key result, increasing the small-scale parameter decreased the critical load. By the same token, increasing the electric current, magnetic field, and slenderness ratio resulted in a decrease in the critical load. As the slenderness ratio increased, the effect of nonlocal theory decreased. In contrast, by expanding the NWs diameter, the nonlocal effect increased. Moreover, in the present article, the critical values of the magnetic field of strength and slenderness ratio were revealed, and the roles of the magnetic field, slenderness ratio, and NWs diameter on higher buckling loads were discussed.

  17. Visible electroluminescence from a ZnO nanowires/p-GaN heterojunction light emitting diode.

    PubMed

    Baratto, C; Kumar, R; Comini, E; Faglia, G; Sberveglieri, G

    2015-07-27

    In the current paper we apply catalyst assisted vapour phase growth technique to grow ZnO nanowires (ZnO nws) on p-GaN thin film obtaining EL emission in reverse bias regime. ZnO based LED represents a promising alternative to III-nitride LEDs, as in free devices: the potential is in near-UV emission and visible emission. For ZnO, the use of nanowires ensures good crystallinity of the ZnO, and improved light extraction from the interface when the nanowires are vertically aligned. We prepared ZnO nanowires in a tubular furnace on GaN templates and characterized the p-n ZnO nws/GaN heterojunction for LED applications. SEM microscopy was used to study the growth of nanowires and device preparation. Photoluminescence (PL) and Electroluminescence (EL) spectroscopies were used to characterize the heterojunction, showing that good quality of PL emission is observed from nanowires and visible emission from the junction can be obtained from the region near ZnO contact, starting from onset bias of 6V.

  18. In situ study on surface roughening in radiation-resistant Ag nanowires

    NASA Astrophysics Data System (ADS)

    Shang, Z.; Li, Jin; Fan, C.; Chen, Y.; Li, Q.; Wang, H.; Shen, T. D.; Zhang, X.

    2018-05-01

    Metallic materials subjected to heavy ion irradiation experience significant radiation damage. Free surface is a type of effective defect sinks to improve the radiation resistance in metallic materials. However, the radiation resistance of metallic nanowires (NWs) is largely unknown. Here we show, via in situ Kr ion irradiations in a transmission electron microscope, Ag NWs exhibited much better radiation resistance than coarse-grained Ag. Irradiation-induced prominent surface roughening in Ag NWs provides direct evidence for interaction between defect clusters and free surface. Diameter dependent variation of the surface roughness in irradiated Ag NWs has also been observed. This study provides insight on mechanisms of enhanced radiation resistance via free surfaces in metallic NWs.

  19. In situ study on surface roughening in radiation-resistant Ag nanowires.

    PubMed

    Shang, Z; Li, Jin; Fan, C; Chen, Y; Li, Q; Wang, H; Shen, T D; Zhang, X

    2018-05-25

    Metallic materials subjected to heavy ion irradiation experience significant radiation damage. Free surface is a type of effective defect sinks to improve the radiation resistance in metallic materials. However, the radiation resistance of metallic nanowires (NWs) is largely unknown. Here we show, via in situ Kr ion irradiations in a transmission electron microscope, Ag NWs exhibited much better radiation resistance than coarse-grained Ag. Irradiation-induced prominent surface roughening in Ag NWs provides direct evidence for interaction between defect clusters and free surface. Diameter dependent variation of the surface roughness in irradiated Ag NWs has also been observed. This study provides insight on mechanisms of enhanced radiation resistance via free surfaces in metallic NWs.

  20. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  1. Sn-doped β-Ga2O3 nanowires deposited by radio frequency powder sputtering

    NASA Astrophysics Data System (ADS)

    Lee, Su Yong; Kang, Hyon Chol

    2018-01-01

    We report the synthesis and characterization of Sn-doped β-Ga2O3 nanowires (NWs) deposited using radio frequency powder sputtering. The growth sequence of Sn-doped β-Ga2O3 NWs is similar to that of the undoped β-Ga2O3 NWs. Self-assembled Ga clusters act as seeds for initiating the growth of Sn-doped β-Ga2O3 NWs through a vapor-liquid-solid process, while Sn atoms are incorporated into the trunk of NWs uniformly. Different from the straight shape of undoped NWs, the conical shape of NWs is observed, which is attributed to the change in supersaturation conditions and the diffusion of the catalyst tip and reaction species.

  2. Selective area growth of InAs nanowires from SiO2/Si(1 1 1) templates direct-written by focused helium ion beam technology

    NASA Astrophysics Data System (ADS)

    Yang, Che-Wei; Chen, Wei-Chieh; Chou, Chieh; Lin, Hao-Hsiung

    2018-02-01

    We report on the selective area growth of InAs nanowires on patterned SiO2/Si (1 1 1) nano-holes, prepared by focused helium ion beam technology. We used a single spot mode, in which the focused helium ion beam was fixed on a single point with a He+-ion dosage, ranging from 1.5 pC to 8 pC, to drill the nano-holes. The smallest hole diameter achieved is ∼8 nm. We found that low He+-ion dosage is able to facilitate the nucleation of (1 1 1)B InAs on the highly mismatched Si, leading to the vertical growth of InAs nanowires (NWs). High He-ion dosage, on the contrary, severely damaged Si surface, resulting in tilted and stripe-like NWs. In addition to titled NW grown from (1 1 1)A InAs domain, a new titled growth direction due to defect induced twinning was observed. Cross-sectional TEM images of vertical NWs show mixed wurtizite (WZ) and zincblende (ZB) phases, while WZ phase dominants. The stacking faults resulting from the phase change is proportional to NW diameter, suggesting that the critical diameter of phase turning is larger than 110 nm, the maximum diameter of our NWs. Period of misfit dislocation at the InAs/Si interface of vertical NW is also found larger than the theoretical value when the diameter of heterointerface is smaller than 50 nm, indicating that the small contact area is able to accommodate the large lattice and thermal mismatch between InAs and Si.

  3. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  4. High Efficient THz Emission From Unbiased and Biased Semiconductor Nanowires Fabricated Using Electron Beam Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balci, Soner; Czaplewski, David A.; Jung, Il Woong

    Besides having perfect control on structural features, such as vertical alignment and uniform distribution by fabricating the wires via e-beam lithography and etching process, we also investigated the THz emission from these fabricated nanowires when they are applied DC bias voltage. To be able to apply a voltage bias, an interdigitated gold (Au) electrode was patterned on the high-quality InGaAs epilayer grown on InP substrate bymolecular beam epitaxy. Afterwards, perfect vertically aligned and uniformly distributed nanowires were fabricated in between the electrodes of this interdigitated pattern so that we could apply voltage bias to improve the THz emission. As amore » result, we achieved enhancement in the emitted THz radiation by ~four times, about 12 dB increase in power ratio at 0.25 THz with a DC biased electric field compared with unbiased NWs.« less

  5. Nanocomposites with increased energy density through high aspect ratio PZT nanowires.

    PubMed

    Tang, Haixiong; Lin, Yirong; Andrews, Clark; Sodano, Henry A

    2011-01-07

    High energy storage plays an important role in the modern electric industry. Herein, we investigated the role of filler aspect ratio in nanocomposites for energy storage. Nanocomposites were synthesized using lead zirconate titanate (PZT) with two different aspect ratio (nanowires, nanorods) fillers at various volume fractions dispersed in a polyvinylidene fluoride (PVDF) matrix. The permittivity constants of composites containing nanowires (NWs) were higher than those with nanorods (NRs) at the same inclusion volume fraction. It was also indicated that the high frequency loss tangent of samples with PZT nanowires was smaller than for those with nanorods, demonstrating the high electrical energy storage efficiency of the PZT NW nanocomposite. The high aspect ratio PZT NWs showed a 77.8% increase in energy density over the lower aspect ratio PZT NRs, under an electric field of 15 kV mm(-1) and 50% volume fraction. The breakdown strength was found to decrease with the increasing volume fraction of PZT NWs, but to only change slightly from a volume fraction of around 20%-50%. The maximum calculated energy density of nanocomposites is as high as 1.158 J cm(-3) at 50% PZT NWs in PVDF. Since the breakdown strength is lower compared to a PVDF copolymer such as poly(vinylidene fluoride-tertrifluoroethylene-terchlorotrifluoroethylene) P(VDF-TreEE-CTFE) and poly(vinylidene fluoride-co-hexafluoropropylene) P(VDF-HFP), the energy density of the nanocomposite could be significantly increased through the use of PZT NWs and a polymer with greater breakdown strength. These results indicate that higher aspect ratio fillers show promising potential to improve the energy density of nanocomposites, leading to the development of advanced capacitors with high energy density.

  6. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    PubMed

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  7. Boron doped graphene wrapped silver nanowires as an efficient electrocatalyst for molecular oxygen reduction

    NASA Astrophysics Data System (ADS)

    Nair, Anju K.; Thazhe Veettil, Vineesh; Kalarikkal, Nandakumar; Thomas, Sabu; Kala, M. S.; Sahajwalla, Veena; Joshi, Rakesh K.; Alwarappan, Subbiah

    2016-12-01

    Metal nanowires exhibit unusually high catalytic activity towards oxygen reduction reaction (ORR) due to their inherent electronic structures. However, controllable synthesis of stable nanowires still remains as a daunting challenge. Herein, we report the in situ synthesis of silver nanowires (AgNWs) over boron doped graphene sheets (BG) and demonstrated its efficient electrocatalytic activity towards ORR for the first time. The electrocatalytic ORR efficacy of BG-AgNW is studied using various voltammetric techniques. The BG wrapped AgNWs shows excellent ORR activity, with very high onset potential and current density and it followed four electron transfer mechanism with high methanol tolerance and stability towards ORR. The results are comparable to the commercially available 20% Pt/C in terms of performance.

  8. Boron doped graphene wrapped silver nanowires as an efficient electrocatalyst for molecular oxygen reduction

    PubMed Central

    Nair, Anju K.; Thazhe veettil, Vineesh; Kalarikkal, Nandakumar; Thomas, Sabu; Kala, M. S.; Sahajwalla, Veena; Joshi, Rakesh K.; Alwarappan, Subbiah

    2016-01-01

    Metal nanowires exhibit unusually high catalytic activity towards oxygen reduction reaction (ORR) due to their inherent electronic structures. However, controllable synthesis of stable nanowires still remains as a daunting challenge. Herein, we report the in situ synthesis of silver nanowires (AgNWs) over boron doped graphene sheets (BG) and demonstrated its efficient electrocatalytic activity towards ORR for the first time. The electrocatalytic ORR efficacy of BG-AgNW is studied using various voltammetric techniques. The BG wrapped AgNWs shows excellent ORR activity, with very high onset potential and current density and it followed four electron transfer mechanism with high methanol tolerance and stability towards ORR. The results are comparable to the commercially available 20% Pt/C in terms of performance. PMID:27941954

  9. Rational growth of branched nanowire heterostructures with synthetically encoded properties and function

    PubMed Central

    Jiang, Xiaocheng; Tian, Bozhi; Xiang, Jie; Qian, Fang; Zheng, Gengfeng; Wang, Hongtao; Mai, Liqiang; Lieber, Charles M.

    2011-01-01

    Branched nanostructures represent unique, 3D building blocks for the “bottom-up” paradigm of nanoscale science and technology. Here, we report a rational, multistep approach toward the general synthesis of 3D branched nanowire (NW) heterostructures. Single-crystalline semiconductor, including groups IV, III–V, and II–VI, and metal branches have been selectively grown on core or core/shell NW backbones, with the composition, morphology, and doping of core (core/shell) NWs and branch NWs well controlled during synthesis. Measurements made on the different composition branched NW structures demonstrate encoding of functional p-type/n-type diodes and light-emitting diodes (LEDs) as well as field effect transistors with device function localized at the branch/backbone NW junctions. In addition, multibranch/backbone NW structures were synthesized and used to demonstrate capability to create addressable nanoscale LED arrays, logic circuits, and biological sensors. Our work demonstrates a previously undescribed level of structural and functional complexity in NW materials, and more generally, highlights the potential of bottom-up synthesis to yield increasingly complex functional systems in the future. PMID:21730174

  10. Alignment nature of ZnO nanowires grown on polished and nanoscale etched lithium niobate surface through self-seeding thermal evaporation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanan, Ajay Achath; Parthiban, R.; Ramakrishnan, N., E-mail: ramakrishnan@monash.edu

    Highlights: • ZnO nanowires were grown directly on LiNbO{sub 3} surface for the first time by thermal evaporation. • Self-alignment of the nanowires due to step bunching of LiNbO{sub 3} surface is observed. • Increased roughness in surface defects promoted well-aligned growth of nanowires. • Well-aligned growth was then replicated in 50 nm deep trenches on the surface. • Study opens novel pathway for patterned growth of ZnO nanowires on LiNbO{sub 3} surface. - Abstract: High aspect ratio catalyst-free ZnO nanowires were directly synthesized on lithium niobate substrate for the first time through thermal evaporation method without the use ofmore » a buffer layer or the conventional pre-deposited ZnO seed layer. As-grown ZnO nanowires exhibited a crisscross aligned growth pattern due to step bunching of the polished lithium niobate surface during the nanowire growth process. On the contrary, scratches on the surface and edges of the substrate produced well-aligned ZnO nanowires in these defect regions due to high surface roughness. Thus, the crisscross aligned nature of high aspect ratio nanowire growth on the lithium niobate surface can be changed to well-aligned growth through controlled etching of the surface, which is further verified through reactive-ion etching of lithium niobate. The investigations and discussion in the present work will provide novel pathway for self-seeded patterned growth of well-aligned ZnO nanowires on lithium niobate based micro devices.« less

  11. Method for Synthesizing Metal Nanowires in Anodic Alumina Membranes Using Solid State Reduction

    NASA Technical Reports Server (NTRS)

    Martinez-Inesta, Maria M (Inventor); Feliciano, Jennie (Inventor); Quinones-Fontalvo, Leonel (Inventor)

    2016-01-01

    The invention proposes a novel method for the fabrication of regular arrays of MNWs using solid-state reduction (SSR). Using this method copper (Cu), silver (Ag), and palladium (Pd) nanowire (NWs) arrays were synthesized using anodic alumina membranes (AAMs) as templates. Depending on the metal loading used the NWs reached different diameters.

  12. Growth of low temperature silicon nano-structures for electronic and electrical energy generation applications

    PubMed Central

    2013-01-01

    This paper represents the lowest growth temperature for silicon nano-wires (SiNWs) via a vapour-liquid–solid method, which has ever been reported in the literature. The nano-wires were grown using plasma-enhanced chemical vapour deposition technique at temperatures as low as 150°C using gallium as the catalyst. This study investigates the structure and the size of the grown silicon nano-structure as functions of growth temperature and catalyst layer thickness. Moreover, the choice of the growth temperature determines the thickness of the catalyst layer to be used. The electrical and optical characteristics of the nano-wires were tested by incorporating them in photovoltaic solar cells, two terminal bistable memory devices and Schottky diode. With further optimisation of the growth parameters, SiNWs, grown by our method, have promising future for incorporation into high performance electronic and optical devices. PMID:23413969

  13. Titanium dioxide@polypyrrole core-shell nanowires for all solid-state flexible supercapacitors.

    PubMed

    Yu, Minghao; Zeng, Yinxiang; Zhang, Chong; Lu, Xihong; Zeng, Chenghui; Yao, Chenzhong; Yang, Yangyi; Tong, Yexiang

    2013-11-21

    Herein, we developed a facile two-step process to synthesize TiO2@PPy core-shell nanowires (NWs) on carbon cloth and reported their improved electrochemical performance for flexible supercapacitors (SCs). The fabricated solid-state SC device based on TiO2@PPy core-shell NWs not only has excellent flexibility, but also exhibits remarkable electrochemical performance.

  14. Segmented nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2013-03-05

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  15. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  16. Spin wave filtering and guiding in Permalloy/iron nanowires

    NASA Astrophysics Data System (ADS)

    Silvani, R.; Kostylev, M.; Adeyeye, A. O.; Gubbiotti, G.

    2018-03-01

    We have investigated the spin wave filtering and guiding properties of periodic array of single (Permalloy and Fe) and bi-layer (Py/Fe) nanowires (NWs) by means of Brillouin light scattering measurements and micromagnetic simulations. For all the nanowire arrays, the thickness of the layers is 10 nm while all NWs have the same width of 340 nm and edge-to-edge separation of 100 nm. Spin wave dispersion has been measured in the Damon-Eshbach configuration for wave vector either parallel or perpendicular to the nanowire length. This study reveals the filtering property of the spin waves when the wave vector is perpendicular to the NW length, with frequency ranges where the spin wave propagation is permitted separated by frequency band gaps, and the guiding property of NW when the wave vector is oriented parallel to the NW, with spin wave modes propagating in parallel channels in the central and edge regions of the NW. The measured dispersions were well reproduced by micromagnetic simulations, which also deliver the spatial profiles for the modes at zero wave vector. To reproduce the dispersion of the modes localized close to the NW edges, uniaxial anisotropy has been introduced. In the case of Permalloy/iron NWs, the obtained results have been compared with those for a 20 nm thick effective NW having average magnetic properties of the two materials.

  17. Activation of an intense near band edge emission from ZnTe/ZnMgTe core/shell nanowires grown on silicon.

    PubMed

    Wojnar, P; Szymura, M; Zaleszczyk, W; Kłopotowski, L; Janik, E; Wiater, M; Baczewski, L T; Kret, S; Karczewski, G; Kossut, J; Wojtowicz, T

    2013-09-13

    The absence of luminescence in the near band edge energy region of Te-anion based semiconductor nanowires grown by gold catalyst assisted molecular beam epitaxy has strongly limited their applications in the field of photonics. In this paper, an enhancement of the near band edge emission intensity from ZnTe/ZnMgTe core/shell nanowires grown on Si substrates is reported. A special role of the use of Si substrates instead of GaAs substrates is emphasized, which results in an increase of the near band edge emission intensity by at least one order of magnitude accompanied by a simultaneous reduction of the defect related luminescence. A possible explanation of this effect relies on the presence of Ga-related deep level defects in structures grown on GaAs substrates, which are absent when Si substrates are used. Monochromatic mapping of the cathodoluminescence clearly confirms that the observed emission originates, indeed, from the ZnTe/ZnMgTe core/shell nanowires, whereas individual objects are studied by means of microphotoluminescence.

  18. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  19. Synthesis of monoclinic potassium niobate nanowires that are stable at room temperature.

    PubMed

    Kim, Seungwook; Lee, Ju-Hyuck; Lee, Jaeyeon; Kim, Sang-Woo; Kim, Myung Hwa; Park, Sungnam; Chung, Haegeun; Kim, Yong-Il; Kim, Woong

    2013-01-09

    We report the synthesis of KNbO(3) nanowires (NWs) with a monoclinic phase, a phase not observed in bulk KNbO(3) materials. The monoclinic NWs can be synthesized via a hydrothermal method using metallic Nb as a precursor. The NWs are metastable, and thermal treatment at ∼450 °C changed the monoclinic phase into the orthorhombic phase, which is the most stable phase of KNbO(3) at room temperature. Furthermore, we fabricated energy-harvesting nanogenerators by vertically aligning the NWs on SrTiO(3) substrates. The monoclinic NWs showed significantly better energy conversion characteristics than orthorhombic NWs. Moreover, the frequency-doubling efficiency of the monoclinic NWs was ∼3 times higher than that of orthorhombic NWs. This work may contribute to the synthesis of materials with new crystalline structures and hence improve the properties of the materials for various applications.

  20. Direct Electrical Probing of Periodic Modulation of Zinc-Dopant Distributions in Planar Gallium Arsenide Nanowires.

    PubMed

    Choi, Wonsik; Seabron, Eric; Mohseni, Parsian K; Kim, Jeong Dong; Gokus, Tobias; Cernescu, Adrian; Pochet, Pascal; Johnson, Harley T; Wilson, William L; Li, Xiuling

    2017-02-28

    Selective lateral epitaxial (SLE) semiconductor nanowires (NWs), with their perfect in-plane epitaxial alignment, ability to form lateral complex p-n junctions in situ, and compatibility with planar processing, are a distinctive platform for next-generation device development. However, the incorporation and distribution of impurity dopants in these planar NWs via the vapor-liquid-solid growth mechanism remain relatively unexplored. Here, we present a detailed study of SLE planar GaAs NWs containing multiple alternating axial segments doped with Si and Zn impurities by metalorganic chemical vapor deposition. The dopant profile of the lateral multi-p-n junction GaAs NWs was imaged simultaneously with nanowire topography using scanning microwave impedance microscopy and correlated with infrared scattering-type near-field optical microscopy. Our results provide unambiguous evidence that Zn dopants in the periodically twinned and topologically corrugated p-type segments are preferentially segregated at twin plane boundaries, while Si impurity atoms are uniformly distributed within the n-type segments of the NWs. These results are further supported by microwave impedance modulation microscopy. The density functional theory based modeling shows that the presence of Zn dopant atoms reduces the formation energy of these twin planes, and the effect becomes significantly stronger with a slight increase of Zn concentration. This implies that the twin formation is expected to appear when a threshold planar concentration of Zn is achieved, making the onset and twin periodicity dependent on both Zn concentration and nanowire diameter, in perfect agreement with our experimental observations.

  1. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  2. Low temperature preparation of Ag-doped ZnO nanowire arrays for sensor and light-emitting diode applications

    NASA Astrophysics Data System (ADS)

    Lupan, O.; Viana, B.; Cretu, V.; Postica, V.; Adelung, R.; Pauporté, T.

    2016-02-01

    Transition metal doped-oxide semiconductor nanostructures are important to achieve enhanced and new properties for advanced applications. We describe the low temperature preparation of ZnO:Ag nanowire/nanorod (NW/NR) arrays by electrodeposition at 90 °C. The NWs have been characterized by SEM, EDX, transmittance and photoluminescence (PL) measurements. The integration of Ag in the crystal is shown. Single nanowire/nanorod of ZnO:Ag was integrated in a nanosensor structure leading to new and enhanced properties. The ultraviolet (UV) response of the nanosensor was investigated at room temperature. Experimental results indicate that ZnO:Ag (0.75 μM) nanosensor possesses faster response/recovery time and better response to UV light than those reported in literature. The sensor structure has been also shown to give a fast response for the hydrogen detection with improved performances compared to pristine ZnO NWs. ZnO:Ag nanowire/nanorod arrays electrochemically grown on p-type GaN single crystal layer is also shown to act as light emitter in LED structures. The emission wavelength is red-shifted compared to pristine ZnO NW array. At low Ag concentration a single UV-blue emission is found whereas at higher concentration of dopant the emission is broadened and extends up to the red wavelength range. Our study indicates that high quality ZnO:Ag NW/NR prepared at low temperature by electrodeposition can serve as building nanomaterials for new sensors and light emitting diodes (LEDs) structures with low-power consumption.

  3. Epitaxial-Growth-Induced Junction Welding of Silver Nanowire Network Electrodes.

    PubMed

    Kang, Hyungseok; Song, Sol-Ji; Sul, Young Eun; An, Byeong-Seon; Yin, Zhenxing; Choi, Yongsuk; Pu, Lyongsun; Yang, Cheol-Woong; Kim, Youn Sang; Cho, Sung Min; Kim, Jung-Gu; Cho, Jeong Ho

    2018-05-22

    In this study, we developed a roll-to-roll Ag electroplating process for metallic nanowire electrodes using a galvanostatic mode. Electroplating is a low-cost and facile method for deposition of metal onto a target surface with precise control of both the composition and the thickness. Metallic nanowire networks [silver nanowires (AgNWs) and copper nanowires (CuNWs)] coated onto a polyethylene terephthalate (PET) film were immersed directly in an electroplating bath containing AgNO 3 . Solvated silver ions (Ag + ions) were deposited onto the nanowire surface through application of a constant current via an external circuit between the nanowire networks (cathode) and a Ag plate (anode). The amount of electroplated Ag was systematically controlled by changing both the applied current density and the electroplating time, which enabled precise control of the sheet resistance and optical transmittance of the metallic nanowire networks. The optimized Ag-electroplated AgNW (Ag-AgNW) films exhibited a sheet resistance of ∼19 Ω/sq at an optical transmittance of 90% (550 nm). A transmission electron microscopy study confirmed that Ag grew epitaxially on the AgNW surface, but a polycrystalline Ag structure was formed on the CuNW surface. The Ag-electroplated metallic nanowire electrodes were successfully applied to various electronic devices such as organic light-emitting diodes, triboelectric nanogenerators, and a resistive touch panel. The proposed roll-to-roll Ag electroplating process provides a simple, low-cost, and scalable method for the fabrication of enhanced transparent conductive electrode materials for next-generation electronic devices.

  4. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy

    NASA Astrophysics Data System (ADS)

    Halder, Nripendra N.; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-01

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  5. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy.

    PubMed

    Halder, Nripendra N; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-17

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  6. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  7. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  8. Double heterojunction nanowire photocatalysts for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Tongying, P.; Vietmeyer, F.; Aleksiuk, D.; Ferraudi, G. J.; Krylova, G.; Kuno, M.

    2014-03-01

    Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core/shell nanowires and their Pt nanoparticle-decorated counterparts. Femtosecond transient differential absorption measurements reveal how semiconductor/semiconductor and metal/semiconductor heterojunctions affect the charge separation and hydrogen generation efficiencies of these hybrid photocatalysts. In turn, we unravel the role of surface passivation, charge separation at semiconductor interfaces and charge transfer to metal co-catalysts in determining photocatalytic H2 generation efficiencies. This allows us to rationalize why Pt nanoparticle decorated CdSe/CdS NWs, a double heterojunction system, performs best with H2 generation rates of ~434.29 +/- 27.40 μmol h-1 g-1 under UV/Visible irradiation. In particular, we conclude that the CdS shell of this double heterojunction system serves two purposes. The first is to passivate CdSe NW surface defects, leading to long-lived charges at the CdSe/CdS interface capable of carrying out reduction chemistries. Upon photoexcitation, we also find that CdS selectively injects charges into Pt NPs, enabling simultaneous reduction chemistries at the Pt NP/solvent interface. Pt nanoparticle decorated CdSe/CdS NWs thus enable reduction chemistries at not one, but rather two interfaces, taking advantage of each junction's optimal catalytic activities.Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core

  9. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  10. Controlling Kink Geometry in Nanowires Fabricated by Alternating Metal-Assisted Chemical Etching.

    PubMed

    Chen, Yun; Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; Wong, Ching-Ping

    2017-02-08

    Kinked silicon (Si) nanowires (NWs) have many special properties that make them attractive for a number of applications, such as microfluidics devices, microelectronic devices, and biosensors. However, fabricating NWs with controlled three-dimensional (3D) geometry has been challenging. In this work, a novel method called alternating metal-assisted chemical etching is reported for the fabrication of kinked Si NWs with controlled 3D geometry. By the use of multiple etchants with carefully selected composition, one can control the number of kinks, their locations, and their angles by controlling the number of etchant alternations and the time in each etchant. The resulting number of kinks equals the number times the etchant is alternated, the length of each segment separated by kinks has a linear relationship with the etching time, and the kinking angle is related to the surface tension and viscosity of the etchants. This facile method may provide a feasible and economical way to fabricate novel silicon nanowires, nanostructures, and devices for broad applications.

  11. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  12. Silica Nanowires: Growth, Integration, and Sensing Applications

    PubMed Central

    Kaushik, Ajeet; Kumar, Rajesh; Huey, Eric; Bhansali, Shekhar; Nair, Narayana; Nanir, Madhavan

    2014-01-01

    This review (with 129 refs.) gives an overview on how the integration of silica nanowires (NWs) into micro-scale devices has resulted, in recent years, in simple yet robust nano-instrumentation with improved performance in targeted application areas such as sensing. This has been achieved by the use of appropriate techniques such as di-electrophoresis and direct vapor-liquid-growth phenomena, to restrict the growth of NWs to site-specific locations. This also has eliminated the need for post-growth processing and enables nanostructures to be placed on pre-patterned substrates. Various kinds of NWs have been investigated to determine how their physical and chemical properties can be tuned for integration into sensing structures. NWs integrated onto interdigitated micro-electrodes have been applied to the determination of gases and biomarkers. The technique of directly growing NWs eliminates the need for their physical transfer and thus preserves their structure and performance, and further reduces the costs of fabrication. The biocompatibility of NWs also has been studied with respect to possible biological applications. This review addresses the challenges in growth and integration of NWs to understand related mechanism on biological contact or gas exposure and sensing performance for personalized health and environmental monitoring. PMID:25382871

  13. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  14. Comparing Hall Effect and Field Effect Measurements on the Same Single Nanowire.

    PubMed

    Hultin, Olof; Otnes, Gaute; Borgström, Magnus T; Björk, Mikael; Samuelson, Lars; Storm, Kristian

    2016-01-13

    We compare and discuss the two most commonly used electrical characterization techniques for nanowires (NWs). In a novel single-NW device, we combine Hall effect and back-gated and top-gated field effect measurements and quantify the carrier concentrations in a series of sulfur-doped InP NWs. The carrier concentrations from Hall effect and field effect measurements are found to correlate well when using the analysis methods described in this work. This shows that NWs can be accurately characterized with available electrical methods, an important result toward better understanding of semiconductor NW doping.

  15. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires

    PubMed Central

    2012-01-01

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265

  16. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.

    PubMed

    Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi

    2012-07-16

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.

  17. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  18. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  19. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  20. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  1. Copper Nanowires as Fully Transparent Conductive Electrodes

    PubMed Central

    Guo, Huizhang; Lin, Na; Chen, Yuanzhi; Wang, Zhenwei; Xie, Qingshui; Zheng, Tongchang; Gao, Na; Li, Shuping; Kang, Junyong; Cai, Duanjun; Peng, Dong-Liang

    2013-01-01

    In pondering of new promising transparent conductors to replace the cost rising tin-doped indium oxide (ITO), metal nanowires have been widely concerned. Herein, we demonstrate an approach for successful synthesis of long and fine Cu nanowires (NWs) through a novel catalytic scheme involving nickel ions. Such Cu NWs in high aspect ratio (diameter of 16.2 ± 2 nm and length up to 40 μm) provide long distance for electron transport and, meanwhile, large space for light transmission. Transparent electrodes fabricated using the Cu NW ink achieve a low sheet resistance of 1.4 Ohm/sq at 14% transmittance and a high transparency of 93.1% at 51.5 Ohm/sq. The flexibility and stability were tested with 100-timebending by 180°and no resistance change occurred. Ohmic contact was achieved to the p- and n-GaN on blue light emitting diode chip and bright electroluminescence from the front face confirmed the excellent transparency. PMID:23900572

  2. Tuning the nanotribological behaviors of single silver nanowire through various manipulations

    NASA Astrophysics Data System (ADS)

    Zeng, Xingzhong; Peng, Yitian; Lang, Haojie; Cao, Xing'an

    2018-05-01

    Nanotribological characteristics of silver nanowires (Ag NWs) are of great importance for the reliability of their applications where involving mechanical interactions. The frictional behaviors of the Ag NWs with different lengths on SiO2/Si substrate have been investigated directly by atomic force microscopy (AFM) nanomanipulation. The relatively short and long Ag NWs behave like the rigid rods and flexible beams, respectively, and the critical aspect ratio of NWs for the two cases is found to be about 20. The relatively short NWs demonstrates three forms of motion with different frictional behaviors. The friction of the relatively long NWs increases with the bend of the NWs. The long Ag NWs display extraordinary flexibility that can be folded to different shapes, and the folded NWs show a similar frictional behavior with the rigid rods. Different simplified mechanical models are established to match the frictional behaviors of the corresponding Ag NWs. The adhesion between the Ag NWs and substrate is calculated by an indirect method based on the van der Waals force equation to assess their adhesive attraction. These findings may provide insight into the frictional characteristics of Ag NWs and contribute to the quantitative interface design and control for their applications.

  3. Thermally Stable Silver Nanowires-Embedding Metal Oxide for Schottky Junction Solar Cells.

    PubMed

    Kim, Hong-Sik; Patel, Malkeshkumar; Park, Hyeong-Ho; Ray, Abhijit; Jeong, Chaehwan; Kim, Joondong

    2016-04-06

    Thermally stable silver nanowires (AgNWs)-embedding metal oxide was applied for Schottky junction solar cells without an intentional doping process in Si. A large scale (100 mm(2)) Schottky solar cell showed a power conversion efficiency of 6.1% under standard illumination, and 8.3% under diffused illumination conditions which is the highest efficiency for AgNWs-involved Schottky junction Si solar cells. Indium-tin-oxide (ITO)-capped AgNWs showed excellent thermal stability with no deformation at 500 °C. The top ITO layer grew in a cylindrical shape along the AgNWs, forming a teardrop shape. The design of ITO/AgNWs/ITO layers is optically beneficial because the AgNWs generate plasmonic photons, due to the AgNWs. Electrical investigations were performed by Mott-Schottky and impedance spectroscopy to reveal the formation of a single space charge region at the interface between Si and AgNWs-embedding ITO layer. We propose a route to design the thermally stable AgNWs for photoelectric device applications with investigation of the optical and electrical aspects.

  4. Predicting the optoelectronic properties of nanowire films based on control of length polydispersity

    NASA Astrophysics Data System (ADS)

    Large, Matthew J.; Burn, Jake; King, Alice A.; Ogilvie, Sean P.; Jurewicz, Izabela; Dalton, Alan B.

    2016-05-01

    We demonstrate that the optoelectronic properties of percolating thin films of silver nanowires (AgNWs) are predominantly dependent upon the length distribution of the constituent AgNWs. A generalized expression is derived to describe the dependence of both sheet resistance and optical transmission on this distribution. We experimentally validate the relationship using ultrasonication to controllably vary the length distribution. These results have major implications where nanowire-based films are a desirable material for transparent conductor applications; in particular when application-specific performance criteria must be met. It is of particular interest to have a simple method to generalize the properties of bulk films from an understanding of the base material, as this will speed up the optimisation process. It is anticipated that these results may aid in the adoption of nanowire films in industry, for applications such as touch sensors or photovoltaic electrode structures.

  5. One-Step Synthesis of Au-Ag Nanowires through Microorganism-Mediated, CTAB-Directed Approach.

    PubMed

    Xu, Luhang; Huang, Dengpo; Chen, Huimei; Jing, Xiaoling; Huang, Jiale; Odoom-Wubah, Tareque; Li, Qingbiao

    2018-05-28

    Synthesis and applications of one dimensional (1D) metal nanostructures have attracted much attention. However, one-step synthesis of bimetallic nanowires (NWs) has remained challenging. In this work, we developed a microorganism-mediated, hexadecyltrimethylammonium bromide (CTAB)-directed (MCD) approach to synthesize closely packed and long Au-Ag NWs with the assistance of a continuous injection pump. Characterization results confirmed that the branched Au-Ag alloy NWs was polycrystalline. And the Au-Ag NWs exhibited a strong absorbance at around 1950 nm in the near-infrared (NIR) region, which can find potential application in NIR absorption. In addition, the Au-Ag NWs showed excellent surface-enhanced Raman scattering (SERS) enhancement when 4-mercaptobenzoic acid (MBA) and rhodamine 6G (R6G) were used as probe molecules.

  6. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such asmore » ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be

  7. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  8. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates

    NASA Astrophysics Data System (ADS)

    Mahato, J. C.; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B. N.

    2017-10-01

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types—flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi2 and Si are A-type. In the ridged NWs CoSi2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  9. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  10. Fabrication and electrical characterization of silicon nanowires based resistors

    NASA Astrophysics Data System (ADS)

    Ni, L.; Demami, F.; Rogel, R.; Salaün, A. C.; Pichon, L.

    2009-11-01

    Silicon nanowires (SiNWs) are synthesized via the Vapor-Liquid-Solid (VLS) mechanism using gold (Au) as metal catalyst and silane (SiH4) as precursor gas. Au nanoparticles are employed as liquid droplets catalysis during the SiNWs growth performed in a hot wall LPCVD reactor at 480°C and 40 Pa. SiNWs local synthesis at micron scale is demonstrated using classical optical photolithography process. SiNWs grow with high density anchored at the dedicated catalyst islands. This resulting network is used to interconnect two heavily doped polysilicon interdigitated electrodes leading to the formation of electrical resistors in a coplanar structure. Current-voltage (I-V) characteristics highlight a symmetric shape. The temperature dependence of the electrical resistance is activated, with activation energy of 0.47 eV at temperatures greater than 300K.

  11. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  12. Vertically aligned CdSe nanowire arrays for energy harvesting and piezotronic devices.

    PubMed

    Zhou, Yu Sheng; Wang, Kai; Han, Weihua; Rai, Satish Chandra; Zhang, Yan; Ding, Yong; Pan, Caofeng; Zhang, Fang; Zhou, Weilie; Wang, Zhong Lin

    2012-07-24

    We demonstrated the energy harvesting potential and piezotronic effect in vertically aligned CdSe nanowire (NW) arrays for the first time. The CdSe NW arrays were grown on a mica substrate by the vapor-liquid-solid process using a CdSe thin film as seed layer and platinum as catalyst. High-resolution transmission electron microscopy image and selected area electron diffraction pattern indicate that the CdSe NWs have a wurtzite structure and growth direction along (0001). Using conductive atomic force microscopy (AFM), an average output voltage of 30.7 mV and maximum of 137 mV were obtained. To investigate the effect of strain on electron transport, the current-voltage characteristics of the NWs were studied by positioning an AFM tip on top of an individual NW. By applying normal force/stress on the NW, the Schottky barrier between the Pt and CdSe was found to be elevated due to the piezotronic effect. With the change of strain of 0.12%, a current decreased from 84 to 17 pA at 2 V bias. This paper shows that the vertical CdSe NW array is a potential candidate for future piezo-phototronic devices.

  13. Novel AgNWs-PAN/TPU membrane for point-of-use drinking water electrochemical disinfection.

    PubMed

    Tan, Xiaojun; Chen, Chao; Hu, Yongyou; Wen, Junjie; Qin, Yanzhe; Cheng, Jianhua; Chen, Yuancai

    2018-10-01

    The safety of drinking water remains a major challenge in developing countries and point-of-use (POU) drinking water treatment device plays an important role in decentralised drinking water safety. In this study, a novel material, i.e. a silver nanowires-polyacrylonitrile/thermoplastic polyurethane (AgNWs-PAN/TPU) composite membrane, was fabricated via electrospinning and vacuum filtration deposition. Morphological and structural characterisation showed that the PAN/TPU fibres had uniform diameters and enhanced mechanical properties. When added to these fibres, the AgNWs formed a highly conductive network with good physical stability and low silver ion leaching (<100 ppb). A POU device equipped with a AgNWs-PAN/TPU membrane displayed complete removal of 10 5  CFU/mL bacteria, which were inactivated by silver ions released from the AgNWs within 6 h. Furthermore, under a voltage of 1.5 V, the bacteria were completely inactivated within 20-25 min. Inactivation efficiency in 5 mM NaCl solution was higher than those in Na 2 SO 4 and NaNO 3 solutions. We concluded that a strong electric field was formed at the AgNW tips. Additionally, silver ions and chlorine compounds worked synergistically in the disinfection process. This study provides a scientific basis for research and development of silver nanocomposite membranes, with high mechanical strength and high conductivity, for POU drinking water disinfection. Copyright © 2018 Elsevier B.V. All rights reserved.

  14. Stable and metastable nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2014-11-18

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  15. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  16. Platinum-ruthenium nanotubes and platinum-ruthenium coated copper nanowires as efficient catalysts for electro-oxidation of methanol

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Jie; Cullen, David A.; Forest, Robert V.

    2015-01-15

    The sluggish kinetics of methanol oxidation reaction (MOR) is a major barrier to the commercialization of direct methanol fuel cells (DMFCs). In this study, we report a facile synthesis of platinum–ruthenium nanotubes (PtRuNTs) and platinum–ruthenium-coated copper nanowires (PtRu/CuNWs) by galvanic displacement reaction using copper nanowires as a template. The PtRu compositional effect on MOR is investigated; the optimum Pt/Ru bulk atomic ratio is about 4 and surface atomic ratio about 1 for both PtRuNTs and PtRu/CuNWs. Enhanced specific MOR activities are observed on both PtRuNTs and PtRu/CuNWs compared with the benchmark commercial carbon-supported PtRu catalyst (PtRu/C, Hispec 12100). Finally, x-raymore » photoelectron spectroscopy (XPS) reveals a larger extent of electron transfer from Ru to Pt on PtRu/CuNWs, which may lead to a modification of the d-band center of Pt and consequently a weaker bonding of CO (the poisoning intermediate) on Pt and a higher MOR activity on PtRu/CuNWs.« less

  17. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  18. Preparation of Advanced CuO Nanowires/Functionalized Graphene Composite Anode Material for Lithium Ion Batteries.

    PubMed

    Zhang, Jin; Wang, Beibei; Zhou, Jiachen; Xia, Ruoyu; Chu, Yingli; Huang, Jia

    2017-01-17

    The copper oxide (CuO) nanowires/functionalized graphene (f-graphene) composite material was successfully composed by a one-pot synthesis method. The f-graphene synthesized through the Birch reduction chemistry method was modified with functional group "-(CH₂)₅COOH", and the CuO nanowires (NWs) were well dispersed in the f-graphene sheets. When used as anode materials in lithium-ion batteries, the composite exhibited good cyclic stability and decent specific capacity of 677 mA·h·g -1 after 50 cycles. CuO NWs can enhance the lithium-ion storage of the composites while the f-graphene effectively resists the volume expansion of the CuO NWs during the galvanostatic charge/discharge cyclic process, and provide a conductive paths for charge transportation. The good electrochemical performance of the synthesized CuO/f-graphene composite suggests great potential of the composite materials for lithium-ion batteries anodes.

  19. Parallel Nanoshaping of Brittle Semiconductor Nanowires for Strained Electronics.

    PubMed

    Hu, Yaowu; Li, Ji; Tian, Jifa; Xuan, Yi; Deng, Biwei; McNear, Kelly L; Lim, Daw Gen; Chen, Yong; Yang, Chen; Cheng, Gary J

    2016-12-14

    Semiconductor nanowires (SCNWs) provide a unique tunability of electro-optical property than their bulk counterparts (e.g., polycrystalline thin films) due to size effects. Nanoscale straining of SCNWs is desirable to enable new ways to tune the properties of SCNWs, such as electronic transport, band structure, and quantum properties. However, there are two bottlenecks to prevent the real applications of straining engineering of SCNWs: strainability and scalability. Unlike metallic nanowires which are highly flexible and mechanically robust for parallel shaping, SCNWs are brittle in nature and could easily break at strains slightly higher than their elastic limits. In addition, the ability to generate nanoshaping in large scale is limited with the current technologies, such as the straining of nanowires with sophisticated manipulators, nanocombing NWs with U-shaped trenches, or buckling NWs with prestretched elastic substrates, which are incompatible with semiconductor technology. Here we present a top-down fabrication methodology to achieve large scale nanoshaping of SCNWs in parallel with tunable elastic strains. This method utilizes nanosecond pulsed laser to generate shock pressure and conformably deform the SCNWs onto 3D-nanostructured silicon substrates in a scalable and ultrafast manner. A polymer dielectric nanolayer is integrated in the process for cushioning the high strain-rate deformation, suppressing the generation of dislocations or cracks, and providing self-preserving mechanism for elastic strain storage in SCNWs. The elastic strain limits have been studied as functions of laser intensity, dimensions of nanowires, and the geometry of nanomolds. As a result of 3D straining, the inhomogeneous elastic strains in GeNWs result in notable Raman peak shifts and broadening, which bring more tunability of the electrical-optical property in SCNWs than traditional strain engineering. We have achieved the first 3D nanostraining enhanced germanium field

  20. Flexible, silver nanowire network nickel hydroxide core-shell electrodes for supercapacitors

    NASA Astrophysics Data System (ADS)

    Yuksel, Recep; Coskun, Sahin; Kalay, Yunus Eren; Unalan, Husnu Emrah

    2016-10-01

    We present a novel one-dimensional coaxial architecture composed of silver nanowire (Ag NW) network core and nickel hydroxide (Ni(OH)2) shell for the realization of coaxial nanocomposite electrode materials for supercapacitors. Ag NWs are formed conductive networks via spray coating onto polyethylene terephthalate (PET) substrates and Ni(OH)2 is gradually electrodeposited onto the Ag NW network to fabricate core-shell electrodes for supercapacitors. Synergy of highly conductive Ag NWs and high capacitive Ni(OH)2 facilitate ion and electron transport, enhance electrochemical properties and result in a specific capacitance of 1165.2 F g-1 at a current density of 3 A g-1. After 3000 cycles, fabricated nanocomposite electrodes show 93% capacity retention. The rational design explored in this study points out the potential of nanowire based coaxial energy storage devices.

  1. High-Performance Near-Infrared Photodetectors Based on p-type SnX (X=S, Se) Nanowires Grown via Chemical Vapor Deposition.

    PubMed

    Zheng, Dingshan; Fang, Hehai; Long, Mingsheng; Wu, Feng; Wang, Peng; Gong, Fan; Wu, Xing; Ho, Johnny C; Liao, Lei; Hu, Weida

    2018-06-21

    Because of the distinct electronic properties and strong interaction with light, quasi-one-dimensional nanowires (NWs) with semiconducting property have been demonstrated with tremendous potential for various technological applications, especially electronics and optoelectronics. However, until now, most of the state-of-the-art NW photodetectors are predominantly based on the N-type NW channel. Here, we successfully synthesized P-type SnSe and SnS NWs via chemical vapor deposition method and fabricated high-performance single SnSe and SnS NW photodetectors. Importantly, these two NW devices exhibit the impressive photodetection performance with the high photoconductive gain of 1.5 × 10 4 (2.8 × 10 4 ), good responsivity of 1.0× 10 4 A W -1 (1.6× 10 4 A W -1 ) as well as excellent detectivity of 3.3 × 10 12 Jones (2.4 × 10 12 Jones) under near-infrared illumination at a bias of 3 V for the SnSe NW (SnS NW) channel. The rise and fall times can be as efficient as 460 and 520 μs (1.2 and 15.1 ms), respectively, for the SnSe NW (SnS NW) device. Moreover, the spatially resolved photocurrent mapping of the devices further reveals the bias-dependent photocurrent generation. All these results evidently demonstrate that the P-type SnSe and SnS NWs have great potential to be applied in next-generation high-performance optoelectronic devices.

  2. ZnxCd1-xSe alloy nanowires covering the entire compositional range grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shan, C. X.; Liu, Z.; Ng, C. M.; Hark, S. K.

    2005-07-01

    We show that preferentially oriented, single-crystalline ZnxCd1-xSe alloy nanowires can be grown on GaAs (100) surface using Au as a catalyst over the entire compositional range in a metalorganic chemical vapor deposition system. The composition of the alloy nanowires can be simply adjusted through the ratio of the flow rates of group-II precursors. Electron microscopy shows that the nanowires are smooth and uniform in shape; their diameters range from 20 to 80 nm and lengths exceed a few micrometers. Nanowires containing more than 13% Zn are zinc blende structured and grow along the ⟨110⟩ direction. Those containing less Zn are wurtzite structured and grow along the ⟨210⟩ direction. Compared with the bulk alloy, the change from zinc blende to wurtzite structure in nanowires occurs at far smaller x. The preferred orientation and the persistence of the zinc blende structure both reflect the influence of the substrate on the growth of the nanowires. Photoluminescence measurements identify a strong near-band-edge emission for all samples and show that its peak energy tracks the band gap of ZnxCd1-xSe epilayer for x>0.13. The growth of alloy nanowires at many compositions opens up the possibility of realizing quasi-one-dimensional heterojunctions.

  3. Single-crystalline δ-Ni2Si nanowires with excellent physical properties

    PubMed Central

    2013-01-01

    In this article, we report the synthesis of single-crystalline nickel silicide nanowires (NWs) via chemical vapor deposition method using NiCl2·6H2O as a single-source precursor. Various morphologies of δ-Ni2Si NWs were successfully acquired by controlling the growth conditions. The growth mechanism of the δ-Ni2Si NWs was thoroughly discussed and identified with microscopy studies. Field emission measurements show a low turn-on field (4.12 V/μm), and magnetic property measurements show a classic ferromagnetic characteristic, which demonstrates promising potential applications for field emitters, magnetic storage, and biological cell separation. PMID:23782805

  4. Comparison of mechanical characteristics of focused ion beam fabricated silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ina, Ginnosuke; Fujii, Tatsuya; Kozeki, Takahiro; Miura, Eri; Inoue, Shozo; Namazu, Takahiro

    2017-06-01

    In this study, we investigate the effects of focused ion beam (FIB)-induced damage and specimen size on the mechanical properties of Si nanowires (NWs) by a microelectromechanical system (MEMS)-based tensile testing technique. By an FIB fabrication technique, three types of Si NWs, which are as-FIB-fabricated, annealed, and FIB-implanted NWs, are prepared. A sacrificial-oxidized NW is also prepared to compare the mechanical properties of these FIB-based NWs. The quasi-static uniaxial tensile tests of all the NWs are conducted by scanning electron microscopy (SEM). The fabrication process and specimen size dependences on Young’s modulus and fracture strength are observed. Annealing is effective for improving the Young’s modulus of the FIB-damaged Si. Transmission electron microscopy (TEM) suggests that the mechanism behind the process dependence on the mechanical characteristics is related to the crystallinity of the FIB-damaged portion.

  5. Ultrasonication-assisted synthesis of high aspect ratio gold nanowires on a graphene template and investigation of their growth mechanism.

    PubMed

    Xin, Wenbo; De Rosa, Igor M; Cao, Yang; Yin, Xunqian; Yu, Hang; Ye, Peiyi; Carlson, Larry; Yang, Jenn-Ming

    2018-04-19

    We report a facile synthesis of Au nanowires (AuNWs) with a high aspect ratio (l/D) of up to 5000 on a plasma activated graphene template with ultrasound assistance. We demonstrate that the ultrasonication induced symmetry breaking of Au clusters facilitates the growth of AuNWs from the embryonic stages. Furthermore, the growth mechanism of AuNWs is systematically investigated using high resolution electron transmission microscopy (HRTEM), which reveals the unique role of the defective graphene template in directing the growth of AuNWs.

  6. Silver Nanowires Modified with PEDOT: PSS and Graphene for Organic Light-Emitting Diodes Anode

    PubMed Central

    Xu, Yilin; Wei, Xiang; Wang, Cong; Cao, Jin; Chen, Yigang; Ma, Zhongquan; You, Ying; Wan, Jixiang; Fang, Xiaohong; Chen, Xiaoyuan

    2017-01-01

    Silver nanowires (AgNWs) networks are promising candidates for the replacement of indium tin oxide (ITO). However, the surface roughness of the AgNWs network is still too high for its application in optoelectronic devices. In this work, we have reduced the surface roughness of the AgNWs networks to 6.4 nm, compared to 33.9 nm of the as-deposited AgNWs network through the hot-pressing process, treatment with poly (3,4ethylenedioxythiophene)–poly (styrenesulfanate), and covered with graphene films. Using this method, we are able to produce AgNWs/PEDOT: PSS/SLG composite films with the transmittance and sheet resistance of 88.29% and 30 Ω/□, respectively. The OLEDs based on the AgNWs/PEDOT: PSS/SLG anodes are comparable to those based on ITO anodes. PMID:28349990

  7. Electronic Structures and Optical Properties of α-Al2O3Nanowires

    NASA Astrophysics Data System (ADS)

    Wang, Zhiqiang; Li, Chunlei; Liu, Lijia; Sham, Tsun-Kong

    2013-04-01

    The electronic structure and optical properties of α-Al2O3 nanowires (NWs) have been investigated using X-ray absorption near-edge structures (XANES) and X-ray excited optical luminescence (XEOL). The XANES were recorded in total electron yield (TEY) and total fluorescence yield (TFY) across the K- and L3,2-edges of aluminium and the K-edge of oxygen. The results indicate that the NWs are of a core/shell structure with a single-crystalline core and an amorphous shell. The XEOL spectra of the NWs show an intense peak at 404 nm, which comes from the F centre located in the amorphous shell of the NWs. The implication of these findings and the sensitivity of XEOL for defect detection are discussed.

  8. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  9. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  10. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  11. Tunable violet-blue emission from 3 C-SiC nanowires

    NASA Astrophysics Data System (ADS)

    Zhu, J.; Wu, H.; Chen, H. T.; Wu, X. L.; Xiong, X.

    2009-04-01

    Bulk quantities of straight and curled cubic silicon carbide nanowires (3 C-SiC NWs) are synthesized from the mixture of ZnS, Si, and C powders. The 3 C-SiC NWs are wrapped by amorphous SiO 2 shells with very thin thicknesses of less than 2.0 nm. The deionized water suspension of the as-made NWs shows a photoluminescence (PL) band centered at 548 nm, and a tunable violet-blue photoluminescence is observed as the excitation wavelength increases from 300 to 375 nm after the SiO 2 shell is removed. The PL band at 548 nm relates to the SiO 2 shell. Careful microstructural observation suggests that the tunable PL originates from the quantum confinement effect of 3 C-SiC nanocrystallites with sizes of several nm at the turning of the curled NWs.

  12. Design of monoalcohol - Copolymer system for high quality silver nanowires.

    PubMed

    Sugiyama, Shintaro; Yokoyama, Shun; Cuya Huaman, Jhon L; Ida, Shohei; Matsumoto, Takatoshi; Kodama, Daisuke; Sato, Kimitaka; Miyamura, Hiroshi; Hirokawa, Yoshitsugu; Balachandran, Jeyadevan

    2018-05-14

    Research to improve the dimensional properties of silver nanowires (Ag NWs) for transparent conductive film (TCF) applications are being carried out intensively. However, the protocol for the designed synthesis of high-quality Ag NWs is yet to be developed due to the inadequacy of knowledge on the role of parameters. Here, we attempt to elucidate the role played by the parameters and propose a monoalcohol-copolymer based system for the designed synthesis of Ag NWs superior in quality to the one synthesized using conventional ethylene glycol (EG)-polyvinylpyrrolidone (PVP) system. The key findings of the study are as follows: (1) the solubility of Ag source and the partially formed AgCl particles in monoalcohols was found to play an important role not only in the reduction to metallic Ag but also on the uniaxial growth, (2) the adsorption of capping agents on Ag NWs was carried through O and N atoms in the base molecule and their binding energies indicated that the strength is the key parameter to obtain Ag NWs with high aspect ratio, (3) the properties of nanowire could be enhanced through copolymerization of VP and base molecules that have O- and N-based ligands, and (4) the influence of copolymerization on the physical and chemical properties of the surface active agent has been theoretically and experimentally verified. Consequently, we succeeded in the development of a new technique to synthesize high yield of Ag NWs with improved aspect ratio than EG-PVP system by using benzyl alcohol as reducing solvent and N-vinylpyrrolidone/N,N-diethylaminoethyl metacrylate copolymer as a capping agent. The optical transmittance and electrical resistivity of TCFs prepared using the Ag NWs with an average diameter of 43 nm, and an average length of 13 μm were 98.6% and R: 49.1 Ω/□, respectively. Copyright © 2018 Elsevier Inc. All rights reserved.

  13. Preparation of Advanced CuO Nanowires/Functionalized Graphene Composite Anode Material for Lithium Ion Batteries

    PubMed Central

    Zhang, Jin; Wang, Beibei; Zhou, Jiachen; Xia, Ruoyu; Chu, Yingli; Huang, Jia

    2017-01-01

    The copper oxide (CuO) nanowires/functionalized graphene (f-graphene) composite material was successfully composed by a one-pot synthesis method. The f-graphene synthesized through the Birch reduction chemistry method was modified with functional group “–(CH2)5COOH”, and the CuO nanowires (NWs) were well dispersed in the f-graphene sheets. When used as anode materials in lithium-ion batteries, the composite exhibited good cyclic stability and decent specific capacity of 677 mA·h·g−1 after 50 cycles. CuO NWs can enhance the lithium-ion storage of the composites while the f-graphene effectively resists the volume expansion of the CuO NWs during the galvanostatic charge/discharge cyclic process, and provide a conductive paths for charge transportation. The good electrochemical performance of the synthesized CuO/f-graphene composite suggests great potential of the composite materials for lithium-ion batteries anodes. PMID:28772432

  14. Solution-Processable transparent conducting electrodes via the self-assembly of silver nanowires for organic photovoltaic devices.

    PubMed

    Tugba Camic, B; Jeong Shin, Hee; Hasan Aslan, M; Basarir, Fevzihan; Choi, Hyosung

    2018-02-15

    Solution-processed transparent conducting electrodes (TCEs) were fabricated via the self-assembly deposition of silver nanowires (Ag NWs). Glass substrates modified with (3-aminopropyl)triethoxysilane (APTES) and (3-mercaptopropyl)trimethoxysilane (MPTES) were coated with Ag NWs for various deposition times, leading to three different Ag NWs samples (APTES-Ag NWs (PVP), MPTES-Ag NWs (PVP), and APTES-Ag NWs (COOH)). Controlling the deposition time produced Ag NWs monolayer thin films with different optical transmittance and sheet resistance. Post-annealing treatment improved their electrical conductivity. The Ag NWs films were successfully characterized using UV-Vis spectroscopy, field emission scanning electron microscopy, optical microscopy and four-point probe. Three Ag NWs films exhibited low sheet resistance of 4-19Ω/sq and high optical transmittance of 65-81% (at 550nm), which are comparable to those of commercial ITO electrode. We fabricated an organic photovoltaic device by using Ag NWs as the anode instead of ITO electrode, and optimized device with Ag NWs exhibited power conversion efficiency of 1.72%. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  16. In situ synthesis and catalytic application of reduced graphene oxide supported cobalt nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Zhiqiang; Long, Qin; Deng, Yi; Liao, Li

    2018-05-01

    Controlled synthesis of magnetic nanocomposite with outstanding catalytic performances is a promising strategy in catalyst industry. We proposed a novel concept for fabrication of reduced graphene oxide-supported cobalt nanowires (RGO/Co-NWs) nanocomposite as high-efficient magnetic catalyst. Unlike the majority of experiments necessitating harsh synthesis conditions such as high-pressure, high-temperature and expensive template, here the RGO/Co-NWs were successfully prepared in aqueous solution under mild conditions with the assistance of external magnetic field. The synthetic process was facile and external magnetic force was adopted to induce the unidirectional self-assembly of cobalt crystals on graphene oxide to form RGO/Co-NWs. The possible formation mechanism laid on the fact that the dipole magnetic moments of the nanoparticles were aligned along the magnetic induction lines with the external magnetic field direction resulting in the formation of nanowires elongating in the direction of the magnetization axis. Simultaneously, a series of controlled reactions were conducted to illuminate the effect of graphene oxide, external magnetic field and PVP on the morphology and size of RGO/Co-NWs in the present approach. More importantly, the nanocomposite exhibited a high catalytic performance towards ammonia borane. Hence the novel nanocomposite holds a great potential for technological applications such as catalyst industry.

  17. Single nanowire light-emitting diodes using uniaxial and coaxial InGaN/GaN multiple quantum wells synthesized by metalorganic chemical vapor deposition.

    PubMed

    Ra, Yong-Ho; Navamathavan, Rangaswamy; Yoo, Hee-Il; Lee, Cheul-Ro

    2014-03-12

    We report the controlled synthesis of InGaN/GaN multiple quantum well (MQW) uniaxial (c-plane) and coaxial (m-plane) nanowire (NW) heterostructures by metalorganic chemical vapor deposition. Two kinds of heterostructure NW light-emitting diodes (LEDs) have been fabricated: (1) 10 pairs of InGaN/GaN MQW layers in the c-plane on the top of n-GaN NWs where Mg-doped p-GaN NW is axially grown (2) p-GaN/10 pairs of InGaN/GaN shell structure were surrounded by n-GaN core. Here, we discuss a comparative analysis based on the m-plane and the c-plane oriented InGaN/GaN MQW NW arrays. High-resolution transmission electron microscopy studies revealed that the barrier and the well structures of MQW were observed to be substantially clear with regular intervals while the interface regions were extremely sharp. The c-plane and m-plane oriented MQW single NW was utilized for the parallel assembly fabrication of the LEDs via a focused ion beam. The polarization induced effects on the c-plane and m-plane oriented MQW NWs were precisely compared via power dependence electroluminescence. The electrical properties of m-plane NWs exhibited superior characteristics than that of c-plane NWs owing to the absence of piezoelectric polarization fields. According to this study, high-quality m-plane coaxial NWs can be utilized for the realization of high-brightness LEDs.

  18. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  19. Fabrication of Te and Te-Au Nanowires-Based Carbon Fiber Fabrics for Antibacterial Applications

    PubMed Central

    Chou, Ting-Mao; Ke, Yi-Yun; Tsao, Yu-Hsiang; Li, Ying-Chun; Lin, Zong-Hong

    2016-01-01

    Pathogenic bacteria that give rise to diseases every year remain a major health concern. In recent years, tellurium-based nanomaterials have been approved as new and efficient antibacterial agents. In this paper, we developed the approach to directly grow tellurium nanowires (Te NWs) onto commercial carbon fiber fabrics and demonstrated their antibacterial activity. Those Te NWs can serve as templates and reducing agents for gold nanoparticles (Au NPs) to deposit. Three different Te-Au NWs with varied concentration of Au NPs were synthesized and showed superior antibacterial activity and biocompability. These results indicate that the as-prepared carbon fiber fabrics with Te and Te-Au NWs can become antimicrobial clothing products in the near future. PMID:26861380

  20. Facile electrosynthesis of silicon carbide nanowires from silica/carbon precursors in molten salt.

    PubMed

    Zou, Xingli; Ji, Li; Lu, Xionggang; Zhou, Zhongfu

    2017-08-30

    Silicon carbide nanowires (SiC NWs) have attracted intensive attention in recent years due to their outstanding performances in many applications. A large-scale and facile production of SiC NWs is critical to its successful application. Here, we report a simple method for the production of SiC NWs from inexpensive and abundantly available silica/carbon (SiO 2 /C) precursors in molten calcium chloride. The solid-to-solid electroreduction and dissolution-electrodeposition mechanisms can easily lead to the formation of homogenous SiC NWs. This template/catalyst-free approach greatly simplifies the synthesis procedure compared to conventional methods. This general strategy opens a direct electrochemical route for the conversion of SiO 2 /C into SiC NWs, and may also have implications for the electrosynthesis of other micro/nanostructured metal carbides/composites from metal oxides/carbon precursors.

  1. Resonant frequency analysis of Timoshenko nanowires with surface stress for different boundary conditions

    NASA Astrophysics Data System (ADS)

    He, Qilu; Lilley, Carmen M.

    2012-10-01

    The influence of both surface and shear effects on the resonant frequency of nanowires (NWs) was studied by incorporating the Young-Laplace equation with the Timoshenko beam theory. Face-centered-cubic metal NWs were studied. A dimensional analysis of the resonant frequencies for fixed-fixed gold (100) NWs were compared to molecular dynamic simulations. Silver NWs with diameters from 10 nm-500 nm were modeled as a cantilever, simply supported and fixed-fixed system for aspect ratios from 2.5-20 to identify the shear, surface, and size effects on the resonant frequencies. The shear effect was found to have a larger significance than surface effects when the aspect ratios were small (i.e., <5) regardless of size for the diameters modeled. Finally, as the aspect ratio grows, the surface effect becomes significant for the smaller diameter NWs.

  2. Fracture and buckling of piezoelectric nanowires subject to an electric field

    NASA Astrophysics Data System (ADS)

    Zhang, Jin; Wang, Chengyuan; Adhikari, Sondipon

    2013-11-01

    Fracture and buckling are major failure modes of thin and long nanowires (NWs), which could be affected significantly by an electric field when piezoelectricity is involved in the NWs. This paper aims to examine the issue based on the molecular dynamics simulations, where the gallium nitride (GaN) NWs are taken as an example. The results show that the influence of the electric field is strong for the fracture and the critical buckling strains, detectable for the fracture strength but almost negligible for the critical buckling stress. In addition, the reversed effects are achieved for the fracture and the critical buckling strains. Subsequently, the Timoshenko beam model is utilized to account for the effect of the electric field on the axial buckling of the GaN NWs, where nonlocal effect is observed and characterized by the nonlocal coefficient e0a=1.1 nm. The results show that the fracture and buckling of piezoelectric NWs can be controlled by applying an electric field.

  3. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  4. Facile synthesis of PdAgTe nanowires with superior electrocatalytic activity

    NASA Astrophysics Data System (ADS)

    Hong, Wei; Wang, Jin; Wang, Erkang

    2014-12-01

    In this work, ultrathin Te nanowires (NWs) with high-aspect-ratio are prepared by a simple hydrothermal method. By using Te NWs as the sacrificial template, we demonstrate a facile and efficient method for the synthesis of PdAgTe NWs with high-quality through the partly galvanic replacement between Te NWs and the corresponding noble metal salts precursors in an aqueous solution. The compositions of PdAgTe NWs can be tuned by simply altering the concentration of the precursors. After cyclic voltammetry treatment, multi-component PdAgTe NW with a highly active and stable surface can be obtained. The structure and composition of the as-prepared nanomaterials are analyzed by transmission electron microscope, X-ray diffraction, energy dispersive X-ray spectroscopy, inductively coupled plasma-mass spectroscopy and X-ray photoelectron spectroscopy. Electrochemical catalytic measurement results prove that the as synthesized PdAgTe NWs present superior catalytic activity toward ethanol electrooxidation in alkaline solution than the commercial Pd/C catalyst, which making them can be used as effective catalysts for the direct ethanol fuel cells.

  5. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  6. Silver Nanowire Exposure Results in Internalization and Toxicity to Daphnia Magna

    PubMed Central

    Scanlan, Leona D.; Reed, Robert B.; Loguinov, Alexandre V.; Antczak, Philipp; Tagmount, Abderrahmane; Aloni, Shaul; Nowinski, Daniel Thomas; Luong, Pauline; Tran, Christine; Karunaratne, Nadeeka; Pham, Don; Lin, Xin Xin; Falciani, Francesco; Higgins, Chris P.; Ranville, James F.; Vulpe, Chris D.; Gilbert, Benjamin

    2013-01-01

    Nanowires (NWs), high-aspect-ratio nanomaterials, are increasingly used in technological materials and consumer products and may have toxicological characteristics distinct from nanoparticles. We carried out a comprehensive evaluation of the physico-chemical stability of four silver nanowires (AgNWs) of two sizes and coatings and their toxicity to Daphnia magna. Inorganic aluminum-doped silica coatings were less effective than organic poly(vinyl pyrrolidone) coatings at preventing silver oxidation or Ag+ release and underwent a significant morphological transformation within one-hour following addition to low ionic strength Daphnia growth media. All AgNWs were highly toxic to D. magna but less toxic than ionic silver. Toxicity varied as a function of AgNW dimension, coating and solution chemistry. Ag+ release in the media could not account for observed AgNW toxicity. Single-particle inductively coupled plasma mass spectrometry (spICPMS) distinguished and quantified dissolved and nanoparticulate silver in microliter-scale volumes of Daphnia magna hemolymph with a limit of detection of approximately 10 ppb. The silver levels within the hemolymph of Daphnia exposed to both Ag+ and AgNW met or exceeded the initial concentration in the growth medium, indicating effective accumulation during filter feeding. Silver-rich particles were the predominant form of silver in hemolymph following exposure to both AgNWs and Ag+. Scanning electron microscopy (SEM) imaging of dried hemolymph found both AgNWs and silver precipitates that were not present in the AgNW stock or the growth medium. Both organic and inorganic coatings on the AgNW were transformed during ingestion or absorption. Pathway, gene ontology and clustering analyses of gene expression response indicated effects of AgNWs distinct from ionic silver on Daphnia magna. PMID:24099093

  7. Electromechanical Properties and Spontaneous Response of the Current in InAsP Nanowires.

    PubMed

    Lee, Jong Hoon; Pin, Min Wook; Choi, Su Ji; Jo, Min Hyeok; Shin, Jae Cheol; Hong, Seong-Gu; Lee, Seung Mi; Cho, Boklae; Ahn, Sang Jung; Song, Nam Woong; Yi, Seong-Hoon; Kim, Young Heon

    2016-11-09

    The electromechanical properties of ternary InAsP nanowires (NWs) were investigated by applying a uniaxial tensile strain in a transmission electron microscope (TEM). The electromechanical properties in our examined InAsP NWs were governed by the piezoresistive effect. We found that the electronic transport of the InAsP NWs is dominated by space-charge-limited transport, with a I ∞ V 2 relation. Upon increasing the tensile strain, the electrical current in the NWs increases linearly, and the piezoresistance gradually decreases nonlinearly. By analyzing the space-charge-limited I-V curves, we show that the electromechanical response is due to a mobility that increases with strain. Finally, we use dynamical measurements to establish an upper limit on the time scale for the electromechanical response.

  8. Determination of indium content of GaAs/(In,Ga)As/(GaAs) core-shell(-shell) nanowires by x-ray diffraction and nano x-ray fluorescence

    NASA Astrophysics Data System (ADS)

    Al Hassan, Ali; Lewis, R. B.; Küpers, H.; Lin, W.-H.; Bahrami, D.; Krause, T.; Salomon, D.; Tahraoui, A.; Hanke, M.; Geelhaar, L.; Pietsch, U.

    2018-01-01

    We present two complementary approaches to investigate the In content in GaAs/(In,Ga)As/(GaAs) core-shell-(shell) nanowire (NW) heterostructures using synchrotron radiation. The key advantage of our methodology is that NWs are characterized in their as-grown configuration, i.e., perpendicularly standing on a substrate. First, we determine the mean In content of the (In,Ga)As shell by high-resolution x-ray diffraction (XRD) from NW ensembles. In particular, we disentangle the influence of In content and shell thickness on XRD by measuring and analyzing two reflections with diffraction vector parallel and perpendicular to the growth axis, respectively. Second, we study the In distribution within individual NWs by nano x-ray fluorescence. Both the NW (111) basal plane, that is parallel to the surface of the substrate, and the {10-1} sidewall plane were scanned with an incident nanobeam of 50 nm width. We investigate three samples with different nominal In content of the (In,Ga)As shell. In all samples, the average In content of the shell determined by XRD is in good agreement with the nominal value. For a nominal In content of 15%, the In distribution is fairly uniform between all six sidewall facets. In contrast, in NWs with nominally 25% In content, different sidewall facets of the same NW exhibit different In contents. This effect is attributed to shadowing during growth by molecular beam epitaxy. At the same time, along the NW axis the In distribution is still fairly homogeneous. In NWs with 60% nominal In content and no outer GaAs shell, the In content varies significantly both between different sidewall facets and along the NW axis. This fluctuation is explained by the formation of (In,Ga)As mounds that grow simultaneously with a thinner (In,Ga)As shell. The methodology presented here may be applied also to other core-shell NWs with a ternary shell and paves the way to correlating NW structure with functional properties that depend on the as-grown configuration

  9. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  10. Size effect on cold-welding of gold nanowires investigated using molecular dynamics simulations

    NASA Astrophysics Data System (ADS)

    Wu, Cheng-Da; Fang, Te-Hua; Wu, Chung-Chin

    2016-03-01

    The size effect on the cold-welding mechanism and mechanical properties of Au nanowires (NWs) in head-to-head contact are studied using molecular dynamics simulations based on the second-moment approximation of the many-body tight-binding potential. The results are discussed in terms of atomic trajectories, slip vectors, stress, radial distribution function, and weld strength ratio. Simulation results show that during the cold-welding process, a few disordered atoms/defects in the jointing area rearrange themselves and transform into a face-centered cubic crystalline structure. With an increase in contact between the two NWs, dislocations gradually form on the (111) slip plane and then on a twin plane, leading to an increase in the lateral deformation of 4-nm-wide NWs. The effect of structural instability increases with decreasing NW width, making the alignment of the two NWs more difficult. The elongation ability of the welded NWs increases with increasing NW width. Smaller NWs have better weld strength.

  11. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    NASA Astrophysics Data System (ADS)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  12. Fabrication of cross-shaped Cu-nanowire resistive memory devices using a rapid, scalable, and designable inorganic-nanowire-digital-alignment technique (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Xu, Wentao; Lee, Yeongjun; Min, Sung-Yong; Park, Cheolmin; Lee, Tae-Woo

    2016-09-01

    Resistive random-access memory (RRAM) is a candidate next generation nonvolatile memory due to its high access speed, high density and ease of fabrication. Especially, cross-point-access allows cross-bar arrays that lead to high-density cells in a two-dimensional planar structure. Use of such designs could be compatible with the aggressive scaling down of memory devices, but existing methods such as optical or e-beam lithographic approaches are too complicated. One-dimensional inorganic nanowires (i-NWs) are regarded as ideal components of nanoelectronics to circumvent the limitations of conventional lithographic approaches. However, post-growth alignment of these i-NWs precisely on a large area with individual control is still a difficult challenge. Here, we report a simple, inexpensive, and rapid method to fabricate two-dimensional arrays of perpendicularly-aligned, individually-conductive Cu-NWs with a nanometer-scale CuxO layer sandwiched at each cross point, by using an inorganic-nanowire-digital-alignment technique (INDAT) and a one-step reduction process. In this approach, the oxide layer is self-formed and patterned, so conventional deposition and lithography are not necessary. INDAT eliminates the difficulties of alignment and scalable fabrication that are encountered when using currently-available techniques that use inorganic nanowires. This simple process facilitates fabrication of cross-point nonvolatile memristor arrays. Fabricated arrays had reproducible resistive switching behavior, high on/off current ratio (Ion/Ioff) 10 6 and extensive cycling endurance. This is the first report of memristors with the resistive switching oxide layer self-formed, self-patterned and self-positioned; we envision that the new features of the technique will provide great opportunities for future nano-electronic circuits.

  13. Characterization of dilute species within CVD-grown silicon nanowires doped using trimethylboron: protected lift-out specimen preparation for atom probe tomography.

    PubMed

    Prosa, T J; Alvis, R; Tsakalakos, L; Smentkowski, V S

    2010-08-01

    Three-dimensional quantitative compositional analysis of nanowires is a challenge for standard techniques such as secondary ion mass spectrometry because of specimen size and geometry considerations; however, it is precisely the size and geometry of nanowires that makes them attractive candidates for analysis via atom probe tomography. The resulting boron composition of various trimethylboron vapour-liquid-solid grown silicon nanowires were measured both with time-of-flight secondary ion mass spectrometry and pulsed-laser atom probe tomography. Both characterization techniques yielded similar results for relative composition. Specialized specimen preparation for pulsed-laser atom probe tomography was utilized and is described in detail whereby individual silicon nanowires are first protected, then lifted out, trimmed, and finally wet etched to remove the protective layer for subsequent three-dimensional analysis.

  14. Preparation of Cu2O nanowire-blended polysulfone ultrafiltration membrane with improved stability and antimicrobial activity

    NASA Astrophysics Data System (ADS)

    Xu, Zehai; Ye, Shuaiju; Fan, Zheng; Ren, Fanghua; Gao, Congjie; Li, Qingbiao; Li, Guoqing; Zhang, Guoliang

    2015-10-01

    Polysulfone (PSF) membranes have been widely applied in water and wastewater treatment, food-processing and biomedical fields. In this study, we report the preparation of modified PSF membranes by blending PSF with Cu2O nanowires (NWs) to improve their stability and antifouling activity. Synthesis of novel Cu2O NWs/PSF-blended ultrafiltration membrane was achieved via phase inversion method by dispersing one-dimensional Cu2O nanowires in PSF casting solutions. Various techniques such as XRD, SEM, TEM, and EDS were applied to characterize and investigate the properties of nanowires and membranes. The introduced Cu2O nanowires can firmly be restricted into micropores of PSF membranes, and therefore, they can effectively prevent the serious leaking problem of inorganic substances in separation process. The blended PSF membranes also provided enhanced antimicrobial activity and superior permeation property compared to pure PSF membrane. The overall work can not only provide a new way for preparation of novel blended membranes with multidimensional nanomaterials, but can also be beneficial to solve the annoying problem of biofouling.

  15. Adsorbed Molecules and Surface Treatment Effect on Optical Properties of ZnO Nanowires Grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Jabri, S.; Souissi, H.; Sallet, V.; Lusson, A.; Meftah, A.; Galtier, P.; Oueslati, M.

    2017-07-01

    We have investigated the optical properties of ZnO nanowires grown by metalorganic chemical vapor deposition (MOCVD) with nitrous oxide (N2O) as oxygen precursor. Photoluminescence (PL) and Raman measurements showed the influence of adsorbed molecules on the optical properties. Low-temperature (4 K) PL studies on the surface exciton (SX) at 3.3660 eV elucidated the nature and origin of this emission. In particular, surface treatment by annealing at high temperature under inert gas reduced the emission intensity of SX. Raman vibrational spectra proved that presence of a considerable amount of adsorbed molecules on the surface of ZnO nanowires plays a key role in the occurrence of surface excitons.

  16. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  17. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    NASA Astrophysics Data System (ADS)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  18. Reflectance and fast polarization dynamics of GaN/Si nanowire ensemble.

    PubMed

    Korona, Krzysztof Piotr; Zytkiewicz, Zbigniew R; Sobanska, Marta; Sosada, Florentyna; Dróżdż, Piotr Andrzej; Klosek, Kamil; Tchutchulashvili, Giorgi

    2018-06-25

    Optical phenomena in high-quality GaN nanowires (NWs) ensemble grown on Si substrate have been studied by reflectance and time-resolved luminescence. Such NWs form a structure that acts as a virtual layer that specifically reflects and polarizes light and can be characterized by an effective refractive index. In fact we have found that the NW ensembles of high NW density (high filling fraction) behave rather like a layer of effective medium described by Maxwell Garnett approximation. Moreover, light extinction and strong depolarization are observed that we assign to scattering and interference of light inside the NW ensemble. The wavelength range of high extinction and depolarization correlates well with transverse localization wavelength estimated for such ensemble of NWs, so we suppose that these effects are due to Anderson localization of light. We also report results of time-resolved measurements of polarization of individual emission centers including free and bound excitons (D0XA, 3.47 eV), inversion domain boundaries (IDB, 3.45eV) and stacking faults (SF, 3.42 eV). The emission of the D0XA and SF lines is polarized perpendicular to GaN c-axis while the 3.45 eV line is polarized along the c-axis what supports hypothesis that this line is emitted from IDBs. Time-dependent depolarization of luminescence is observed during the first 0.1 ns after excitation and is interpreted as the result of interaction of the emission centers with hot particles existing during short time after excitation. . © 2018 IOP Publishing Ltd.

  19. Platinum Nickel Nanowires as Methanol Oxidation Electrocatalysts

    DOE PAGES

    Alia, Shaun M.; Pylypenko, Svitlana; Neyerlin, Kenneth C.; ...

    2015-08-27

    We investigated platinum(Pt) nickel (Ni) nanowires (PtNiNWs) as methanol oxidation reaction (MOR) catalysts in rotating disk electrode (RDE) half-cells under acidic conditions. Pt-ruthenium (Ru) nanoparticles have long been the state of the art MOR catalyst for direct methanol fuel cells (DMFCs) where Ru provides oxophilic sites, lowering the potential for carbon monoxide oxidation and the MOR onset. Ru, however, is a precious metal that has long term durability concerns. Ni/Ni oxide species offer a potential to replace Ru in MOR electrocatalysis. PtNiNWs were investigated for MOR and oxygen annealing was investigated as a route to improve catalyst performance (mass activitymore » 65% greater) and stability to potential cycling. Our results presented show that PtNiNWs offer significant promise in the area, but also result in Ni ion leaching that is a concern requiring further evaluation in fuel cells.« less

  20. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  1. Insertion of Vertically Aligned Nanowires into Living Cells by Inkjet Printing of Cells.

    PubMed

    Lee, Donggyu; Lee, Daehee; Won, Yulim; Hong, Hyeonaug; Kim, Yongjae; Song, Hyunwoo; Pyun, Jae-Chul; Cho, Yong Soo; Ryu, Wonhyoung; Moon, Jooho

    2016-03-01

    Effective insertion of vertically aligned nanowires (NWs) into cells is critical for bioelectrical and biochemical devices, biological delivery systems, and photosynthetic bioenergy harvesting. However, accurate insertion of NWs into living cells using scalable processes has not yet been achieved. Here, NWs are inserted into living Chlamydomonas reinhardtii cells (Chlamy cells) via inkjet printing of the Chlamy cells, representing a low-cost and large-scale method for inserting NWs into living cells. Jetting conditions and printable bioink composed of living Chlamy cells are optimized to achieve stable jetting and precise ink deposition of bioink for indentation of NWs into Chlamy cells. Fluorescence confocal microscopy is used to verify the viability of Chlamy cells after inkjet printing. Simple mechanical considerations of the cell membrane and droplet kinetics are developed to control the jetting force to allow penetration of the NWs into cells. The results suggest that inkjet printing is an effective, controllable tool for stable insertion of NWs into cells with economic and scale-related advantages. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Advancements in Copper Nanowires: Synthesis, Purification, Assemblies, Surface Modification, and Applications.

    PubMed

    Zhao, Songfang; Han, Fei; Li, Jinhui; Meng, Xiangying; Huang, Wangping; Cao, Duxia; Zhang, Guoping; Sun, Rong; Wong, Ching-Ping

    2018-06-01

    Copper nanowires (CuNWs) are attracting a myriad of attention due to their preponderant electric conductivity, optoelectronic and mechanical properties, high electrocatalytic efficiency, and large abundance. Recently, great endeavors are undertaken to develop controllable and facile approaches to synthesize CuNWs with high dispersibility, oxidation resistance, and zero defects for future large-scale nano-enabled materials. Herein, this work provides a comprehensive review of current remarkable advancements in CuNWs. The Review starts with a thorough overview of recently developed synthetic strategies and growth mechanisms to achieve single-crystalline CuNWs and fivefold twinned CuNWs by the reduction of Cu(I) and Cu(II) ions, respectively. Following is a discussion of CuNW purification and multidimensional assemblies comprising films, aerogels, and arrays. Next, several effective approaches to protect CuNWs from oxidation are highlighted. The emerging applications of CuNWs in diverse fields are then focused on, with particular emphasis on optoelectronics, energy storage/conversion, catalysis, wearable electronics, and thermal management, followed by a brief comment on the current challenges and future research directions. The central theme of the Review is to provide an intimate correlation among the synthesis, structure, properties, and applications of CuNWs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Towards large-scale plasma-assisted synthesis of nanowires

    NASA Astrophysics Data System (ADS)

    Cvelbar, U.

    2011-05-01

    Large quantities of nanomaterials, e.g. nanowires (NWs), are needed to overcome the high market price of nanomaterials and make nanotechnology widely available for general public use and applications to numerous devices. Therefore, there is an enormous need for new methods or routes for synthesis of those nanostructures. Here plasma technologies for synthesis of NWs, nanotubes, nanoparticles or other nanostructures might play a key role in the near future. This paper presents a three-dimensional problem of large-scale synthesis connected with the time, quantity and quality of nanostructures. Herein, four different plasma methods for NW synthesis are presented in contrast to other methods, e.g. thermal processes, chemical vapour deposition or wet chemical processes. The pros and cons are discussed in detail for the case of two metal oxides: iron oxide and zinc oxide NWs, which are important for many applications.

  4. Solution-Phase Synthesis of Cesium Lead Halide Perovskite Nanowires.

    PubMed

    Zhang, Dandan; Eaton, Samuel W; Yu, Yi; Dou, Letian; Yang, Peidong

    2015-07-29

    Halide perovskites have attracted much attention over the past 5 years as a promising class of materials for optoelectronic applications. However, compared to hybrid organic-inorganic perovskites, the study of their pure inorganic counterparts, like cesium lead halides (CsPbX3), lags far behind. Here, a catalyst-free, solution-phase synthesis of CsPbX3 nanowires (NWs) is reported. These NWs are single-crystalline, with uniform growth direction, and crystallize in the orthorhombic phase. Both CsPbBr3 and CsPbI3 are photoluminescence active, with composition-dependent temperature and self-trapping behavior. These NWs with a well-defined morphology could serve as an ideal platform for the investigation of fundamental properties and the development of future applications in nanoscale optoelectronic devices based on all-inorganic perovskites.

  5. Silver-Nanowire-Embedded Transparent Metal-Oxide Heterojunction Schottky Photodetector.

    PubMed

    Abbas, Sohail; Kumar, Mohit; Kim, Hong-Sik; Kim, Joondong; Lee, Jung-Ho

    2018-05-02

    We report a self-biased and transparent Cu 4 O 3 /TiO 2 heterojunction for ultraviolet photodetection. The dynamic photoresponse improved 8.5 × 10 4 % by adding silver nanowires (AgNWs) Schottky contact and maintaining 39% transparency. The current density-voltage characteristics revealed a strong interfacial electric field, responsible for zero-bias operation. In addition, the dynamic photoresponse measurement endorsed the effective holes collection by embedded-AgNWs network, leading to fast rise and fall time of 0.439 and 0.423 ms, respectively. Similarly, a drastic improvement in responsivity and detectivity of 187.5 mAW -1 and of 5.13 × 10 9 Jones, is observed, respectively. The AgNWs employed as contact electrode can ensure high-performance for transparent and flexible optoelectronic applications.

  6. Growth of high-aspect ratio horizontally-aligned ZnO nanowire arrays.

    PubMed

    Soman, Pranav; Darnell, Max; Feldman, Marc D; Chen, Shaochen

    2011-08-01

    A method of fabricating horizontally-aligned zinc-oxide (ZnO) nanowire (NW) arrays with full control over the width and length is demonstrated. SEM images reveal the hexagonal structure typical of zinc oxide NWs. Arrays of high-aspect ratio horizontal ZnO NWs are fabricated by making use of the lateral overgrowth from dot patterns created by electron beam lithography (EBL). An array of patterned wires are lifted off and transferred to a flexible PDMS substrate with possible applications in several key nanotechnology areas.

  7. Fabrication and gas sensing properties of vertically aligned Si nanowires

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Kang, Sung Yong; Choi, Sun-Woo; Kwon, Yong Jung; Choi, Myung Sik; Bang, Jae Hoon; Kim, Sang Sub; Kim, Hyoun Woo

    2018-01-01

    In this study, a peculiar configuration for a gas sensor consisting of vertically aligned silicon nanowires (VA-Si NWs) synthesized by metal-assisted chemical etching (MACE) is reported. Si NWs were prepared via a facile MACE method and subsequent thermal annealing. Etching was performed by generation of silver nanoparticles (Ag NPs) and subsequent etching in HF/H2O2 aqueous solution; the growth conditions were optimized by changing the process parameters. Highly vertically oriented arrays of Si NWs with a straight-line morphology were obtained, and a top-top electrode configuration was applied. The VA-Si NW gas sensor showed good sensing performance, and the VA-Si NWs exhibited a remarkable response (Rg/Ra = 11.5 ∼ 17.1) to H2 gas (10-50 ppm) at 100 °C which was the optimal working temperature. The formation mechanism and gas sensing mechanism of VA-Si NWs are described. The obtained results can suggest new approaches to making inexpensive, versatile, and portable sensors based on Si NWs having a novel top-top electrode structure that are fully compatible with well-developed Si technologies.

  8. Metallic CoS₂ nanowire electrodes for high cycling performance supercapacitors.

    PubMed

    Ren, Ren; Faber, Matthew S; Dziedzic, Rafal; Wen, Zhenhai; Jin, Song; Mao, Shun; Chen, Junhong

    2015-12-11

    We report metallic cobalt pyrite (CoS2) nanowires (NWs) prepared directly on current collecting electrodes, e.g., carbon cloth or graphite disc, for high-performance supercapacitors. These CoS2 NWs have a variety of advantages for supercapacitor applications. Because the metallic CoS2 NWs are synthesized directly on the current collector, the good electrical connection enables efficient charge transfer between the active CoS2 materials and the current collector. In addition, the open spaces between the sea urchin structure NWs lead to a large accessible surface area and afford rapid mass transport. Moreover, the robust CoS2 NW structure results in high stability of the active materials during long-term operation. Electrochemical characterization reveals that the CoS2 NWs enable large specific capacitance (828.2 F g(-1) at a scan rate of 0.01 V s(-1)) and excellent long term cycling stability (0-2.5% capacity loss after 4250 cycles at 5 A g(-1)) for pseudocapacitors. This example of metallic CoS2 NWs for supercapacitor applications expands the opportunities for transition metal sulfide-based nanostructures in emerging energy storage applications.

  9. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  10. Processing-Induced Electrically Active Defects in Black Silicon Nanowire Devices.

    PubMed

    Carapezzi, Stefania; Castaldini, Antonio; Mancarella, Fulvio; Poggi, Antonella; Cavallini, Anna

    2016-04-27

    Silicon nanowires (Si NWs) are widely investigated nowadays for implementation in advanced energy conversion and storage devices, as well as many other possible applications. Black silicon (BSi)-NWs are dry etched NWs that merge the advantages related to low-dimensionality with the special industrial appeal connected to deep reactive ion etching (RIE). In fact, RIE is a well established technique in microelectronics manufacturing. However, RIE processing could affect the electrical properties of BSi-NWs by introducing deep states into their forbidden gap. This work applies deep level transient spectroscopy (DLTS) to identify electrically active deep levels and the associated defects in dry etched Si NW arrays. Besides, the successful fitting of DLTS spectra of BSi-NWs-based Schottky barrier diodes is an experimental confirmation that the same theoretical framework of dynamic electronic behavior of deep levels applies in bulk as well as in low dimensional structures like NWs, when quantum confinement conditions do not occur. This has been validated for deep levels associated with simple pointlike defects as well as for deep levels associated with defects with richer structures, whose dynamic electronic behavior implies a more complex picture.

  11. Flow Synthesis of Silver Nanowires for Semitransparent Solar Cell Electrodes: A Life Cycle Perspective.

    PubMed

    Espinosa, Nieves; Søndergaard, Roar R; Jørgensen, Mikkel; Krebs, Frederik C

    2016-04-21

    Silver nanowires (AgNWs) were prepared on a 5 g scale using either the well-known batch synthesis following the polyol method or a new flow synthesis method. The AgNWs were employed as semitransparent electrode materials in organic photovoltaics and compared to traditional printed silver electrodes based on micron sized silver flakes using life cycle analysis and environmental impact analysis methods. The life cycle analysis of AgNWs confirms that they provide an avenue to low-impact semitransparent electrodes. We find that the benefit of AgNWs in terms of embodied energy is less pronounced than generally assumed but that the toxicological and environmental benefits are significant. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Ultra-flexible and robust transparent electrodes by embedding silver nanowires into polyimide matrix

    NASA Astrophysics Data System (ADS)

    Zhao, Rong Rong; Yu, Ming Shi; Wang, Guan Cheng; Liu, Wei; Chen, Tong Lai

    2018-06-01

    Silver nanowires (AgNWs) percolated films have been extensively considered as promising candidates for alternative transparent electrodes. However, due to their high surface roughness, poor adhesion and thermal stability, their practical use in transparent conducting film application is still heavily limited. In this paper, we report ultra-flexible transparent electrodes by imbedding AgNWs into polyimide (PI) thin films to achieve smooth surface, pronounced thermal stability, and high adhesion. Besides the excellent electrical conductivity of about 7-13Ω/□ in sheet resistance, the obtained AgNWs/PI films have excellent transparency and mechanical resilience due to the intrinsic physical and chemical properties of PI organic polymer. By embedding AgNWs into PI, the surface roughness of AgNWs percolated films can be reduced from 39.5 nm to 6 nm (RMS values), and the adhesion of AgNWs to PI is greatly enhanced if compared to the case of only AgNWs onto glass or plastic substrates. Additionally, the AgNWs/PI films show extraordinary stability in terms of electrical conductivity after the arbitrary twisting and thermal heating test, respectively, which are demonstrated by the electrical-thermal measurements via thermal IR imaging.

  13. Structure and Thermoelectric Properties of Bi2−xSbxTe3 Nanowires Grown in Flexible Nanoporous Polycarbonate Templates

    PubMed Central

    Datta, Anuja; Sangle, Abhijeet; Hardingham, Nick; Cooper, Charles; Kraan, Max; Ritchie, David; Narayan, Vijay; Kar-Narayan, Sohini

    2017-01-01

    We report the room-temperature growth of vertically aligned ternary Bi2−xSbxTe3 nanowires of diameter ~200 nm and length ~12 µm, within flexible track-etched nanoporous polycarbonate (PC) templates via a one-step electrodeposition process. Bi2−xSbxTe3 nanowires with compositions spanning the entire range from pure Bi2Te3 (x = 0) to pure Sb2Te3 (x = 2) were systematically grown within the nanoporous channels of PC templates from a tartaric–nitric acid based electrolyte, at the end of which highly crystalline nanowires of uniform composition were obtained. Compositional analysis showed that the Sb concentration could be tuned by simply varying the electrolyte composition without any need for further annealing of the samples. Thermoelectric properties of the Bi2−xSbxTe3 nanowires were measured using a standardized bespoke setup while they were still embedded within the flexible PC templates. PMID:28772915

  14. Broadband Solar Energy Harvesting in Single Nanowire Resonators

    NASA Astrophysics Data System (ADS)

    Yang, Yiming; Peng, Xingyue; Hyatt, Steven; Yu, Dong

    2015-03-01

    Sub-wavelength semiconductor nanowires (NWs) can have optical absorption cross sections far beyond their physical sizes at resonance frequencies, offering a powerful method to simultaneously lower the material consumption and enhance photovoltaic performance. The degree of absorption enhancement is expected to substantially increase in materials with high refractive indices, but this has not yet been experimentally demonstrated. Here, we show that the absorption efficiency can be significantly improved in high-index NWs, by a direct observation of 350% external quantum efficiency (EQE) in lead sulfide (PbS) NWs. Broadband absorption enhancement is also realized in tapered NWs, where light of different wavelength is absorbed at segments with different diameters analogous to a tandem solar cell. Our results quantitatively agree with the finite-difference-time-domain (FDTD) simulations. Overall, our single PbS NW Schottky solar cells taking advantage of optical resonance, near bandgap open circuit voltage, and long minority carrier diffusion length exhibit power conversion efficiency comparable to single Si NW coaxial p-n junction cells, while the fabrication complexity is greatly reduced.

  15. Molecularly imprinted silica-silver nanowires for tryptophan recognition

    NASA Astrophysics Data System (ADS)

    Díaz-Faes López, T.; Díaz-García, M. E.; Badía-Laíño, R.

    2014-10-01

    We report on silver nanowires (AgNWs) coated with molecularly imprinted silica (MIP SiO2) for recognition of tryptophan (Trp). The use of AgNWs as a template confers an imprinted material with adequate mechanical strength and with a capability of recognizing Trp due to its nanomorphology when compared to spherical microparticles with a similar surface-to-volume ratio. Studies on adsorption isotherms showed the MIP-SiO2-AgNWs to exhibit homogeneous affinity sites with narrow affinity distribution. This suggests that the synthesized material behaves as a 1D nanomaterial with a large area and small thickness with very similar affinity sites. Trp release from MIP-SiO2-AgNWs was demonstrated to be dominated by the diffusion rate of Trp as controlled by the specific interactions with the imprinted silica shell. Considering these results and the lack of toxicity of silica sol-gel materials, the material offers potential in the field of drug or pharmaceutical controlled delivery, but also in optoelectronic devices, electrodes and sensors.

  16. Room-temperature photodetection dynamics of single GaN nanowires.

    PubMed

    González-Posada, F; Songmuang, R; Den Hertog, M; Monroy, E

    2012-01-11

    We report on the photocurrent behavior of single GaN n-i-n nanowires (NWs) grown by plasma-assisted molecular-beam epitaxy on Si(111). These structures present a photoconductive gain in the range of 10(5)-10(8) and an ultraviolet (350 nm) to visible (450 nm) responsivity ratio larger than 6 orders of magnitude. Polarized light couples with the NW geometry with a maximum photoresponse for polarization along the NW axis. The photocurrent scales sublinearly with optical power, following a I ~ P(β) law (β < 1) in the measured range with β increasing with the measuring frequency. The photocurrent time response remains in the millisecond range, which is in contrast to the persistent (hours) photoconductivity effects observed in two-dimensional photoconductors. The photocurrent is independent of the measuring atmosphere, either in the air or in vacuum. Results are interpreted taking into account the effect of surface states and the total depletion of the NW intrinsic region. © 2011 American Chemical Society

  17. Polarized emission from CsPbBr3 nanowire embedded-electrospun PU fibers

    NASA Astrophysics Data System (ADS)

    Güner, Tuğrul; Topçu, Gökhan; Savacı, Umut; Genç, Aziz; Turan, Servet; Sari, Emre; Demir, Mustafa M.

    2018-04-01

    Interest in all-inorganic halide perovskites has been increasing dramatically due to their high quantum yield, band gap tunability, and ease of fabrication in compositional and geometric diversity. In this study, we synthesized several hundreds of nanometer long and ˜4 nm thick CsPbBr 3 nanowires (NWs). They were then integrated into electrospun polyurethane (PU) fibers to examine the polarization behavior of the composite fiber assembly. Aligned electrospun fibers containing CsPbBr 3 NWs showed a remarkable increase in the degree of polarization from 0.17-0.30. This combination of NWs and PU fibers provides a promising composite material for various applications such as optoelectronic devices and solar cells.

  18. Strong light absorption capability directed by structured profile of vertical Si nanowires

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2017-11-01

    Si nanowire arrays (SiNWAs) with random fractal geometry was fabricated using fast, mask-less, non-lithographic and facile approach by incorporating metal assisted electroless etching of n-type Si (111) substrates. The FESEM images demonstrate the formation of nano-porous surfaces that provide effective path for the incoming light to get trapped into the cavity of nanowires. The length of NWs increases from ∼1 to 10 μm with increase in the etching time having a diameter in the range of ∼25-82 nm. A transformation from zero to first order kinetics after a prolonged etching has been determined. The synthesized SiNWAs show high light trapping properties, including a maximum photon absorption across the entire visible and near IR range below the band gap of Si. The SiNWAs etched for 15 min exhibit extremely low specular and total reflectance of ∼0.2% and 4.5%, respectively over a broadband of wavelength. The reduction in the reflection loss is accompanied with the gradient of refractive index from air to Si substrate as well as due to the sub-wavelength structures, which manifests the light scattering effect. The COMSOL multiphysics simulation has been performed to study the high broadband light absorption capability in terms of the strong localized light field confinement by varying the length of the nanowire. Moreover, the SiNWs induces the dewetting ability at the solid/liquid interface and enhances the superhydrophobicity. Furthermore, a maximum length scale of 100-200 nm manifests a strong heterogeneity along the planar section of the surface of SiNWs. The study thus provides an insight on the light propagation into the random fractal geometries of Si nanowires. These outstanding properties should contribute to the structural optimization of various optoelectronic and photonic devices.

  19. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  20. Atypical self-activation of Ga dopant for Ge nanowire devices.

    PubMed

    Zeiner, Clemens; Lugstein, Alois; Burchhart, Thomas; Pongratz, Peter; Connell, Justin G; Lauhon, Lincoln J; Bertagnolli, Emmerich

    2011-08-10

    In this Letter we report the atypical self-activation of gallium (Ga) implanted by focused ion beam (FIB) into germanium nanowires (Ge-NWs). By FIB implantation of 30 keV Ga(+) ions at room temperature, the Ge-NW conductivity increases up to 3 orders of magnitude with increasing ion fluence. Cu(3)Ge heterostructures were formed by diffusion to ensure well-defined contacts to the NW and enable two point I/V measurements. Additional four point measurements prove that the conductivity enhancement emerges from the modification of the wires themselves and not from contact property modifications. The Ga distribution in the implanted Ge-NWs was measured using atom probe tomography. For high ion fluences, and beginning amorphization of the NWs, the conductivity decreases exponentially. Temperature dependent conductivity measurements show strong evidence for an in situ doping of the Ge-NWs without any further annealing. Finally the feasibility of improving the device performance of top-gated Ge-NW MOSFETs by FIB implantation was shown.

  1. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  2. Optimization of dielectric matrix for ZnO nanowire based nanogenerators

    NASA Astrophysics Data System (ADS)

    Kannan, Santhosh; Parmar, Mitesh; Tao, Ran; Ardila, Gustavo; Mouis, Mireille

    2016-11-01

    This paper reports the role of selection of suitable dielectric layer in nanogenerator (NG) structure and its influence on the output performance. The basic NG structure is a composite material integrating hydrothermally grown vertical piezoelectric zinc oxide (ZnO) nanowires (NWs) into a dielectric matrix. To accomplish this study, three materials - poly methyl methacrylate (PMMA), silicon nitride (Si3N4) and aluminium oxide (Al2O3) are selected, processed and used as matrix dielectric in NGs. Scanning electron microscopy (SEM) analysis shows the well-aligned NWs with a diameter of 200±50 nm and length of 3.5±0.3 μm. This was followed by dielectric material deposition as a matrix material. After fabricating NG devices, the output generated voltage under manual and automatic bending were recorded, observed and analyzed for the selection of the best dielectric material to obtain an optimum output. The maximum peak-to-peak open-circuit voltage output for PMMA, Si3N4 and Al2O3 under manual bending was recorded as approximately 880 mV, 1.2 V and 2.1 V respectively. These preliminary results confirm the predicted effect of using more rigid dielectrics as matrix material for the NGs. The generated voltage is increased by about 70% using Si3N4 or Al2O3, instead of a less rigid material as PMMA.

  3. Fabrication of ZnO Nanowire Based Piezoelectric Generators and Related Structures

    NASA Astrophysics Data System (ADS)

    Opoku, Charles; Dahiya, Abhishek Singh; Oshman, Christopher; Cayrel, Frederic; Poulin-Vittrant, Guylaine; Alquier, Daniel; Camara, Nicolas

    Using vertically grown hydrothermal ZnO nanowires, we demonstrate the assembly of fully functional piezoelectric energy harvesters on plastics substrates. A seedless hydrothermal process is employed for the growth of single crystalline vertically orientated ZnO NWs at around 100oC. Flexible NG are assembled using ∼7 μm thick PDMS polymer matrix on a 3x3cm substrate. A representative device with an active area of 4cm2 is characterised revealing average output voltage generation of ∼22mV (±1.2) and -32mV (±0.16) in the positive and negative cycles after 3-4mm periodic deflection at 20Hz. A power density of ∼288nW/cm3 is estimated for the device. It is envisaged that such energy scavengers may find potential applications targeting self-powered systems, sensors and on-body charging of electronics.

  4. Metallic CoS2 nanowire electrodes for high cycling performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Ren, Ren; Faber, Matthew S.; Dziedzic, Rafal; Wen, Zhenhai; Jin, Song; Mao, Shun; Chen, Junhong

    2015-12-01

    We report metallic cobalt pyrite (CoS2) nanowires (NWs) prepared directly on current collecting electrodes, e.g., carbon cloth or graphite disc, for high-performance supercapacitors. These CoS2 NWs have a variety of advantages for supercapacitor applications. Because the metallic CoS2 NWs are synthesized directly on the current collector, the good electrical connection enables efficient charge transfer between the active CoS2 materials and the current collector. In addition, the open spaces between the sea urchin structure NWs lead to a large accessible surface area and afford rapid mass transport. Moreover, the robust CoS2 NW structure results in high stability of the active materials during long-term operation. Electrochemical characterization reveals that the CoS2 NWs enable large specific capacitance (828.2 F g-1 at a scan rate of 0.01 V s-1) and excellent long term cycling stability (0-2.5% capacity loss after 4250 cycles at 5 A g-1) for pseudocapacitors. This example of metallic CoS2 NWs for supercapacitor applications expands the opportunities for transition metal sulfide-based nanostructures in emerging energy storage applications.

  5. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  6. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    PubMed

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  7. Giant dielectric permittivity in interrupted silver nanowires grown within mesoporous silica

    NASA Astrophysics Data System (ADS)

    Maity, Anupam; Samanta, Subha; Chatterjee, Soumi; Maiti, Ramaprasad; Biswas, Debasish; Saha, Shyamal K.; Chakravorty, Dipankar

    2018-06-01

    Nanoglasses in the system Ag2O–SiO2 were formed within the pores of mesoporous silica SBA-15 (Santa Barbara Amorphous). Silver nanowires of diameter 5 nm were grown within SBA-15 by the process of electrodeposition. The nanowires were disrupted by applying a suitable voltage pulse. Detailed transmission and scanning electron microscopy studies were carried out. The disrupted silver strands were found to have an average length of 90 nm. The density of interrupted strands was estimated from the electron micrographs and found to have values in the range (10–20)  ×  1010 cm‑2. Dielectric constant and dielectric loss factors of the nanocomposites of disrupted silver strand—containing Ag2O–SiO2 glass and SBA-15 were found to have values in the range 200–300 and 0.014–0.008 respectively at frequencies in the range 10 kHz–2 MHz. These values were found to be in satisfactory agreement with the theoretical model of Rice and Bernasconi emanating from the theory of Gorkhov and Eliashberg. These nanocomposites are expected to be useful in the fabrication of supercapacitors, after developing suitable electrode system for the material.

  8. Polarization-sensitive nanowire photodetectors based on solution-synthesized CdSe quantum-wire solids.

    PubMed

    Singh, Amol; Li, Xiangyang; Protasenko, Vladimir; Galantai, Gabor; Kuno, Masaru; Xing, Huili Grace; Jena, Debdeep

    2007-10-01

    Polarization-sensitive photodetectors are demonstrated using solution-synthesized CdSe nanowire (NW) solids. Photocurrent action spectra taken with a tunable white light source match the solution linear absorption spectra of the NWs, showing that the NW network is responsible for the device photoconductivity. Temperature-dependent transport measurements reveal that carriers responsible for the dark current through the nanowire solids are thermally excited across CdSe band gap. The NWs are aligned using dielectrophoresis between prepatterned electrodes using conventional optical photolithography. The photocurrent through the NW solid is found to be polarization-sensitive, consistent with complementary absorption (emission) measurements of both single wires and their ensembles. The range of solution-processed semiconducting NW materials, their facile synthesis, ease of device fabrication, and compatibility with a variety of substrates make them attractive for potential nanoscale polarization-sensitive photodetectors.

  9. Tailoring Staircase-like Hysteresis Loops in Electrodeposited Trisegmented Magnetic Nanowires: a Strategy toward Minimization of Interwire Interactions.

    PubMed

    Zhang, Jin; Agramunt-Puig, Sebastià; Del-Valle, Núria; Navau, Carles; Baró, Maria D; Estradé, Sònia; Peiró, Francesca; Pané, Salvador; Nelson, Bradley J; Sanchez, Alvaro; Nogués, Josep; Pellicer, Eva; Sort, Jordi

    2016-02-17

    A new strategy to minimize magnetic interactions between nanowires (NWs) dispersed in a fluid is proposed. Such a strategy consists of preparing trisegmented NWs containing two antiparallel ferromagnetic segments with dissimilar coercivity separated by a nonmagnetic spacer. The trisegmented NWs exhibit a staircase-like hysteresis loop with tunable shape that depends on the relative length of the soft- and hard-magnetic segments and the respective values of saturation magnetization. Such NWs are prepared by electrodepositing CoPt/Cu/Ni in a polycarbonate (PC) membrane. The antiparallel alignment is set by applying suitable magnetic fields while the NWs are still embedded in the PC membrane. Analytic calculations are used to demonstrate that the interaction magnetic energy from fully compensated trisegmented NWs with antiparallel alignment is reduced compared to a single-component NW with the same length or the trisegmented NWs with the two ferromagnetic counterparts parallel to each other. The proposed approach is appealing for the use of magnetic NWs in certain biological or catalytic applications where the aggregation of NWs is detrimental for optimized performance.

  10. Atomic study of effects of crystal structure and temperature on structural evolution of Au nanowires under torsion

    NASA Astrophysics Data System (ADS)

    Wu, Cheng-Da; Tsai, Hsing-Wei

    2018-06-01

    The effect of temperature on the structural evolution of nanocrystalline (NC) and single-crystalline (SC) Au nanowires (NWs) under torsional deformation is studied using molecular dynamics simulations based on the many-body embedded-atom potential. The effect is investigated using common neighbor analysis and discussed in terms of shear strain distribution and atomic flow field. The simulation results show that deformation for NC NWs is mainly driven by the nucleation and propagation of dislocations and the gliding of grain boundaries (GBs) and that for SC NWs is mainly driven by dislocations and the formation of disordered structures. Dislocations for NC and SC NWs easily nucleate at GBs and free surfaces, respectively. For NC NWs, torsional buckling occurs easily at GBs with large gliding. SC NWs have a more uniform and larger elastic deformation under torsion compared to that for NC NWs due to the former's lack of grains. SC NWs have a long period of elastic deformation transforming into plastic deformation. Increasing temperature facilitates stress transmission throughout NWs.

  11. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  12. Ag nanoparticle-ZnO nanowire hybrid nanostructures as enhanced and robust antimicrobial textiles via a green chemical approach

    NASA Astrophysics Data System (ADS)

    Li, Zhou; Tang, Haoying; Yuan, Weiwei; Song, Wei; Niu, Yongshan; Yan, Ling; Yu, Min; Dai, Ming; Feng, Siyu; Wang, Menghang; Liu, Tengjiao; Jiang, Peng; Fan, Yubo; Wang, Zhong Lin

    2014-04-01

    A new approach for fabrication of a long-term and recoverable antimicrobial nanostructure/textile hybrid without increasing the antimicrobial resistance is demonstrated. Using in situ synthesized Ag nanoparticles (NPs) anchored on ZnO nanowires (NWs) grown on textiles by a ‘dip-in and light-irradiation’ green chemical method, we obtained ZnONW@AgNP nanocomposites with small-size and uniform Ag NPs, which have shown superior performance for antibacterial applications. These new Ag/ZnO/textile antimicrobial composites can be used for wound dressings and medical textiles for topical and prophylactic antibacterial treatments, point-of-use water treatment to improve the cleanliness of water and antimicrobial air filters to prevent bioaerosols accumulating in ventilation, heating, and air-conditioning systems.

  13. Modelling of electron beam induced nanowire attraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bitzer, Lucas A.; Benson, Niels, E-mail: niels.benson@uni-due.de; Schmechel, Roland

    2016-04-14

    Scanning electron microscope (SEM) induced nanowire (NW) attraction or bundling is a well known effect, which is mainly ascribed to structural or material dependent properties. However, there have also been recent reports of electron beam induced nanowire bending by SEM imaging, which is not fully explained by the current models, especially when considering the electro-dynamic interaction between NWs. In this article, we contribute to the understanding of this phenomenon, by introducing an electro-dynamic model based on capacitor and Lorentz force interaction, where the active NW bending is stimulated by an electromagnetic force between individual wires. The model includes geometrical, electrical,more » and mechanical NW parameters, as well as the influence of the electron beam source parameters and is validated using in-situ observations of electron beam induced GaAs nanowire (NW) bending by SEM imaging.« less

  14. Electronic Transport Properties of One Dimensional Zno Nanowires Studied Using Maximally-Localized Wannier Functions

    NASA Astrophysics Data System (ADS)

    Sun, Xu; Gu, Yousong; Wang, Xueqiang

    2012-08-01

    One dimensional ZnO NWs with different diameters and lengths have been investigated using density functional theory (DFT) and Maximally Localized Wannier Functions (MLWFs). It is found that ZnO NWs are direct band gap semiconductors and there exist a turn on voltage for observable current. ZnO nanowires with different diameters and lengths show distinctive turn-on voltage thresholds in I-V characteristics curves. The diameters of ZnO NWs are greatly influent the transport properties of ZnO NWs. For the ZnO NW with large diameter that has more states and higher transmission coefficients leads to narrow band gap and low turn on voltage. In the case of thinner diameters, the length of ZnO NW can effects the electron tunneling and longer supercell lead to higher turn on voltage.

  15. Hydrothermal growth of TiO2 nanowire membranes sensitized with CdS quantum dots for the enhancement of photocatalytic performance

    PubMed Central

    2014-01-01

    In this paper, TiO2 nanowires (NWs) on Ti foils were prepared using a simple hydrothermal approach and annealing treatment. CdS quantum dots (QDs) were assembled onto the crystallized TiO2 NWs by sequential chemical bath deposition. Ultraviolet-visible absorption spectra showed that CdS adds bands in the visible to the TiO2 absorption and exhibited a broad absorption band in the visible region, which extended the scope of absorption spectrum and helped improve the photocatalytic degradation efficiency. The results of photocatalytic experiment revealed that CdS-TiO2 NWs possessed higher photocatalytic activities toward methyl orange than pure TiO2 nanowires. The degradation efficiency of 96.32% after ten cycles indicated that the as-prepared CdS-TiO2 composite exhibited excellent long-time recyclable ability and can be reused for the degradation of contaminants. PMID:24936164

  16. Gold-coated silicon nanowire-graphene core-shell composite film as a polymer binder-free anode for rechargeable lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Kim, Han-Jung; Lee, Sang Eon; Lee, Jihye; Jung, Joo-Yun; Lee, Eung-Sug; Choi, Jun-Hyuk; Jung, Jun-Ho; Oh, Minsub; Hyun, Seungmin; Choi, Dae-Geun

    2014-07-01

    We designed and fabricated a gold (Au)-coated silicon nanowires/graphene (Au-SiNWs/G) hybrid composite as a polymer binder-free anode for rechargeable lithium-ion batteries (LIBs). A large amount of SiNWs for LIB anode materials can be prepared by metal-assisted chemical etching (MaCE) process. The Au-SiNWs/G composite film on current collector was obtained by vacuum filtration using an anodic aluminum oxide (AAO) membrane and hot pressing method. Our experimental results show that the Au-SiNWs/G composite has a stable reversible capacity of about 1520 mA h/g which was maintained for 20 cycles. The Au-SiNWs/G composite anode showed much better cycling performance than SiNWs/polyvinylidene fluoride (PVDF)/Super-P, SiNWs/G composite, and pure SiNWs anodes. The improved electrochemical properties of the Au-SiNWs/G composite anode material is mainly ascribed to the composite's porous network structure.

  17. Template-Free Electroless Plating of Gold Nanowires: Direct Surface Functionalization with Shape-Selective Nanostructures for Electrochemical Applications.

    PubMed

    Muench, Falk; Schaefer, Sandra; Hagelüken, Lorenz; Molina-Luna, Leopoldo; Duerrschnabel, Michael; Kleebe, Hans-Joachim; Brötz, Joachim; Vaskevich, Alexander; Rubinstein, Israel; Ensinger, Wolfgang

    2017-09-13

    Metal nanowires (NWs) represent a prominent nanomaterial class, the interest in which is fueled by their tunable properties as well as their excellent performance in, for example, sensing, catalysis, and plasmonics. Synthetic approaches to obtain metal NWs mostly produce colloids or rely on templates. Integrating such nanowires into devices necessitates additional fabrication steps, such as template removal, nanostructure purification, or attachment. Here, we describe the development of a facile electroless plating protocol for the direct deposition of gold nanowire films, requiring neither templates nor complex instrumentation. The method is general, producing three-dimensional nanowire structures on substrates of varying shape and composition, with different seed types. The aqueous plating bath is prepared by ligand exchange and partial reduction of tetrachloroauric acid in the presence of 4-dimethylaminopyridine and formaldehyde. Gold deposition proceeds by nucleation of new grains on existing nanostructure tips and thus selectively produces curvy, polycrystalline nanowires of high aspect ratio. The nanofabrication potential of this method is demonstrated by producing a sensor electrode, whose performance is comparable to that of known nanostructures and discussed in terms of the catalyst architecture. Due to its flexibility and simplicity, shape-selective electroless plating is a promising new tool for functionalizing surfaces with anisotropic metal nanostructures.

  18. Optically Tunable Chiral Plasmonic Guest-Host Cellulose Films Weaved with Long-range Ordered Silver Nanowires.

    PubMed

    Chu, Guang; Wang, Xuesi; Chen, Tianrui; Gao, Jianxiong; Gai, Fangyuan; Wang, Yu; Xu, Yan

    2015-06-10

    Plasmonic materials with large chiroptical activity at visible wavelength have attracted considerable attention due to their potential applications in metamaterials. Here we demonstrate a novel guest-host chiral nematic liquid crystal film composed of bulk self-co-assembly of the dispersed plasmonic silver nanowires (AgNWs) and cellulose nanocrystals (CNCs). The AgNWs-CNCs composite films show strong plasmonic optical activities, that are dependent on the chiral photonic properties of the CNCs host medium and orientation of the guest AgNWs. Tunable chiral distribution of the aligned anisotropic AgNWs with long-range order is obtained through the CNCs liquid crystal mediated realignment. The chiral plasmonic optical activity of the AgNWs-CNCs composite films can be tuned by changing the interparticle electrostatic repulsion between the CNCs nanorods and AgNWs. We also observe an electromagnetic energy transfer phenomena among the plasmonic bands of AgNWs, due to the modulation of the photonic band gap of the CNCs host matrix. This facile approach for fabricating chiral macrostructured plasmonic materials with optically tunable property is of interest for a variety of advanced optics applications.

  19. Effects of lithium insertion on thermal conductivity of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Wen; Institute of High Performance Computing, A*STAR, Singapore, Singapore 138632; Zhang, Gang, E-mail: zhangg@ihpc.a-star.edu.sg

    2015-04-27

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reductionmore » in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.« less

  20. Characterizing the surface forces between two individual nanowires using optical microscopy based nanomanipulation

    NASA Astrophysics Data System (ADS)

    Xie, Hongtao; Mead, James L.; Wang, Shiliang; Fatikow, Sergej; Huang, Han

    2018-06-01

    The adhesion and friction between two Al2O3 nanowires (NWs) was characterized by the use of optical microscopy based nanomanipulation, with which peeling, shearing and sliding was performed. The elastically deformed shape of the NWs during peeling and shearing was used to calculate the adhesion and frictional forces; force sensing was not required. The obtained adhesion stress between two Al2O3 NWs varied from 0.14 to 0.25 MPa, lower than that observed for carbon nanotube junctions, and was attributed to van der Waals attraction. Stick-slip was observed during the shearing and sliding of two NWs, and was the consequence of discrete contact between surface asperities. The obtained static and kinetic frictional stresses varied from 0.7 to 1.3 MPa and 0.4 to 0.8 MPa, respectively; significantly greater than the obtained adhesion stress.

  1. Characterizing the surface forces between two individual nanowires using optical microscopy based nanomanipulation.

    PubMed

    Xie, Hongtao; Mead, James L; Wang, Shiliang; Fatikow, Sergej; Huang, Han

    2018-06-01

    The adhesion and friction between two Al 2 O 3 nanowires (NWs) was characterized by the use of optical microscopy based nanomanipulation, with which peeling, shearing and sliding was performed. The elastically deformed shape of the NWs during peeling and shearing was used to calculate the adhesion and frictional forces; force sensing was not required. The obtained adhesion stress between two Al 2 O 3 NWs varied from 0.14 to 0.25 MPa, lower than that observed for carbon nanotube junctions, and was attributed to van der Waals attraction. Stick-slip was observed during the shearing and sliding of two NWs, and was the consequence of discrete contact between surface asperities. The obtained static and kinetic frictional stresses varied from 0.7 to 1.3 MPa and 0.4 to 0.8 MPa, respectively; significantly greater than the obtained adhesion stress.

  2. Electrical characterization of vertically stacked p-FET SOI nanowires

    NASA Astrophysics Data System (ADS)

    Cardoso Paz, Bruna; Cassé, Mikaël; Barraud, Sylvain; Reimbold, Gilles; Vinet, Maud; Faynot, Olivier; Antonio Pavanello, Marcelo

    2018-03-01

    This work presents the performance and transport characteristics of vertically stacked p-type MOSFET SOI nanowires (NWs) with inner spacers and epitaxial growth of SiGe raised source/drain. The conventional procedure to extract the effective oxide thickness (EOT) and Shift and Ratio Method (S&R) have been adapted and validated through tridimensional numerical simulations. Electrical characterization is performed for NWs with [1 1 0]- and [1 0 0]-oriented channels, as a function of both fin width (WFIN) and channel length (L). Results show a good electrostatic control and reduced short channel effects (SCE) down to 15 nm gate length, for both orientations. Effective mobility is found around two times higher for [1 1 0]- in comparison to [1 0 0]-oriented NWs due to higher holes mobility contribution in (1 1 0) plan. Improvements obtained on ION/IOFF by reducing WFIN are mainly due to subthreshold slope decrease, once small and none mobility increase is obtained for [1 1 0]- and [1 0 0]-oriented NWs, respectively.

  3. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  4. Double heterojunction nanowire photocatalysts for hydrogen generation.

    PubMed

    Tongying, P; Vietmeyer, F; Aleksiuk, D; Ferraudi, G J; Krylova, G; Kuno, M

    2014-04-21

    Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core/shell nanowires and their Pt nanoparticle-decorated counterparts. Femtosecond transient differential absorption measurements reveal how semiconductor/semiconductor and metal/semiconductor heterojunctions affect the charge separation and hydrogen generation efficiencies of these hybrid photocatalysts. In turn, we unravel the role of surface passivation, charge separation at semiconductor interfaces and charge transfer to metal co-catalysts in determining photocatalytic H2 generation efficiencies. This allows us to rationalize why Pt nanoparticle decorated CdSe/CdS NWs, a double heterojunction system, performs best with H2 generation rates of ∼434.29 ± 27.40 μmol h(-1) g(-1) under UV/Visible irradiation. In particular, we conclude that the CdS shell of this double heterojunction system serves two purposes. The first is to passivate CdSe NW surface defects, leading to long-lived charges at the CdSe/CdS interface capable of carrying out reduction chemistries. Upon photoexcitation, we also find that CdS selectively injects charges into Pt NPs, enabling simultaneous reduction chemistries at the Pt NP/solvent interface. Pt nanoparticle decorated CdSe/CdS NWs thus enable reduction chemistries at not one, but rather two interfaces, taking advantage of each junction's optimal catalytic activities.

  5. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  6. Mechanical responses of a-axis GaN nanowires under axial loads

    NASA Astrophysics Data System (ADS)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.

  7. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  8. High performance nonvolatile memory devices based on Cu2-xSe nanowires

    NASA Astrophysics Data System (ADS)

    Wu, Chun-Yan; Wu, Yi-Liang; Wang, Wen-Jian; Mao, Dun; Yu, Yong-Qiang; Wang, Li; Xu, Jun; Hu, Ji-Gang; Luo, Lin-Bao

    2013-11-01

    We report on the rational synthesis of one-dimensional Cu2-xSe nanowires (NWs) via a solution method. Electrical analysis of Cu2-xSe NWs based memory device exhibits a stable and reproducible bipolar resistive switching behavior with a low set voltage (0.3-0.6 V), which can enable the device to write and erase data efficiently. Remarkably, the memory device has a record conductance switching ratio of 108, much higher than other devices ever reported. At last, a conducting filaments model is introduced to account for the resistive switching behavior. The totality of this study suggests that the Cu2-xSe NWs are promising building blocks for fabricating high-performance and low-consumption nonvolatile memory devices.

  9. Preparation and electrochemistry of Pd-Ni/Si nanowire nanocomposite catalytic anode for direct ethanol fuel cell.

    PubMed

    Miao, Fengjuan; Tao, Bairui; Chu, Paul K

    2012-04-28

    A new silicon-based anode suitable for direct ethanol fuel cells (DEFCs) is described. Pd-Ni nanoparticles are coated on Si nanowires (SiNWs) by electroless co-plating to form the catalytic materials. The electrocatalytic properties of the SiNWs and ethanol oxidation on the Pd-Ni catalyst (Pd-Ni/SiNWs) are investigated electrochemically. The effects of temperature and working potential limit in the anodic direction on ethanol oxidation are studied by cyclic voltammetry. The Pd-Ni/SiNWs electrode exhibits higher electrocatalytic activity and better long-term stability in an alkaline solution. It also yields a larger current density and negative onset potential thus boding well for its application to fuel cells. This journal is © The Royal Society of Chemistry 2012

  10. Quantum Conductance in Metal Nanowires

    NASA Astrophysics Data System (ADS)

    Ugarte, Daniel

    2004-03-01

    Quantum Conductance in Metal Nanowires D. Ugarte Brazilian National Synchrotron Light Laboratory C.P. 6192, 13084-971 Campinas SP, Brazil. Electrical transport properties of metallic nanowires (NWs) have received great attention due to their quantum conductance behavior. Atomic scale wires can be generated by stretching metal contacts; during the elongation and just before rupture, the NW conductance shows flat plateaus and abrupt jumps of approximately a conductance quantum. In this experiments, both the NW atomic arrangement and conductance change simultaneously, making difficult to discriminate electronic and structural effects. In this work, the atomic structure of NWs was studied by time-resolved in situ experiments in a high resolution transmission electron microscope, while their electrical properties using an UHV mechanically controllable break junction (MCBJ). From the analysis of numerous HRTEM images and videos, we have deduced that metal (Au, Ag, Pt, etc.) junctions generated by tensile deformation are crystalline and free of defects. The neck structure is strongly dependent on the surface properties of the analyzed metal, this was verified by comparing different metal NWs (Au, Ag, Cu), which have similar atomic structure (FCC), but show very different faceting patterns. The correlation between the observed structural and transport properties of NW points out that the quantum conductance behavior is defined by preferred atomic arrangement at the narrowest constriction. In the case of magnetic (ex. Fe,Co,Ni) or quasi-magnetic (ex. Pd) wires, we have observed that one-atom-thick structures show a conductance of half the quantum as expected for a fully spin polarized current. This phenomenon seems to occur spontaneously for magnetic suspended atom-chains in zero magnetic field and at room temperature. These results open new opportunities for spin control in nanostructures. Funded by FAPESP, LNLS and CNPq.

  11. The composition dependence of magnetic, electronic and optical properties of Mn-doped SixGe1-x nanowires

    NASA Astrophysics Data System (ADS)

    Wei, Jianglin; Lan, Mu; Zhang, Xi; Xiang, Gang

    2017-07-01

    Mn-doped SixGe1-x nanowires (NWs) with different Ge concentrations have been studied by first-principles calculations. It is found that the spin dependent energy bands of the NWs show rich variations both in bandgap width and type (from indirect to direct) as the Ge concentration changes. The Mn-doped SixGe1-x NWs exhibit half-metallic characteristics for all Ge concentrations, and the ground states of the NWs are found to be ferromagnetic (FM). The net magnetization mapping and spin density of states calculations reveal that Mn 3d electrons have a strong hybridization effect with nearest Ge 4p electrons, which results in the Ge’s nontrivial contribution to the magnetic moment of the NWs. Further magnon dispersion studies show that the magnetic order stability of the NWs is influenced by Ge concentrations. Finally, the dependence of the optical properties of the magnetic NWs on the Ge concentration is demonstrated. Our results suggest that Mn-doped SixGe1-x NWs may be useful in spintronic and optoelectronic devices.

  12. Electrical characterization of strained and unstrained silicon nanowires with nickel silicide contacts.

    PubMed

    Habicht, S; Zhao, Q T; Feste, S F; Knoll, L; Trellenkamp, S; Ghyselen, B; Mantl, S

    2010-03-12

    We present electrical characterization of nickel monosilicide (NiSi) contacts formed on strained and unstrained silicon nanowires (NWs), which were fabricated by top-down processing of initially As(+) implanted and activated strained and unstrained silicon-on-insulator (SOI) substrates. The resistivity of doped Si NWs and the contact resistivity of the NiSi to Si NW contacts are studied as functions of the As(+) ion implantation dose and the cross-sectional area of the wires. Strained silicon NWs show lower resistivity for all doping concentrations due to their enhanced electron mobility compared to the unstrained case. An increase in resistivity with decreasing cross section of the NWs was observed for all implantation doses. This is ascribed to the occurrence of dopant deactivation. Comparing the silicidation of uniaxially tensile strained and unstrained Si NWs shows no difference in silicidation speed and in contact resistivity between NiSi/Si NW. Contact resistivities as low as 1.2 x 10(-8) Omega cm(-2) were obtained for NiSi contacts to both strained and unstrained Si NWs. Compared to planar contacts, the NiSi/Si NW contact resistivity is two orders of magnitude lower.

  13. Low-Temperature Preparation of Ag-Doped ZnO Nanowire Arrays, DFT Study, and Application to Light-Emitting Diode.

    PubMed

    Pauporté, Thierry; Lupan, Oleg; Zhang, Jie; Tugsuz, Tugba; Ciofini, Ilaria; Labat, Frédéric; Viana, Bruno

    2015-06-10

    Doping ZnO nanowires (NWs) by group IB elements is an important challenge for integrating nanostructures into functional devices with better and tuned performances. The growth of Ag-doped ZnO NWs by electrodeposition at 90 °C using a chloride bath and molecular oxygen precursor is reported. Ag acts as an electrocatalyst for the deposition and influences the nucleation and growth of the structures. The silver atomic concentration in the wires is controlled by the additive concentration in the deposition bath and a content up to 3.7 atomic % is reported. XRD analysis shows that the integration of silver enlarges the lattice parameters of ZnO. The optical measurements also show that the direct optical bandgap of ZnO is reduced by silver doping. The bandgap shift and lattice expansion are explained by first principle calculations using the density functional theory (DFT) on the silver impurity integration as an interstitial (Ag(i)) and as a substitute of zinc atom (Ag(Zn)) in the crystal lattice. They notably indicate that Ag(Zn) doping forms an impurity band because of Ag 4d and O 2p orbital interactions, shifting the Fermi level toward the valence band. At least, Ag-doped ZnO vertically aligned nanowire arrays have been epitaxially grown on GaN(001) substrate. The heterostructure has been inserted in a light emitting device. UV-blue light emission has been achieved with a low emission threshold of 5 V and a tunable red-shifted emission spectrum related to the bandgap reduction induced by silver doping of the ZnO emitter material.

  14. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition.

    PubMed

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D; Renevier, Hubert; Consonni, Vincent

    2017-03-03

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 10 7 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  15. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    NASA Astrophysics Data System (ADS)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  16. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscaleengineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol–gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on themore » macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscaleengineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.« less

  17. Silver nanowire-graphene hybrid transparent conductive electrodes for highly efficient inverted organic solar cells

    NASA Astrophysics Data System (ADS)

    Ye, Neng; Yan, Jielin; Xie, Shuang; Kong, Yuhan; Liang, Tao; Chen, Hongzheng; Xu, Mingsheng

    2017-07-01

    Silver nanowires (AgNWs) and graphene are both promising candidates as a transparent conductive electrode (TCE) to replace expensive and fragile indium tin oxide (ITO) TCE. A synergistically optimized performance is expected when the advantages of AgNWs and graphene are combined. In this paper, the AgNW-graphene hybrid electrode is constructed by depositing a graphene layer on top of the network of AgNWs. Compared with the pristine AgNWs electrode, the AgNW-graphene TCE exhibits reduced sheet resistance, lower surface roughness, excellent long-term stability, and corrosion resistance in corrosive liquids. The graphene layer covering the AgNWs provides additional conduction pathways for electron transport and collection by the electrode. Benefiting from these advantages of the hybrid electrodes, we achieve a power conversion efficiency of 8.12% of inverted organic solar cells using PTB7:PC71BM as the active layer, which is compared to that of the solar cells based on standard ITO TCE but about 10% higher than that based on AgNWs TCE.

  18. Highly improved sensibility and selectivity ethanol sensor of mesoporous Fe-doped NiO nanowires

    NASA Astrophysics Data System (ADS)

    Li, X. Q.; Wei, J. Q.; Xu, J. C.; Jin, H. X.; Jin, D. F.; Peng, X. L.; Hong, B.; Li, J.; Yang, Y. T.; Ge, H. L.; Wang, Xinqing

    2017-12-01

    In this paper, nickel oxides (NiO) and iron (Fe)-doped NiO nanowires (NWs) with the various doping content (from 1 to 9 at%) were synthesized by using SBA-15 templates with the nanocasting method. All samples were synthesized in the same conditions and exhibited the same mesoporous-structures, uniform diameter, and defects. Mesoporous-structures with high surface area created more active sites for the adsorption of oxygen on the surface of all samples, resulting in the smaller surface resistance in air. The impurity energy levels from the donor Fe-doping provided electrons to neutralize the holes of p-type Fe-doped NiO NWs, which greatly enhanced the total resistance. The comparative gas-sensing study between NiO NWs and Fe-doped NiO NWs indicated that the high-valence donor Fe-doping obviously improved the ethanol sensitivity and selectivity for Fe-doped NiO NWs. And Ni0.94Fe0.06O1.03 NWs sensor presented the highest sensitivity of 14.30 toward ethanol gas at 320 °C for the high-valence metal-doping.

  19. X-ray Reciprocal Space Mapping of Graded Al x Ga1 - x N Films and Nanowires.

    PubMed

    Stanchu, Hryhorii V; Kuchuk, Andrian V; Kladko, Vasyl P; Ware, Morgan E; Mazur, Yuriy I; Zytkiewicz, Zbigniew R; Belyaev, Alexander E; Salamo, Gregory J

    2016-12-01

    The depth distribution of strain and composition in graded Al x Ga1 - x N films and nanowires (NWs) are studied theoretically using the kinematical theory of X-ray diffraction. By calculating [Formula: see text] reciprocal space maps (RSMs), we demonstrate significant differences in the intensity distributions from graded Al x Ga1 - x N films and NWs. We attribute these differences to relaxation of the substrate-induced strain on the NWs free side walls. Finally, we demonstrate that the developed X-ray reciprocal space map model allows for reliable depth profiles of strain and Al composition determination in both Al x Ga1 - x N films and NWs.

  20. Magnetization dynamics of Ni80Fe20 nanowires with continuous width modulation

    NASA Astrophysics Data System (ADS)

    Xiong, L. L.; Kostylev, M.; Adeyeye, A. O.

    2017-06-01

    A systematic investigation of the magnetization reversal and the dynamic behaviors of uncoupled Ni80Fe20 nanowires (NWs) with artificial continuous width modulation is presented. In contrast with the single resonance mode observed in the homogeneous NWs from the broadband ferromagnetic resonance spectroscopy, the NWs with continuous width modulation display three to five distinct resonance modes with increasing wire thickness in the range from 5 to 70 nm due to the nonuniform demagnetizing field. The highest frequency mode and the frequency difference between the two distinct highest modes are shown to be markedly sensitive to the NW thickness. Interestingly, we found that these modes can be described in terms of the quantization of the standing spin waves due to confined varied width. In addition, the easy axis coercive field for the width modulated NWs is much higher than homogeneous NWs of the same thickness when less than 70 nm. Our experimental results are in good qualitative agreement with the micromagnetic simulations. The results may find potential applications in the design and optimization of tunable magnonic filters.

  1. Cytocompatibility and cellular internalization mechanisms of SiC/SiO2 nanowires.

    PubMed

    Cacchioli, A; Ravanetti, F; Alinovi, R; Pinelli, S; Rossi, F; Negri, M; Bedogni, E; Campanini, M; Galetti, M; Goldoni, M; Lagonegro, P; Alfieri, R; Bigi, F; Salviati, G

    2014-08-13

    First evidence of in vitro cytocompatibility of SiC/SiO2 core-shell nanowires is reported. Different internalization mechanisms by adenocarcinomic alveolar basal epithelial cells, monocytic cell line derived from an acute monocytic leukemia, breast cancer cells, and normal human dermal fibroblasts are shown. The internalization occurs mainly for macropinocytosis and sporadically by direct penetration in all cell models considered, whereas it occurred for phagocytosis only in monocytic leukemia cells. The cytocompatibility of the nanowires is proved by the analysis of cell proliferation, cell cycle progression, and oxidative stress on the cells treated with NWs as compared to controls. Reactive oxygen species generation was detected as an early event that then quickly run out with a rapid decrease only in adenocarcinomic alveolar basal epithelial and human dermal fibroblasts cells. In all the cell lines, the intracellular presence of NWs induce the same molecular events but to a different extent: peroxidation of membrane lipids and oxidation of proteins. The NWs do not elicit either midterm (72 h) or long-term (10 days) cytotoxic activity leading to irreversible cellular damages or death. Our results are important in view of a possible use of SiC/SiO2 core-shell structures acting as biomolecule-delivery vectors or intracellular electrodes.

  2. Neuron-like differentiation of mesenchymal stem cells on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Hyunju; Kim, Ilsoo; Choi, Heon-Jin; Kim, So Yeon; Yang, Eun Gyeong

    2015-10-01

    The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal stem cells (hMSCs) were cultured on the longest SiNWs for 3 days, most of the cells exhibited elongated shapes with neurite-like extensions and dot-like focal adhesions that were prominently observed along with actin filaments. Under these circumstances, the cell motility analyzed by live cell imaging was found to decrease due to the presence of SiNWs. In addition, the slowed growth rate, as well as the reduced population of S phase cells, suggested that the cell cycle was likely arrested in response to the differentiation process. Furthermore, we measured the mRNA levels of several lineage-specific markers to confirm that the SiNWs actually induced neuron-like differentiation of the hMSCs while hampering their osteogenic differentiation. Taken together, our results implied that SiNWs were capable of inducing active reorganization of cellular behaviors, collectively guiding the fate of hMSCs into the neural lineage even in the absence of any inducing reagent.The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal

  3. Nickel/Platinum Dual Silicide Axial Nanowire Heterostructures with Excellent Photosensor Applications.

    PubMed

    Wu, Yen-Ting; Huang, Chun-Wei; Chiu, Chung-Hua; Chang, Chia-Fu; Chen, Jui-Yuan; Lin, Ting-Yi; Huang, Yu-Ting; Lu, Kuo-Chang; Yeh, Ping-Hung; Wu, Wen-Wei

    2016-02-10

    Transition metal silicide nanowires (NWs) have attracted increasing attention as they possess advantages of both silicon NWs and transition metals. Over the past years, there have been reported with efforts on one silicide in a single silicon NW. However, the research on multicomponent silicides in a single silicon NW is still rare, leading to limited functionalities. In this work, we successfully fabricated β-Pt2Si/Si/θ-Ni2Si, β-Pt2Si/θ-Ni2Si, and Pt, Ni, and Si ternary phase axial NW heterostructures through solid state reactions at 650 °C. Using in situ transmission electron microscope (in situ TEM), the growth mechanism of silicide NW heterostructures and the diffusion behaviors of transition metals were systematically studied. Spherical aberration corrected scanning transmission electron microscope (Cs-corrected STEM) equipped with energy dispersive spectroscopy (EDS) was used to analyze the phase structure and composition of silicide NW heterostructures. Moreover, electrical and photon sensing properties for the silicide nanowire heterostructures demonstrated promising applications in nano-optoeletronic devices. We found that Ni, Pt, and Si ternary phase nanowire heterostructures have an excellent infrared light sensing property which is absent in bulk Ni2Si or Pt2Si. The above results would benefit the further understanding of heterostructured nano materials.

  4. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  5. Ferromagnetic nickel silicide nanowires for isolating primary CD4+ T lymphocytes

    NASA Astrophysics Data System (ADS)

    Kim, Dong-Joo; Seol, Jin-Kyeong; Lee, Mi-Ri; Hyung, Jung-Hwan; Kim, Gil-Sung; Ohgai, Takeshi; Lee, Sang-Kwon

    2012-04-01

    Direct CD4+ T lymphocytes were separated from whole mouse splenocytes using 1-dimensional ferromagnetic nickel silicide nanowires (NiSi NWs). NiSi NWs were prepared by silver-assisted wet chemical etching of silicon and subsequent deposition and annealing of Ni. This method exhibits a separation efficiency of ˜93.5%, which is comparable to that of the state-of-the-art superparamagnetic bead-based cell capture (˜96.8%). Furthermore, this research shows potential for separation of other lymphocytes, B, natural killer and natural killer T cells, and even rare tumor cells simply by changing the biotin-conjugated antibodies.

  6. Ion-beam-induced bending of semiconductor nanowires.

    PubMed

    Hanif, Imran; Camara, Osmane; Tunes, Matheus A; Harrison, Robert W; Greaves, Graeme; Donnelly, Stephen E; Hinks, Jonathan A

    2018-08-17

    The miniaturisation of technology increasingly requires the development of both new structures as well as novel techniques for their manufacture and modification. Semiconductor nanowires (NWs) are a prime example of this and as such have been the subject of intense scientific research for applications ranging from microelectronics to nano-electromechanical devices. Ion irradiation has long been a key processing step for semiconductors and the natural extension of this technique to the modification of semiconductor NWs has led to the discovery of ion beam-induced deformation effects. In this work, transmission electron microscopy with in situ ion bombardment has been used to directly observe the evolution of individual silicon and germanium NWs under irradiation. Silicon NWs were irradiated with either 6 keV neon ions or xenon ions at 5, 7 or 9.5 keV with a flux of 3 × 10 13 ions cm -2 s -1 . Germanium NWs were irradiated with 30 or 70 keV xenon ions with a flux of 10 13 ions cm -2 s -1 . These new results are combined with those reported in the literature in a systematic analysis using a custom implementation of the transport of ions in matter Monte Carlo computer code to facilitate a direct comparison with experimental results taking into account the wide range of experimental conditions. Across the various studies this has revealed underlying trends and forms the basis of a critical review of the various mechanisms which have been proposed to explain the deformation of semiconductor NWs under ion irradiation.

  7. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  8. Soft plasma processing of organic nanowires: a route for the fabrication of 1D organic heterostructures and the template synthesis of inorganic 1D nanostructures.

    PubMed

    Alcaire, Maria; Sanchez-Valencia, Juan R; Aparicio, Francisco J; Saghi, Zineb; Gonzalez-Gonzalez, Juan C; Barranco, Angel; Zian, Youssef Oulad; Gonzalez-Elipe, Agustin R; Midgley, Paul; Espinos, Juan P; Groening, Pierangelo; Borras, Ana

    2011-11-01

    Hierarchical (branched) and hybrid metal-NPs/organic supported NWs are fabricated through controlled plasma processing of metalloporphyrin, metallophthalocyanine and perylene nanowires. The procedure is also applied for the development of a general template route for the synthesis of supported metal and metal oxide nanowires.

  9. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  10. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    PubMed

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  11. High-Strength Konjac Glucomannan/Silver Nanowires Composite Films with Antibacterial Properties

    PubMed Central

    Lei, Jia; Zhou, Lei; Tang, Yongjian; Luo, Yong; Duan, Tao; Zhu, Wenkun

    2017-01-01

    Robust, high-strength and environmentally friendly antibacterial composite films were prepared by simply blending konjac glucomannan (KGM) and silver nanowires (Ag NWs) in an aqueous system. The samples were then characterized using scanning electron microscopy (SEM), X-ray diffraction (XRD), thermal gravimetric analysis, mechanical property tests, Fourier transform infrared spectra (FT-IR), X-ray photoelectron spectroscopy (XPS) and antimicrobial tests. The results showed that there was a high ratio of Ag NWs uniformly distributed in the composite films, which was vital for mechanical reinforcement and stable antibacterial properties. The enhanced thermal stability and mechanical intensity increased, while the elongation at break was reduced with an increase in the amount of Ag NWs found in the composite films. When the percentage of Ag NWs in the composite films reached 5%, the tensile strength was 148.21 MPa, Young’s modulus was 13.79 GPa and the ultimate strain was 25.28%. Antibacterial tests showed that the KGM films had no antibacterial effect. After the addition of Ag NWs, the composite films had an obvious inhibitory effect on bacteria, with the uniform dispersion of Ag NWs promoting the antibacterial effect to a certain degree. These results indicated that these composite films would have a potential application in the fields of environmentally friendly packaging or medicine. PMID:28772883

  12. Five-minute synthesis of silver nanowires and their roll-to-roll processing for large-area organic light emitting diodes.

    PubMed

    Sim, Hwansu; Kim, Chanho; Bok, Shingyu; Kim, Min Ki; Oh, Hwisu; Lim, Guh-Hwan; Cho, Sung Min; Lim, Byungkwon

    2018-06-18

    Silver (Ag) nanowires (NWs) are promising building blocks for flexible transparent electrodes, which are key components in fabricating soft electronic devices such as flexible organic light emitting diodes (OLEDs). Typically, Ag NWs have been synthesized using a polyol method, but it still remains a challenge to produce high-aspect-ratio Ag NWs via a simple and rapid process. In this work, we developed a modified polyol method and newly found that the addition of propylene glycol to ethylene glycol-based polyol synthesis facilitated the growth of Ag NWs, allowing the rapid production of long Ag NWs with high aspect ratios of about 2000 in a high yield (∼90%) within 5 min. Transparent electrodes fabricated with our Ag NWs exhibited performance comparable to that of an indium tin oxide-based electrode. With these Ag NWs, we successfully demonstrated the fabrication of a large-area flexible OLED with dimensions of 30 cm × 15 cm using a roll-to-roll process.

  13. Structural and optical properties of glancing angle deposited TiO2 nanowires array.

    PubMed

    Chinnamuthu, P; Mondal, A; Singh, N K; Dhar, J C; Das, S K; Chattopadhyay, K K

    2012-08-01

    TiO2 nanowires (NWs) have been synthesized by glancing angle deposition technique using e-beam evaporator. The average length 490 nm and diameter 80 nm of NWs were examined by field emission-scanning electron microscopy. Transmission electron microscopy emphasized that the NWs were widely dispersed at the top. X-ray diffraction has been carried out on the TiO2 thin film (TF) and NW array. A small blue shift of 0.03 eV was observed in Photoluminescence (PL) main band emission for TiO2 NW as compared to TiO2 TF. The high temperature annealing at 980 degrees C partially removed the oxygen vacancy from the sample, which was investigated by PL and optical absorption measurements.

  14. Diameter Dependence of Planar Defects in InP Nanowires

    PubMed Central

    Wang, Fengyun; Wang, Chao; Wang, Yiqian; Zhang, Minghuan; Han, Zhenlian; Yip, SenPo; Shen, Lifan; Han, Ning; Pun, Edwin Y. B.; Ho, Johnny C.

    2016-01-01

    In this work, extensive characterization and complementary theoretical analysis have been carried out on Au-catalyzed InP nanowires in order to understand the planar defect formation as a function of nanowire diameter. From the detailed transmission electron microscopic measurements, the density of stacking faults and twin defects are found to monotonically decrease as the nanowire diameter is decreased to 10 nm, and the chemical analysis clearly indicates the drastic impact of In catalytic supersaturation in Au nanoparticles on the minimized planar defect formation in miniaturized nanowires. Specifically, during the chemical vapor deposition of InP nanowires, a significant amount of planar defects is created when the catalyst seed sizes are increased with the lower degree of In supersaturation as dictated by the Gibbs-Thomson effect, and an insufficient In diffusion (or Au-rich enhancement) would lead to a reduced and non-uniform In precipitation at the NW growing interface. The results presented here provide an insight into the fabrication of “bottom-up” InP NWs with minimized defect concentration which are suitable for various device applications. PMID:27616584

  15. Diameter Dependence of Planar Defects in InP Nanowires.

    PubMed

    Wang, Fengyun; Wang, Chao; Wang, Yiqian; Zhang, Minghuan; Han, Zhenlian; Yip, SenPo; Shen, Lifan; Han, Ning; Pun, Edwin Y B; Ho, Johnny C

    2016-09-12

    In this work, extensive characterization and complementary theoretical analysis have been carried out on Au-catalyzed InP nanowires in order to understand the planar defect formation as a function of nanowire diameter. From the detailed transmission electron microscopic measurements, the density of stacking faults and twin defects are found to monotonically decrease as the nanowire diameter is decreased to 10 nm, and the chemical analysis clearly indicates the drastic impact of In catalytic supersaturation in Au nanoparticles on the minimized planar defect formation in miniaturized nanowires. Specifically, during the chemical vapor deposition of InP nanowires, a significant amount of planar defects is created when the catalyst seed sizes are increased with the lower degree of In supersaturation as dictated by the Gibbs-Thomson effect, and an insufficient In diffusion (or Au-rich enhancement) would lead to a reduced and non-uniform In precipitation at the NW growing interface. The results presented here provide an insight into the fabrication of "bottom-up" InP NWs with minimized defect concentration which are suitable for various device applications.

  16. Catalyst patterning for nanowire devices

    NASA Technical Reports Server (NTRS)

    Li, Jun (Inventor); Cassell, Alan M. (Inventor); Han, Jie (Inventor)

    2004-01-01

    Nanowire devices may be provided that are based on carbon nanotubes or single-crystal semiconductor nanowires. The nanowire devices may be formed on a substrate. Catalyst sites may be formed on the substrate. The catalyst sites may be formed using lithography, thin metal layers that form individual catalyst sites when heated, collapsible porous catalyst-filled microscopic spheres, microscopic spheres that serve as masks for catalyst deposition, electrochemical deposition techniques, and catalyst inks. Nanowires may be grown from the catalyst sites.

  17. Platinum–nickel nanowire catalysts with composition-tunable alloying and faceting for the oxygen reduction reaction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Fangfang; Yu, Gang; Shan, Shiyao

    2017-01-01

    The ability to tune the alloying properties and faceting characteristics of bimetallic nanocatalysts is essential for designing catalysts with enhanced activity and stability through optimizing strain and ligand effects, which is an important frontier for designing advanced materials as catalysts for fuel cell applications. This report describes composition-controlled alloying and faceting of platinum–nickel nanowires (PtNi NWs) for the electrocatalytic oxygen reduction reaction. The PtNi NWs are synthesized by a surfactant-free method and are shown to display bundled morphologies of nano-tetrahedra or nanowires, featuring an ultrathin and irregular helix morphology with composition-tunable facets. Using high-energy synchrotron X-ray diffraction coupled with atomicmore » pair distribution function analysis, lattice expansion and shrinking are revealed, with the Pt : Ni ratio of ~3 : 2 exhibiting a clear expansion, which coincides with the maximum electrocatalytic activity for the ORR. In comparison with PtNi nanoparticles (NPs), the PtNi NWs display remarkably higher electrocatalytic activity and stability as a result of the composition dependent atomic-scale alloying and faceting, demonstrating a new pathway to the design of alloy nanocatalysts with enhanced activity and durability for fuel cells.« less

  18. Smooth ZnO:Al-AgNWs Composite Electrode for Flexible Organic Light-Emitting Device.

    PubMed

    Wang, Hu; Li, Kun; Tao, Ye; Li, Jun; Li, Ye; Gao, Lan-Lan; Jin, Guang-Yong; Duan, Yu

    2017-12-01

    The high interest in organic light-emitting device (OLED) technology is largely due to their flexibility. Up to now, indium tin oxide (ITO) films have been widely used as transparent conductive electrodes (TCE) in organic opto-electronic devices. However, ITO films, typically deposited on glass are brittle and they make it difficult to produce flexible devices, restricting their use for flexible devices. In this study, we report on a nano-composite TCE, which is made of a silver nanowire (AgNW) network, combined with aluminum-doped zinc oxide (ZnO:Al, AZO) by atomic layer deposition. The AgNWs/AZO composite electrode on photopolymer substrate shows a low sheet resistance of only 8.6 Ω/sq and a high optical transmittance of about 83% at 550 nm. These values are even comparable to conventional ITO on glass. In addition, the electrodes also have a very smooth surface (0.31 nm root-mean-square roughness), which is flat enough to contact the OLED stack. Flexible OLED were built with AgNWs/AZO electrodes, which suggests that this approach can replace conventional ITO TCEs in organic electronic devices in the future.

  19. Smooth ZnO:Al-AgNWs Composite Electrode for Flexible Organic Light-Emitting Device

    NASA Astrophysics Data System (ADS)

    Wang, Hu; Li, Kun; Tao, Ye; Li, Jun; Li, Ye; Gao, Lan-Lan; Jin, Guang-Yong; Duan, Yu

    2017-01-01

    The high interest in organic light-emitting device (OLED) technology is largely due to their flexibility. Up to now, indium tin oxide (ITO) films have been widely used as transparent conductive electrodes (TCE) in organic opto-electronic devices. However, ITO films, typically deposited on glass are brittle and they make it difficult to produce flexible devices, restricting their use for flexible devices. In this study, we report on a nano-composite TCE, which is made of a silver nanowire (AgNW) network, combined with aluminum-doped zinc oxide (ZnO:Al, AZO) by atomic layer deposition. The AgNWs/AZO composite electrode on photopolymer substrate shows a low sheet resistance of only 8.6 Ω/sq and a high optical transmittance of about 83% at 550 nm. These values are even comparable to conventional ITO on glass. In addition, the electrodes also have a very smooth surface (0.31 nm root-mean-square roughness), which is flat enough to contact the OLED stack. Flexible OLED were built with AgNWs/AZO electrodes, which suggests that this approach can replace conventional ITO TCEs in organic electronic devices in the future.

  20. Accelerating Palladium Nanowire H2 Sensors Using Engineered Nanofiltration.

    PubMed

    Koo, Won-Tae; Qiao, Shaopeng; Ogata, Alana F; Jha, Gaurav; Jang, Ji-Soo; Chen, Vivian T; Kim, Il-Doo; Penner, Reginald M

    2017-09-26

    The oxygen, O 2 , in air interferes with the detection of H 2 by palladium (Pd)-based H 2 sensors, including Pd nanowires (NWs), depressing the sensitivity and retarding the response/recovery speed in air-relative to N 2 or Ar. Here, we describe the preparation of H 2 sensors in which a nanofiltration layer consisting of a Zn metal-organic framework (MOF) is assembled onto Pd NWs. Polyhedron particles of Zn-based zeolite imidazole framework (ZIF-8) were synthesized on lithographically patterned Pd NWs, leading to the creation of ZIF-8/Pd NW bilayered H 2 sensors. The ZIF-8 filter has many micropores (0.34 nm for gas diffusion) which allows for the predominant penetration of hydrogen molecules with a kinetic diameter of 0.289 nm, whereas relatively larger gas molecules including oxygen (0.345 nm) and nitrogen (0.364 nm) in air are effectively screened, resulting in superior hydrogen sensing properties. Very importantly, the Pd NWs filtered by ZIF-8 membrane (Pd NWs@ZIF-8) reduced the H 2 response amplitude slightly (ΔR/R 0 = 3.5% to 1% of H 2 versus 5.9% for Pd NWs) and showed 20-fold faster recovery (7 s to 1% of H 2 ) and response (10 s to 1% of H 2 ) speed compared to that of pristine Pd NWs (164 s for response and 229 s for recovery to 1% of H 2 ). These outstanding results, which are mainly attributed to the molecular sieving and acceleration effect of ZIF-8 covered on Pd NWs, rank highest in H 2 sensing speed among room-temperature Pd-based H 2 sensors.

  1. III-V nanowire synthesis by use of electrodeposited gold particles.

    PubMed

    Jafari Jam, Reza; Heurlin, Magnus; Jain, Vishal; Kvennefors, Anders; Graczyk, Mariusz; Maximov, Ivan; Borgström, Magnus T; Pettersson, Håkan; Samuelson, Lars

    2015-01-14

    Semiconductor nanowires are great candidates for building novel electronic devices. Considering the cost of fabricating such devices, substrate reuse and gold consumption are the main concerns. Here we report on implementation of high throughput gold electrodeposition for selective deposition of metal seed particles in arrays defined by lithography for nanowire synthesis. By use of this method, a reduction in gold consumption by a factor of at least 300 was achieved, as compared to conventional thermal evaporation for the same pattern. Because this method also facilitates substrate reuse, a significantly reduced cost of the final device is expected. We investigate the morphology, crystallography, and optical properties of InP and GaAs nanowires grown from electrodeposited gold seed particles and compare them with the properties of nanowires grown from seed particles defined by thermal evaporation of gold. We find that nanowire synthesis, as well as the material properties of the grown nanowires are comparable and quite independent of the gold deposition technique. On the basis of these results, electrodeposition is proposed as a key technology for large-scale fabrication of nanowire-based devices.

  2. Functionalized magnetic nanowires for chemical and magneto-mechanical induction of cancer cell death

    NASA Astrophysics Data System (ADS)

    Martínez-Banderas, Aldo Isaac; Aires, Antonio; Teran, Francisco J.; Perez, Jose Efrain; Cadenas, Jael F.; Alsharif, Nouf; Ravasi, Timothy; Cortajarena, Aitziber L.; Kosel, Jürgen

    2016-10-01

    Exploiting and combining different properties of nanomaterials is considered a potential route for next generation cancer therapies. Magnetic nanowires (NWs) have shown good biocompatibility and a high level of cellular internalization. We induced cancer cell death by combining the chemotherapeutic effect of doxorubicin (DOX)-functionalized iron NWs with the mechanical disturbance under a low frequency alternating magnetic field. (3-aminopropyl)triethoxysilane (APTES) and bovine serum albumin (BSA) were separately used for coating NWs allowing further functionalization with DOX. Internalization was assessed for both formulations by confocal reflection microscopy and inductively coupled plasma-mass spectrometry. From confocal analysis, BSA formulations demonstrated higher internalization and less agglomeration. The functionalized NWs generated a comparable cytotoxic effect in breast cancer cells in a DOX concentration-dependent manner, (~60% at the highest concentration tested) that was significantly different from the effect produced by free DOX and non-functionalized NWs formulations. A synergistic cytotoxic effect is obtained when a magnetic field (1 mT, 10 Hz) is applied to cells treated with DOX-functionalized BSA or APTES-coated NWs, (~70% at the highest concentration). In summary, a bimodal method for cancer cell destruction was developed by the conjugation of the magneto-mechanical properties of iron NWs with the effect of DOX producing better results than the individual effects.

  3. Functionalized magnetic nanowires for chemical and magneto-mechanical induction of cancer cell death.

    PubMed

    Martínez-Banderas, Aldo Isaac; Aires, Antonio; Teran, Francisco J; Perez, Jose Efrain; Cadenas, Jael F; Alsharif, Nouf; Ravasi, Timothy; Cortajarena, Aitziber L; Kosel, Jürgen

    2016-10-24

    Exploiting and combining different properties of nanomaterials is considered a potential route for next generation cancer therapies. Magnetic nanowires (NWs) have shown good biocompatibility and a high level of cellular internalization. We induced cancer cell death by combining the chemotherapeutic effect of doxorubicin (DOX)-functionalized iron NWs with the mechanical disturbance under a low frequency alternating magnetic field. (3-aminopropyl)triethoxysilane (APTES) and bovine serum albumin (BSA) were separately used for coating NWs allowing further functionalization with DOX. Internalization was assessed for both formulations by confocal reflection microscopy and inductively coupled plasma-mass spectrometry. From confocal analysis, BSA formulations demonstrated higher internalization and less agglomeration. The functionalized NWs generated a comparable cytotoxic effect in breast cancer cells in a DOX concentration-dependent manner, (~60% at the highest concentration tested) that was significantly different from the effect produced by free DOX and non-functionalized NWs formulations. A synergistic cytotoxic effect is obtained when a magnetic field (1 mT, 10 Hz) is applied to cells treated with DOX-functionalized BSA or APTES-coated NWs, (~70% at the highest concentration). In summary, a bimodal method for cancer cell destruction was developed by the conjugation of the magneto-mechanical properties of iron NWs with the effect of DOX producing better results than the individual effects.

  4. Tailoring magnetic properties in arrays of pulse-electrodeposited Co nanowires: The role of Cu additive

    NASA Astrophysics Data System (ADS)

    Esmaeili, A.; Almasi Kashi, M.; Ramazani, A.; Montazer, A. H.

    2016-01-01

    In this study, we aim to report the role of Cu additive in arrays of pulse-electrodeposited Co nanowires (NWs) with diameters from 30 to 75 nm, embedded in porous aluminum oxide templates. This features the role of Cu additive in composition and crystalline characteristics as well as in the magnetic properties of Co NWs. Increasing the duration of off-time between pulses during the electrodeposition of Co NWs made it possible to increase the amount of Cu content, so that Co-rich CoCu NWs were obtained. The parallel coercivity and squareness values increased up to 1500 Oe and 0.8 for 30 nm diameter Co94Cu6 NWs, starting from 500 Oe and 0.3 for pure Co NWs. On the other hand, although there was a substantial difference between the crystalline characteristics of 75 nm diameter pure Co and CoCu NWs, no considerable change in their magnetic properties was observed using hysteresis loop measurements. In this respect, the first-order reversal curve (FORC) analysis revealed strong inter-wire magnetostatic interactions for the CoCu NWs. Moreover, we studied the effect of thermal annealing, which resulted in an increase in the coercivity of CoCu NWs with different diameters up to 15%. As a result, the addition of small amount of Cu provides an alternative approach to tailoring the magnetic properties of Co NWs.

  5. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires.

    PubMed

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-12-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  6. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-07-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  7. Deformable Organic Nanowire Field-Effect Transistors.

    PubMed

    Lee, Yeongjun; Oh, Jin Young; Kim, Taeho Roy; Gu, Xiaodan; Kim, Yeongin; Wang, Ging-Ji Nathan; Wu, Hung-Chin; Pfattner, Raphael; To, John W F; Katsumata, Toru; Son, Donghee; Kang, Jiheong; Matthews, James R; Niu, Weijun; He, Mingqian; Sinclair, Robert; Cui, Yi; Tok, Jeffery B-H; Lee, Tae-Woo; Bao, Zhenan

    2018-02-01

    Deformable electronic devices that are impervious to mechanical influence when mounted on surfaces of dynamically changing soft matters have great potential for next-generation implantable bioelectronic devices. Here, deformable field-effect transistors (FETs) composed of single organic nanowires (NWs) as the semiconductor are presented. The NWs are composed of fused thiophene diketopyrrolopyrrole based polymer semiconductor and high-molecular-weight polyethylene oxide as both the molecular binder and deformability enhancer. The obtained transistors show high field-effect mobility >8 cm 2 V -1 s -1 with poly(vinylidenefluoride-co-trifluoroethylene) polymer dielectric and can easily be deformed by applied strains (both 100% tensile and compressive strains). The electrical reliability and mechanical durability of the NWs can be significantly enhanced by forming serpentine-like structures of the NWs. Remarkably, the fully deformable NW FETs withstand 3D volume changes (>1700% and reverting back to original state) of a rubber balloon with constant current output, on the surface of which it is attached. The deformable transistors can robustly operate without noticeable degradation on a mechanically dynamic soft matter surface, e.g., a pulsating balloon (pulse rate: 40 min -1 (0.67 Hz) and 40% volume expansion) that mimics a beating heart, which underscores its potential for future biomedical applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Thermo-compressive transfer printing for facile alignment and robust device integration of nanowires.

    PubMed

    Lee, Won Seok; Won, Sejeong; Park, Jeunghee; Lee, Jihye; Park, Inkyu

    2012-06-07

    Controlled alignment and mechanically robust bonding between nanowires (NWs) and electrodes are essential requirements for reliable operation of functional NW-based electronic devices. In this work, we developed a novel process for the alignment and bonding between NWs and metal electrodes by using thermo-compressive transfer printing. In this process, bottom-up synthesized NWs were aligned in parallel by shear loading onto the intermediate substrate and then finally transferred onto the target substrate with low melting temperature metal electrodes. In particular, multi-layer (e.g. Cr/Au/In/Au and Cr/Cu/In/Au) metal electrodes are softened at low temperatures (below 100 °C) and facilitate submergence of aligned NWs into the surface of electrodes at a moderate pressure (∼5 bar). By using this thermo-compressive transfer printing process, robust electrical and mechanical contact between NWs and metal electrodes can be realized. This method is believed to be very useful for the large-area fabrication of NW-based electrical devices with improved mechanical robustness, electrical contact resistance, and reliability.

  9. Size effects on elasticity, yielding, and fracture of silver nanowires: In situ experiments

    NASA Astrophysics Data System (ADS)

    Zhu, Yong; Qin, Qingquan; Xu, Feng; Fan, Fengru; Ding, Yong; Zhang, Tim; Wiley, Benjamin J.; Wang, Zhong Lin

    2012-01-01

    This paper reports the quantitative measurement of a full spectrum of mechanical properties of fivefold twinned silver (Ag) nanowires (NWs), including Young's modulus, yield strength, and ultimate tensile strength. In-situ tensile testing of Ag NWs with diameters between 34 and 130 nm was carried out inside a scanning electron microscope (SEM). Young's modulus, yield strength, and ultimate tensile strength all increased as the NW diameter decreased. The maximum yield strength in our tests was found to be 2.64 GPa, which is about 50 times the bulk value and close to the theoretical value of Ag in the 110 orientation. The size effect in the yield strength is mainly due to the stiffening size effect in the Young's modulus. Yield strain scales reasonably well with the NW surface area, which reveals that yielding of Ag NWs is due to dislocation nucleation from surface sources. Pronounced strain hardening was observed for most NWs in our study. The strain hardening, which has not previously been reported for NWs, is mainly attributed to the presence of internal twin boundaries.

  10. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  11. Fully solution-processed transparent electrodes based on silver nanowire composites for perovskite solar cells.

    PubMed

    Kim, Areum; Lee, Hongseuk; Kwon, Hyeok-Chan; Jung, Hyun Suk; Park, Nam-Gyu; Jeong, Sunho; Moon, Jooho

    2016-03-28

    We report all-solution-processed transparent conductive electrodes based on Ag nanowire (AgNW)-embedded metal oxide composite films for application in organometal halide perovskite solar cells. To address the thermal instability of Ag nanowires, we used combustive sol-gel derived thin films to construct ZnO/ITO/AgNW/ITO composite structures. The resulting composite configuration effectively prevented the AgNWs from undergoing undesirable side-reactions with halogen ions present in the perovskite precursor solutions that significantly deteriorate the optoelectrical properties of Ag nanowires in transparent conductive films. AgNW-based composite electrodes had a transmittance of ∼80% at 550 nm and sheet resistance of 18 Ω sq(-1). Perovskite solar cells fabricated using a fully solution-processed transparent conductive electrode, Au/spiro-OMeTAD/CH3NH3PbI3 + m-Al2O3/ZnO/ITO/AgNW/ITO, exhibited a power conversion efficiency of 8.44% (comparable to that of the FTO/glass-based counterpart at 10.81%) and were stable for 30 days in ambient air. Our results demonstrate the feasibility of using AgNWs as a transparent bottom electrode in perovskite solar cells produced by a fully printable process.

  12. Austenite-martensite transformation in electrodeposited Fe70Pd30 NWs: a step towards making bio-nano-actuators tested on in vivo systems

    NASA Astrophysics Data System (ADS)

    Zuzek Rozman, K.; Pecko, D.; Trafela, S.; Samardzija, Z.; Spreitzer, M.; Jaglicic, Z.; Nadrah, P.; Zorko, M.; Bele, M.; Tisler, T.; Pintar, A.; Sturm, S.; Kostevsek, N.

    2018-03-01

    Fe69±3Pd31±3 nanowires (NWs) with lengths of a few microns and diameters of 200 nm were synthesized via template-assisted pulsed electrodeposition into alumina-based templates. The as-deposited Fe69±3Pd31±3 NWs exhibited α-Fe (bcc-solid solution of Fe, Pd) nanocrystalline structure as seen from the x-ray diffraction (XRD), that got confirmed by transmission electron microscopy (TEM) with some larger grains up 50 nm observed. Annealing of the as-deposited Fe69±3Pd31±3 NWs at 1173 K/45 min was followed by quenching in ice water and resulted in a transformation to the fcc crystal structure (XRD) with grain sizes up to 200 nm (TEM). To induce the austenite-to-martensite, i.e., fcc-to-fct phase transformation the fcc Fe69±3Pd31±3 NWs were cooled to 73 K. The XRD showed the disappearance of the (200) fcc reflection (at room temperature) and the appearance of the (200) fct reflection (at 73 K), confirming the fcc-to-fct transformation took place. The magnetic measurements revealed that the fcc Fe69±3Pd31±3 NWs measured at low temperatures (50 K) had a larger coercivity than at room temperature, which suggests the fct phase was present in the undercooled state, exhibiting a larger magnetocrystalline anisotropy than the fcc phase present at room temperature. As part of our interest in magnetic-shape-memory actuators, the as-deposited Fe69±3Pd31±3 NWs were tested for toxicity on zebrafish. In vivo tests showed no acute lethal or sub-lethal effects, which implies that the Fe69±3Pd31±3 NWs have the potential to be used as nano-actuators in biomedical applications.

  13. Enhanced photoemission from glancing angle deposited SiOx-TiO2 axial heterostructure nanowire arrays

    NASA Astrophysics Data System (ADS)

    Dhar, J. C.; Mondal, A.; Singh, N. K.; Chattopadhyay, K. K.

    2013-05-01

    The glancing angle deposition technique has been employed to synthesize SiOx-TiO2 heterostructure nanowire (NW) arrays on indium tin oxide (ITO) coated glass substrate. A field emission gun scanning electron microscopic image shows that the average diameter of the NWs is ˜50 nm. Transmission electron microscopy images show the formation of heterostructure NWs, which consist of ˜180 nm SiOx and ˜210 nm long TiO2. The selected-area electron diffraction shows the amorphous nature of the synthesized NWs, which was also confirmed by X-ray diffraction method. The main band absorption edges at 3.5 eV were found for both the SiOx-TiO2 and TiO2 NW arrays on ITO coated glass plate from optical absorption measurement. Ti3+ defect related sub-band gap transition at 2.5 eV was observed for TiO2 NWs, whereas heterostructure NWs revealed the SiOx optical band gap related transition at ˜2.2 eV. Two fold improved photon absorption as well as five times photoluminescence emission enhancement were observed for the SiOx-TiO2 multilayer NWs compared to TiO2 NWs.

  14. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  15. Aluminum Nanowire Arrays via Soft Nanoimprint Lithography

    NASA Astrophysics Data System (ADS)

    Naughton, Michael J.; Nesbitt, Nathan T.; Merlo, Juan M.; Rose, Aaron H.; Calm, Yitzi M.; D'Imperio, Luke A.; Courtney, Dave T.; Shepard, Steve; Kempa, Krzysztof; Burns, Michael J.

    We have previously reported a method to fabricate freestanding, vertically-oriented, and lithographically-ordered Al nanowire arrays via directed assembly, and demonstrated their utility as a plasmonic waveguide. However, the process, a variation on the preparation of anodized aluminum oxide (AAO), involved imprinting Al with a hard stamp, which wore down the stamp and had a low yield of Al NWs. Here we show a new nanoimprint lithography (NIL) technique that uses a soft stamp to pattern a mask on the Al; it provides a greater yield of Al NWs and is less destructive to the stamp, providing a path to applications that require NW arrays over macroscopic areas. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  16. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  17. Thermal diffusivity of diamond nanowires studied by laser assisted atom probe tomography

    NASA Astrophysics Data System (ADS)

    Arnoldi, L.; Spies, M.; Houard, J.; Blum, I.; Etienne, A.; Ismagilov, R.; Obraztsov, A.; Vella, A.

    2018-04-01

    The thermal properties of single-crystal diamond nanowires (NWs) have been calculated from first principles but have never been measured experimentally. Taking advantage of the sharp geometry of samples analyzed in a laser assisted atom probe, this technique is used to measure the thermal diffusivity of a single NW at low temperature (<300 K). The obtained value is in good agreement with the ab-initio calculations and confirms that thermal diffusivity in nanoscale samples is lower than in bulk samples. The results impact the design and integration of diamond NWs and nanoneedles in nanoscale devices for heat dissipation.

  18. Flexible transparent conductive film based on silver nanowires and reduced graphene oxide

    NASA Astrophysics Data System (ADS)

    Wang, Ke; Yang, Xing; Li, Zhi-ling; Xie, Hui; Zhao, Yu-zhen; Wang, Yue-hui

    2018-05-01

    Silver nanowires (AgNWs) with diameter of 90—150 nm and length of 20—50 μm were successfully synthesized by a polyol process. Graphene oxide (GO) was prepared by Hummers method, and was reduced with strong hydrazine hydrate at room temperature. The flexible transparent conductive films (TCFs) were fabricated using the mixed cellulose eater (MCE) as matrix and AgNWs and reduced graphene oxide (rGO) as conductive fillers by the improved vacuum filtration process. Then, the optical, electrical and mechanical properties of the AgNWs-rGO films were investigated. The results show that for the AgNWs-rGO film produced with the deposition densities of AgNWs and rGO as 110 mg·m-2 and 55 mg·m-2, the optical transmission at 550 nm is 88.4% with R s around 891 Ω·sq-1, whereas the optical transmission for the AgNWs-rGO film with deposition densities of AgNWs and rGO of 385 mg·m-2 and 55 mg·m-2 is 79.0% at 550 nm with R s around 9.6 Ω·sq-1. There is little overt increase in R s of the AgNWS-rGO film after tape tests for 200 times. The bending test results indicate that the change in R s of AgNWs-MCE film is less than 2% even after 200 cycles of compressive or tensile bending. The excellent mechanical properties of the AgNWs-rGO film can be attributed to the burying of AgNWs and rGO at the surface of MCE.

  19. Ultrathin Tungsten Oxide Nanowires/Reduced Graphene Oxide Composites for Toluene Sensing

    PubMed Central

    Hassan, Muhammad; Wang, Zhi-Hua; Huang, Wei-Ran; Li, Min-Qiang; Chen, Jia-Fu

    2017-01-01

    Graphene-based composites have gained great attention in the field of gas sensor fabrication due to their higher surface area with additional functional groups. Decorating one-dimensional (1D) semiconductor nanomaterials on graphene also show potential benefits in gas sensing applications. Here we demonstrate the one-pot and low cost synthesis of W18O49 NWs/rGO composites with different amount of reduced graphene oxide (rGO) which show excellent gas-sensing properties towards toluene and strong dependence on their chemical composition. As compared to pure W18O49 NWs, an improved gas sensing response (2.8 times higher) was achieved in case of W18O49 NWs composite with 0.5 wt. % rGO. Promisingly, this strategy can be extended to prepare other nanowire based composites with excellent gas-sensing performance. PMID:28961178

  20. Indium phosphide nanowires and their applications in optoelectronic devices

    PubMed Central

    Zafar, Fateen

    2016-01-01

    Group IIIA phosphide nanocrystalline semiconductors are of great interest among the important inorganic materials because of their large direct band gaps and fundamental physical properties. Their physical properties are exploited for various potential applications in high-speed digital circuits, microwave and optoelectronic devices. Compared to II–VI and I–VII semiconductors, the IIIA phosphides have a high degree of covalent bonding, a less ionic character and larger exciton diameters. In the present review, the work done on synthesis of III–V indium phosphide (InP) nanowires (NWs) using vapour- and solution-phase approaches has been discussed. Doping and core–shell structure formation of InP NWs and their sensitization using higher band gap semiconductor quantum dots is also reported. In the later section of this review, InP NW-polymer hybrid material is highlighted in view of its application as photodiodes. Lastly, a summary and several different perspectives on the use of InP NWs are discussed. PMID:27118920

  1. Indium phosphide nanowires and their applications in optoelectronic devices.

    PubMed

    Zafar, Fateen; Iqbal, Azhar

    2016-03-01

    Group IIIA phosphide nanocrystalline semiconductors are of great interest among the important inorganic materials because of their large direct band gaps and fundamental physical properties. Their physical properties are exploited for various potential applications in high-speed digital circuits, microwave and optoelectronic devices. Compared to II-VI and I-VII semiconductors, the IIIA phosphides have a high degree of covalent bonding, a less ionic character and larger exciton diameters. In the present review, the work done on synthesis of III-V indium phosphide (InP) nanowires (NWs) using vapour- and solution-phase approaches has been discussed. Doping and core-shell structure formation of InP NWs and their sensitization using higher band gap semiconductor quantum dots is also reported. In the later section of this review, InP NW-polymer hybrid material is highlighted in view of its application as photodiodes. Lastly, a summary and several different perspectives on the use of InP NWs are discussed.

  2. Rapid synthesis of ultra-long silver nanowires for tailor-made transparent conductive electrodes: proof of concept in organic solar cells.

    PubMed

    José Andrés, Luis; Fe Menéndez, María; Gómez, David; Luisa Martínez, Ana; Bristow, Noel; Paul Kettle, Jeffrey; Menéndez, Armando; Ruiz, Bernardino

    2015-07-03

    Rapid synthesis of ultralong silver nanowires (AgNWs) has been obtained using a one-pot polyol-mediated synthetic procedure. The AgNWs have been prepared from the base materials in less than one hour with nanowire lengths reaching 195 μm, which represents the quickest synthesis and one of the highest reported aspect ratios to date. These results have been achieved through a joint analysis of all reaction parameters, which represents a clear progress beyond the state of the art. Dispersions of the AgNWs have been used to prepare thin, flexible, transparent and conducting films using spray coating. Due to the higher aspect ratio, an improved electrical percolation network is observed. This allows a low sheet resistance (RS = 20.2 Ω/sq), whilst maintaining high optical film transparency (T = 94.7%), driving to the highest reported figure-of-merit (FoM = 338). Owing to the light-scattering influence of the AgNWs, the density of the AgNW network can also be varied to enable controllability of the optical haze through the sample. Based on the identification of the optimal haze value, organic photovoltaics (OPVs) have been fabricated using the AgNWs as the transparent electrode and have been benchmarked against indium tin oxide (ITO) electrodes. Overall, the performance of OPVs made using AgNWs sees a small decrease in power conversion efficiency (PCE), primarily due to a fall in open-circuit voltage (50 mV). This work indicates that AgNWs can provide a low cost, rapid and roll-to-roll compatible alternative to ITO in OPVs, with only a small compromise in PCE needed.

  3. Rapid synthesis of ultra-long silver nanowires for tailor-made transparent conductive electrodes: proof of concept in organic solar cells

    NASA Astrophysics Data System (ADS)

    José Andrés, Luis; Menéndez, María Fe; Gómez, David; Martínez, Ana Luisa; Bristow, Noel; Kettle, Jeffrey Paul; Menéndez, Armando; Ruiz, Bernardino

    2015-07-01

    Rapid synthesis of ultralong silver nanowires (AgNWs) has been obtained using a one-pot polyol-mediated synthetic procedure. The AgNWs have been prepared from the base materials in less than one hour with nanowire lengths reaching 195 μm, which represents the quickest synthesis and one of the highest reported aspect ratios to date. These results have been achieved through a joint analysis of all reaction parameters, which represents a clear progress beyond the state of the art. Dispersions of the AgNWs have been used to prepare thin, flexible, transparent and conducting films using spray coating. Due to the higher aspect ratio, an improved electrical percolation network is observed. This allows a low sheet resistance (RS = 20.2 Ω/sq), whilst maintaining high optical film transparency (T = 94.7%), driving to the highest reported figure-of-merit (FoM = 338). Owing to the light-scattering influence of the AgNWs, the density of the AgNW network can also be varied to enable controllability of the optical haze through the sample. Based on the identification of the optimal haze value, organic photovoltaics (OPVs) have been fabricated using the AgNWs as the transparent electrode and have been benchmarked against indium tin oxide (ITO) electrodes. Overall, the performance of OPVs made using AgNWs sees a small decrease in power conversion efficiency (PCE), primarily due to a fall in open-circuit voltage (50 mV). This work indicates that AgNWs can provide a low cost, rapid and roll-to-roll compatible alternative to ITO in OPVs, with only a small compromise in PCE needed.

  4. Flexible ultraviolet photodetectors based on ZnO-SnO2 heterojunction nanowire arrays

    NASA Astrophysics Data System (ADS)

    Lou, Zheng; Yang, Xiaoli; Chen, Haoran; Liang, Zhongzhu

    2018-02-01

    A ZnO-SnO2 nanowires (NWs) array, as a metal oxide semiconductor, was successfully synthesized by a near-field electrospinning method for the applications as high performance ultraviolet photodetectors. Ultraviolet photodetectors based on a single nanowire exhibited excellent photoresponse properties to 300 nm ultraviolet light illumination including ultrahigh I on/I off ratios (up to 103), good stability and reproducibility because of the separation between photo-generated electron-hole pairs. Moreover, the NWs array shows an enhanced photosensing performance. Flexible photodetectors on the PI substrates with similar tendency properties were also fabricated. In addition, under various bending curvatures and cycles, the as-fabricated flexible photodetectors revealed mechanical flexibility and good stable electrical properties, showing that they have the potential for applications in future flexible photoelectron devices. Project supported by the National Science Foundation of China (No. 61504136) and the State Key Laboratory of Applied Optics, Changchun Institute of Optics, Fine and Physics, Chinese Academy of Sciences.

  5. Nickel nanowires mesh fabricated by ion beam irradiation-induced nanoscale welding for transparent conducting electrodes

    NASA Astrophysics Data System (ADS)

    Honey, S.; Ahmad, I.; Madhuku, M.; Naseem, S.; Maaza, M.; Kennedy, J. V.

    2017-07-01

    In this report, random nickel nanowires (Ni-NWs) meshes are fabricated by ions beam irradiation-induced nanoscale welding of NWs on intersecting positions. Ni-NWs are exposed to beam of 50 KeV Argon (Ar+) ions at various fluencies in the range ~1015 ions cm-2 to 1016 ions cm-2 at room temperature. Ni-NWs are welded due to accumulation of Ar+ ions beam irradiation-induced sputtered atoms on crossing positions. Ar+ ions irradiated Ni-NWs meshes are optically transparent and optical transparency is enhanced with increase in beam fluence of Ar+ ions. Ar+ ions beam irradiation-induced welded and optically transparent mesh is then exposed to 2.75 MeV hydrogen (H+) ions at fluencies 1  ×  1015 ions cm-2, 3  ×  1015 ions cm-2 and 1  ×  1016 ions cm-2 at room temperature. MeV H+ ions irradiation-induced local heat cause melting and fusion of NWs on intersecting points and eventually lead to reduce contact resistance between Ni-NWs. Electrical conductivity is enhanced with increase in beam fluence of H+ ions. These welded highly transparent and electrically conductive Ni-NWs meshes can be employed as transparent conducting electrodes in optoelectronic devices.

  6. Lead-free 0.5Ba(Zr0.2Ti0.8)O3-0.5(Ba0.7Ca0.3)TiO3 nanowires for energy harvesting.

    PubMed

    Zhou, Zhi; Bowland, Christopher C; Malakooti, Mohammad H; Tang, Haixiong; Sodano, Henry A

    2016-03-07

    Lead-free piezoelectric nanowires (NWs) show strong potential in sensing and energy harvesting applications due to their flexibility and ability to convert mechanical energy to electric energy. Currently, most lead-free piezoelectric NWs are produced through low yield synthesis methods and result in low electromechanical coupling, which limit their efficiency as energy harvesters. In order to alleviate these issues, a scalable method is developed to synthesize perovskite type 0.5Ba(Zr0.2Ti0.8)O3-0.5(Ba0.7Ca0.3)TiO3 (BZT-BCT) NWs with high piezoelectric coupling coefficient. The piezoelectric coupling coefficient of the BZT-BCT NWs is measured by a refined piezoresponse force microscopy (PFM) testing method and shows the highest reported coupling coefficient for lead-free piezoelectric nanowires of 90 ± 5 pm V(-1). Flexible nanocomposites utilizing dispersed BZT-BCT NWs are fabricated to demonstrate an energy harvesting application with an open circuit voltage of up to 6.25 V and a power density of up to 2.25 μW cm(-3). The high electromechanical coupling coefficient and high power density demonstrated with these lead-free NWs produced via a scalable synthesis method shows the potential for high performance NW-based devices.

  7. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  8. Diamond nanowires: a novel platform for electrochemistry and matrix-free mass spectrometry.

    PubMed

    Szunerits, Sabine; Coffinier, Yannick; Boukherroub, Rabah

    2015-05-27

    Over the last decades, carbon-based nanostructures have generated a huge interest from both fundamental and technological viewpoints owing to their physicochemical characteristics, markedly different from their corresponding bulk states. Among these nanostructured materials, carbon nanotubes (CNTs), and more recently graphene and its derivatives, hold a central position. The large amount of work devoted to these materials is driven not only by their unique mechanical and electrical properties, but also by the advances made in synthetic methods to produce these materials in large quantities with reasonably controllable morphologies. While much less studied than CNTs and graphene, diamond nanowires, the diamond analogue of CNTs, hold promise for several important applications. Diamond nanowires display several advantages such as chemical inertness, high mechanical strength, high thermal and electrical conductivity, together with proven biocompatibility and existence of various strategies to functionalize their surface. The unique physicochemical properties of diamond nanowires have generated wide interest for their use as fillers in nanocomposites, as light detectors and emitters, as substrates for nanoelectronic devices, as tips for scanning probe microscopy as well as for sensing applications. In the past few years, studies on boron-doped diamond nanowires (BDD NWs) focused on increasing their electrochemical active surface area to achieve higher sensitivity and selectivity compared to planar diamond interfaces. The first part of the present review article will cover the promising applications of BDD NWS for label-free sensing. Then, the potential use of diamond nanowires as inorganic substrates for matrix-free laser desorption/ionization mass spectrometry, a powerful label-free approach for quantification and identification of small compounds, will be discussed.

  9. Diamond Nanowires: A Novel Platform for Electrochemistry and Matrix-Free Mass Spectrometry

    PubMed Central

    Szunerits, Sabine; Coffinier, Yannick; Boukherroub, Rabah

    2015-01-01

    Over the last decades, carbon-based nanostructures have generated a huge interest from both fundamental and technological viewpoints owing to their physicochemical characteristics, markedly different from their corresponding bulk states. Among these nanostructured materials, carbon nanotubes (CNTs), and more recently graphene and its derivatives, hold a central position. The large amount of work devoted to these materials is driven not only by their unique mechanical and electrical properties, but also by the advances made in synthetic methods to produce these materials in large quantities with reasonably controllable morphologies. While much less studied than CNTs and graphene, diamond nanowires, the diamond analogue of CNTs, hold promise for several important applications. Diamond nanowires display several advantages such as chemical inertness, high mechanical strength, high thermal and electrical conductivity, together with proven biocompatibility and existence of various strategies to functionalize their surface. The unique physicochemical properties of diamond nanowires have generated wide interest for their use as fillers in nanocomposites, as light detectors and emitters, as substrates for nanoelectronic devices, as tips for scanning probe microscopy as well as for sensing applications. In the past few years, studies on boron-doped diamond nanowires (BDD NWs) focused on increasing their electrochemical active surface area to achieve higher sensitivity and selectivity compared to planar diamond interfaces. The first part of the present review article will cover the promising applications of BDD NWS for label-free sensing. Then, the potential use of diamond nanowires as inorganic substrates for matrix-free laser desorption/ionization mass spectrometry, a powerful label-free approach for quantification and identification of small compounds, will be discussed. PMID:26024422

  10. Coating-Free, Air-Stable Silver Nanowires for High-performance Transparent Conductive Film.

    PubMed

    Tang, Long; Zhang, Jiajia; Dong, Lei; Pan, Yunmei; Yang, Chongyang; Li, Mengxiong; Ruan, Yingbo; Ma, Jianhua; Lu, Hongbin

    2018-06-21

    Silver nanowires (Ag NWs) based films are considered as a promising alternative for traditional indium tin oxide (ITO) but still suffer from some limitations, including insufficient conductivity, transparency and environmental instability. We here report a novel etching synthesis strategy to improve the performance of Ag NW films. Different from the traditional methods to synthesize high aspect ratios of NWs or employ electrically conductive coatings, we find it effective to reduce the high-reactivity defects of NWs for optimizing the comprehensive performance of Ag NW films. In this strategy etching can suppress the generation of high-reactivity defects and meanwhile the etching growth of NWs can be accomplished in an uneven ligand distribution environment. The resulting Ag NWs are uniformly straight and sharp-edged structure. The transparent conductive film (TCF) obtained exhibits simultaneous improvements in electrical conductivity, transparency and air-stability. Even after exposure in air for 200 days and no any protective coatings, the film can still meet the highest requirement of practical applications, with a figure of merit 361 (i.e., FoM > 350). These results not only demonstrate the importance of defect control in the synthesis of Ag NWs, but also pave a way for further optimizing the performance of Ag NW-based films. © 2018 IOP Publishing Ltd.

  11. Highly efficient flexible piezoelectric nanogenerator and femtosecond two-photon absorption properties of nonlinear lithium niobate nanowires

    NASA Astrophysics Data System (ADS)

    Gupta, Manoj Kumar; Aneesh, Janardhanakurup; Yadav, Rajesh; Adarsh, K. V.; Kim, Sang-Woo

    2017-05-01

    We present a high performance flexible piezoelectric nanogenerator (NG) device based on the hydrothermally grown lead-free piezoelectric lithium niobate (LiNbO3) nanowires (NWs) for scavenging mechanical energies. The non-linear optical coefficient and optical limiting properties of LiNbO3 were analyzed using femtosecond laser pulse assisted two photon absorption techniques for the first time. Further, a flexible hybrid type NG using a composite structure of the polydimethylsiloxane polymer and LiNbO3 NWs was fabricated, and their piezoelectric output signals were measured. A large output voltage of ˜4.0 V and a recordable large current density of about 1.5 μA cm-2 were obtained under the cyclic compressive force of 1 kgf. A subsequent UV-Vis analysis of the as-prepared sample provides a remarkable increase in the optical band gap (UV absorption cut-off, ˜251 nm) due to the nanoscale size effect. The high piezoelectric output voltage and current are discussed in terms of large band gap, significant nonlinear optical response, and electric dipole alignments under poling effects. Such high performance and unique optical properties of LiNbO3 show its great potential towards various next generation smart electronic applications and self-powered optoelectronic devices.

  12. Highly Efficient Carbon Dioxide Hydrogenation to Methanol Catalyzed by Zigzag Platinum-Cobalt Nanowires.

    PubMed

    Bai, Shuxing; Shao, Qi; Feng, Yonggang; Bu, Lingzheng; Huang, Xiaoqing

    2017-06-01

    Carbon dioxide (CO 2 ) hydrogenation is an effective strategy for CO 2 utilization, while unsatisfied conversion efficiencies remain great challenges. It is reported herein that zigzag Pt-Co nanowires (NWs) with Pt-rich surfaces and abundant steps/edges can perform as highly active and stable CO 2 hydrogenation catalysts. It is found that tuning the Pt/Co ratio of the Pt-Co NWs, solvents, and catalyst supports could well optimize the CO 2 hydrogenation to methanol (CH 3 OH) with the Pt 4 Co NWs/C exhibiting the best performance, outperforming all the previous catalysts. They are also very durable with limited activity decays after six catalytic cycles. The diffuse reflectance infrared Fourier transform spectroscopy result of CO 2 adsorption shows that the Pt 4 Co NWs/C undergoes the adsorption/activation of CO 2 by forming appropriate carboxylate intermediates, and thus enhancing the CH 3 OH production. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Mapping carrier diffusion in single silicon core-shell nanowires with ultrafast optical microscopy.

    PubMed

    Seo, M A; Yoo, J; Dayeh, S A; Picraux, S T; Taylor, A J; Prasankumar, R P

    2012-12-12

    Recent success in the fabrication of axial and radial core-shell heterostructures, composed of one or more layers with different properties, on semiconductor nanowires (NWs) has enabled greater control of NW-based device operation for various applications. (1-3) However, further progress toward significant performance enhancements in a given application is hindered by the limited knowledge of carrier dynamics in these structures. In particular, the strong influence of interfaces between different layers in NWs on transport makes it especially important to understand carrier dynamics in these quasi-one-dimensional systems. Here, we use ultrafast optical microscopy (4) to directly examine carrier relaxation and diffusion in single silicon core-only and Si/SiO(2) core-shell NWs with high temporal and spatial resolution in a noncontact manner. This enables us to reveal strong coherent phonon oscillations and experimentally map electron and hole diffusion currents in individual semiconductor NWs for the first time.

  14. A new approach of the synthesis of SiO 2 nanowires by using bulk copper foils as catalyst

    DOE PAGES

    Gomez-Martinez, A.; Márquez, F.; Morant, C.

    2016-06-22

    In this paper, a novel procedure for the growth of SiO 2 nanowires (SiO 2NWs) directly from polycrystalline copper foils is reported. The single-step synthesis procedure consists of a thermal treatment at 900°C without the need for additional catalysts. As a result, nanowires with an average diameter of 100 nm are synthesized. A systematic study undertaken at different stages of the SiO 2NWs growth confirmed the generation of nucleation centers on the Cu surface, as well as revealed the existence of an intermediate gaseous SiO species at the synthesis temperature. Lastly, on the basis of these evidences, the vapor-liquid-solid (VLS)more » route has been proposed as the mechanism responsible for the growth.« less

  15. Translocation of 40 nm diameter nanowires through the intestinal epithelium of Daphnia magna

    PubMed Central

    Mattsson, Karin; Adolfsson, Karl; Ekvall, Mikael T.; Borgström, Magnus T.; Linse, Sara; Hansson, Lars-Anders; Cedervall, Tommy; Prinz, Christelle N.

    2016-01-01

    Abstract Nanowires (NWs) have unique electrical and optical properties of value for many applications including lighting, sensing, and energy harnessing. Consumer products containing NWs increase the risk of NWs being released in the environment, especially into aquatic ecosystems through sewage systems. Daphnia magna is a common, cosmopolitan freshwater organism sensitive to toxicity tests and represents a likely entry point for nanoparticles into food webs of aquatic ecosystems. Here we have evaluated the effect of NW diameter on the gut penetrance of NWs in Daphnia magna. The animals were exposed to NWs of two diameters (40 and 80 nm) and similar length (3.6 and 3.8 μm, respectively) suspended in water. In order to locate the NWs in Daphnia, the NWs were designed to comprise one inherently fluorescent segment of gallium indium phosphide (GaInP) flanked by a gallium phosphide (GaP) segment. Daphnia mortality was assessed directly after 24 h of exposure and 7 days after exposure. Translocation of NWs across the intestinal epithelium was investigated using confocal fluorescence microscopy directly after 24 h of exposure and was observed in 89% of Daphnia exposed to 40 nm NWs and in 11% of Daphnia exposed to 80 nm NWs. A high degree of fragmentation was observed for NWs of both diameters after ingestion by the Daphnia, although 40 nm NWs were fragmented to a greater extent, which could possibly facilitate translocation across the intestinal epithelium. Our results show that the feeding behavior of animals may enhance the ability of NWs to penetrate biological barriers and that penetrance is governed by the NW diameter. PMID:27181920

  16. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  17. Aligned silver nanowire-based transparent electrodes for engineering polarisation-selective optoelectronics.

    PubMed

    Park, Byoungchoo; Bae, In-Gon; Huh, Yoon Ho

    2016-01-18

    We herein report on a remarkably simple, fast, and economic way of fabricating homogeneous and well oriented silver nanowires (AgNWs) that exhibit strong in-plane electrical and optical anisotropies. Using a small quantity of AgNW suspension, the horizontal-dip (H-dip) coating method was applied, in which highly oriented AgNWs were deposited unidirectionally along the direction of coating over centimetre-scale lengths very rapidly. In applying the H-dip-coating method, we adjusted the shear strain rate of the capillary flow in the Landau-Levich meniscus of the AgNW suspension, which induced a high degree of uniaxial orientational ordering (0.37-0.43) of the AgNWs, comparable with the ordering seen in archetypal nematic liquid crystal (LC) materials. These AgNWs could be used to fabricate not only transparent electrodes, but also LC-alignment electrodes for LC devices and/or polarising electrodes for organic photovoltaic devices, having the potential to revolutionise the architectures of a number of polarisation-selective opto-electronic devices for use in printed/organic electronics.

  18. Room-temperature ferromagnetic Cr-doped Ge/GeOx core-shell nanowires.

    PubMed

    Katkar, Amar S; Gupta, Shobhnath P; Seikh, Md Motin; Chen, Lih-Juann; Walke, Pravin S

    2018-06-08

    The Cr-doped tunable thickness core-shell Ge/GeO x nanowires (NWs) were synthesized and characterized using x-ray diffraction, field-emission scanning electron microscopy, transmission electron microscopy, energy-dispersive x-ray spectroscopy, x-ray photoelectron spectroscopy and magnetization studies. The shell thickness increases with the increase in synthesis temperature. The presence of metallic Cr and Cr 3+ in core-shell structure was confirmed from XPS study. The magnetic property is highly sensitive to the core-shell thickness and intriguing room temperature ferromagnetism is realized only in core-shell NWs. The magnetization decreases with an increase in shell thickness and practically ceases to exist when there is no core. These NWs show remarkably high Curie temperature (T C  > 300 K) with the dominating values of its magnetic remanence (M R ) and coercivity (H C ) compared to germanium dilute magnetic semiconductor nanomaterials. We believe that our finding on these Cr-doped Ge/GeO X core-shell NWs has the potential to be used as a hard magnet for future spintronic devices, owing to their higher characteristic values of ferromagnetic ordering.

  19. Room-temperature ferromagnetic Cr-doped Ge/GeOx core–shell nanowires

    NASA Astrophysics Data System (ADS)

    Katkar, Amar S.; Gupta, Shobhnath P.; Motin Seikh, Md; Chen, Lih-Juann; Walke, Pravin S.

    2018-06-01

    The Cr-doped tunable thickness core–shell Ge/GeOx nanowires (NWs) were synthesized and characterized using x-ray diffraction, field-emission scanning electron microscopy, transmission electron microscopy, energy-dispersive x-ray spectroscopy, x-ray photoelectron spectroscopy and magnetization studies. The shell thickness increases with the increase in synthesis temperature. The presence of metallic Cr and Cr3+ in core–shell structure was confirmed from XPS study. The magnetic property is highly sensitive to the core–shell thickness and intriguing room temperature ferromagnetism is realized only in core–shell NWs. The magnetization decreases with an increase in shell thickness and practically ceases to exist when there is no core. These NWs show remarkably high Curie temperature (TC > 300 K) with the dominating values of its magnetic remanence (MR) and coercivity (HC) compared to germanium dilute magnetic semiconductor nanomaterials. We believe that our finding on these Cr-doped Ge/GeOX core–shell NWs has the potential to be used as a hard magnet for future spintronic devices, owing to their higher characteristic values of ferromagnetic ordering.

  20. A flexible plasma-treated silver-nanowire electrode for organic light-emitting devices.

    PubMed

    Li, Jun; Tao, Ye; Chen, Shufen; Li, Huiying; Chen, Ping; Wei, Meng-Zhu; Wang, Hu; Li, Kun; Mazzeo, Marco; Duan, Yu

    2017-11-28

    Silver nanowires (AgNWs) are a promising candidate to replace indium tin oxide (ITO) as transparent electrode material. However, the loose contact at the junction of the AgNWs and residual surfactant polyvinylpyrrolidone (PVP) increase the sheet resistance of the AgNWs. In this paper, an argon (Ar) plasma treatment method is applied to pristine AgNWs to remove the PVP layer and enhance the contact between AgNWs. By adjusting the processing time, we obtained AgNWs with a sheet resistance of 7.2Ω/□ and a transmittance of 78% at 550 nm. To reduce the surface roughness of the AgNWs, a peel-off process was used to transfer the AgNWs to a flexible NOA63 substrate. Then, an OLED was fabricated with the plasma-treated AgNWs electrode as anode. The highest brightness (27000 cd/m 2 ) and current efficiency (11.8 cd/A) was achieved with a 30 nm thick light emitting layer of tris-(8-hydroxyquinoline) aluminum doped with 1% 10-(2-benzothiazolyl)-2,3,6,7-tetrahydro-1,1,7,7-tetramethyl-1H,5 H,11H-(1)-benzopyropyrano(6,7-8-I,j)quinolizin-11-one. Compared to thermal annealing, the plasma-treated AgNW film has a lower sheet resistance, a shorter processing time, and a better hole-injection. Our results indicate that plasma treatment is an effective and efficient method to enhance the conductivity of AgNW films, and the plasma-treated AgNW electrode is suitable to manufacture flexible organic optoelectronic devices.

  1. ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire capacitor structure fabricated solely by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru

    2016-02-01

    HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.

  2. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  3. In2O3 nanowire based field effect transistor for biological sensors.

    NASA Astrophysics Data System (ADS)

    Zeng, Zhongming; Wang, Kai; Zhou, Weilie

    2008-03-01

    Semiconductor nanowires (NWs) are attracting considerable attention due to their nanoscale dimensions and enormous surface-to-volume ratios. Many applications have been demonstrated in toxic gas, protein, small molecule and viruses sensing because of their superior sensing performances. Indium oxide (In2O3) NWs have been successfully applied for toxic gas and small organic molecule sensing. In our experiment, In2O3 NWs based field effect transistors (FET) are fabricated for virus (Ricin) detections. Single-crystalline In2O3 NWs with diameters around 100 nm were synthesized by the thermal evaporation. The nanodevice based on In2O3 NWs bridges the source/drain electrodes with a channel length of ˜5 μm. Basic transport properties of devices were measured before biological detection. The I-V curves with the gate voltage Vg=0 shows good ohmic contact and the resistance is about 10 Mφ. The back-gate effect on the conductivity showed that In2O3 NW is working as n-type channel with obvious back-gate effect, which is much stronger than the reported results. The nanodevices used as virus detection will be also discussed.

  4. Sandwich-like graphene/polypyrrole/layered double hydroxide nanowires for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Li, Xuejin; Zhang, Yu; Xing, Wei; Li, Li; Xue, Qingzhong; Yan, Zifeng

    2016-11-01

    Electrode design in nanoscale is considered to be ultra-important to construct a superb capacitor. Herein, a sandwich-like composite was made by combining graphene/polypyrrole (GPPY) with nickel-aluminum layered double hydroxide nanowires (NiAl-NWs) via a facile hydrothermal method. This sandwich-like architecture is promising in energy storage applications due to three unique features: (1) the conductive GPPY substrate not only effectively prevents the layered double hydroxides species from aggregating, but also considerably facilitates the electron transmission; (2) the ultrathin NiAl-NWs ensure a maximum exposure of active Ni2+, which can improve the efficiency of rapid redox reactions even at high current densities; (3) the sufficient space between anisotropic NiAl-NWs can accommodate a large volume change of the nanowires to avoid their collapse or distortion during the reduplicative redox reactions. Keeping all these unique features in mind, when the as-prepared composite was applied to supercapacitors, it presented an enhanced capacitive performance in terms of high specific capacitance (845 F g-1), excellent rate performance (67% retained at 30 A g-1), remarkable cyclic stability (92% maintained after 5000 cycles) and large energy density (40.1 Wh·Kg-1). This accomplishment in the present work inspires an innovative strategy of nanoscale electrode design for high-rate performance supercapacitor electrodes containing pseuducapacitive metal oxide.

  5. Diamond nanowires for highly sensitive matrix-free mass spectrometry analysis of small molecules.

    PubMed

    Coffinier, Yannick; Szunerits, Sabine; Drobecq, Hervé; Melnyk, Oleg; Boukherroub, Rabah

    2012-01-07

    This paper reports on the use of boron-doped diamond nanowires (BDD NWs) as an inorganic substrate for matrix-free laser desorption/ionization mass spectrometry (LDI-MS) analysis of small molecules. The diamond nanowires are prepared by reactive ion etching (RIE) with oxygen plasma of highly boron-doped (the boron level is 10(19) B cm(-3)) or undoped nanocrystalline diamond substrates. The resulting diamond nanowires are coated with a thin silicon oxide layer that confers a superhydrophilic character to the surface. To minimize droplet spreading, the nanowires were chemically functionalized with octadecyltrichlorosilane (OTS) and then UV/ozone treated to reach a final water contact angle of 120°. The sub-bandgap absorption under UV laser irradiation and the heat confinement inside the nanowires allowed desorption/ionization, most likely via a thermal mechanism, and mass spectrometry analysis of small molecules. A detection limit of 200 zeptomole for verapamil was demonstrated.

  6. Hydrazine-Assisted Formation of Indium Phosphide (InP)-Based Nanowires and Core-Shell Composites.

    PubMed

    Patzke, Greta R; Kontic, Roman; Shiolashvili, Zeinab; Makhatadze, Nino; Jishiashvili, David

    2012-12-27

    Indium phosphide nanowires (InP NWs) are accessible at 440 °C from a novel vapor phase deposition approach from crystalline InP sources in hydrazine atmospheres containing 3 mol % H₂O. Uniform zinc blende (ZB) InP NWs with diameters around 20 nm and lengths up to several tens of micrometers are preferably deposited on Si substrates. InP particle sizes further increase with the deposition temperature. The straightforward protocol was extended on the one-step formation of new core-shell InP-Ga NWs from mixed InP/Ga source materials. Composite nanocables with diameters below 20 nm and shells of amorphous gallium oxide are obtained at low deposition temperatures around 350 °C. Furthermore, InP/Zn sources afford InP NWs with amorphous Zn/P/O-coatings at slightly higher temperatures (400 °C) from analogous setups. At 450 °C, the smooth outer layer of InP-Zn NWs is transformed into bead-shaped coatings. The novel combinations of the key semiconductor InP with isotropic insulator shell materials open up interesting application perspectives in nanoelectronics.

  7. A fast and effective approach for reversible wetting-dewetting transitions on ZnO nanowires

    PubMed Central

    Yadav, Kavita; Mehta, B. R.; Bhattacharya, Saswata; Singh, J. P.

    2016-01-01

    Here, we demonstrate a facile approach for the preparation of ZnO nanowires (NWs) with tunable surface wettability that can be manipulated reversibly in a controlled manner from a superhydrophilic state to a superhydrophobic state. The as-synthesized ZnO NWs obtained by a chemical vapor deposition method are superhydrophilic with a contact angle (CA) value of ~0°. After H2 gas annealing at 300 °C for 90 minutes, ZnO NWs display superhydrophobic behavior with a roll-off angle less than 5°. However, O2 gas annealing converts these superhydrophobic ZnO NWs into a superhydrophilic state. For switching from superhydrophobic to superhydrophilic state and vice versa in cyclic manner, H2 and O2 gas annealing treatment was used, respectively. A model based on density functional theory indicates that the oxygen-related defects are responsible for CA switching. The water resistant properties of the ZnO NWs coating is found to be durable and can be applied to a variety of substrates including glass, metals, semiconductors, paper and even flexible polymers. PMID:27713536

  8. Cross-section imaging and p-type doping assessment of ZnO/ZnO:Sb core-shell nanowires by scanning capacitance microscopy and scanning spreading resistance microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lin, E-mail: lin.wang@insa-lyon.fr; Brémond, Georges; Sallet, Vincent

    2016-08-29

    ZnO/ZnO:Sb core-shell structured nanowires (NWs) were grown by the metal organic chemical vapor deposition method where the shell was doped with antimony (Sb) in an attempt to achieve ZnO p-type conduction. To directly investigate the Sb doping effect in ZnO, scanning capacitance microscopy (SCM) and scanning spreading resistance microscopy (SSRM) were performed on the NWs' cross-sections mapping their two dimensional (2D) local electrical properties. Although no direct p-type inversion in ZnO was revealed, a lower net electron concentration was pointed out for the Sb-doped ZnO shell layer with respect to the non-intentionally doped ZnO core, indicating an evident compensating effectmore » as a result of the Sb incorporation, which can be ascribed to the formation of Sb-related acceptors. The results demonstrate SCM/SSRM investigation being a direct and effective approach for characterizing radial semiconductor one-dimensional (1D) structures and, particularly, for the doping study on the ZnO nanomaterial towards its p-type realization.« less

  9. Transparent arrays of silver nanowire rings driven by evaporation of sessile droplets

    NASA Astrophysics Data System (ADS)

    Wang, Xiaofeng; Kang, Giho; Seong, Baekhoon; Chae, Illkyeong; Teguh Yudistira, Hadi; Lee, Hyungdong; Kim, Hyunggun; Byun, Doyoung

    2017-11-01

    A coffee-ring pattern can be yielded on the three-phase contact line following evaporation of sessile droplets with suspended insoluble solutes, such as particles, DNA molecules, and mammalian cells. The formation of such coffee-ring, together with their suppression has been applied in printing and coating technologies. We present here an experimental study on the assembly of silver nanowires inside an evaporating droplet of a colloidal suspension. The effects of nanowire length and concentration on coffee-ring formation of the colloidal suspension were investigated. Several sizes of NWs with an aspect ratio between 50 and 1000 were systematically investigated to fabricate coffee-ring patterns. Larger droplets containing shorter nanowires formed clearer ring deposits after evaporation. An order-to-disorder transition of the nanowires’ alignment was found inside the rings. A printing technique with the evaporation process enabled fabrication of arrays of silver nanowire rings. We could manipulate the patterns silver nanowire rings, which might be applied to the transparent and flexible electrode.

  10. Size- and orientation-selective si nanowire growth: thermokinetic effects of nanoscale plasma chemistry.

    PubMed

    Mehdipour, Hamid; Ostrikov, Kostya Ken

    2013-02-06

    A multiscale, multiphase thermokinetic model is used to show the effective control of the growth orientation of thin Si NWs for nanoelectronic devices enabled by nanoscale plasma chemistry. It is shown that very thin Si NWs with [110] growth direction can nucleate at much lower process temperatures and pressures compared to thermal chemical vapor deposition where [111]-directed Si NWs are predominantly grown. These findings explain a host of experimental results and offer the possibility of energy- and matter-efficient, size- and orientation-controlled growth of [110] Si NWs for next-generation nanodevices.

  11. Nanowire Aptasensors for Electrochemical Detection of Cell-Secreted Cytokines.

    PubMed

    Liu, Ying; Rahimian, Ali; Krylyuk, Sergiy; Vu, Tam; Crulhas, Bruno; Stybayeva, Gulnaz; Imanbekova, Meruyert; Shin, Dong-Sik; Davydov, Albert; Revzin, Alexander

    2017-11-22

    Cytokines are small proteins secreted by immune cells in response to pathogens/infections; therefore, these proteins can be used in diagnosing infectious diseases. For example, release of a cytokine interferon (IFN)-γ from T-cells is used for blood-based diagnosis of tuberculosis (TB). Our lab has previously developed an atpamer-based electrochemical biosensor for rapid and sensitive detection of IFN-γ. In this study, we explored the use of silicon nanowires (NWs) as a way to create nanostructured electrodes with enhanced sensitivity for IFN-γ. Si NWs were covered with gold and were further functionalized with thiolated aptamers specific for IFN-γ. Aptamer molecules were designed to form a hairpin and in addition to terminal thiol groups contained redox reporter molecules methylene blue. Binding of analyte to aptamer-modified NWs (termed here nanowire aptasensors) inhibited electron transfer from redox reporters to the electrode and caused electrochemical redox signal to decrease. In a series of experiments we demonstrate that NW aptasensors responded 3× faster and were 2× more sensitive to IFN-γ compared to standard flat electrodes. Most significantly, NW aptasensors allowed detection of IFN-γ from as few as 150 T-cells/mL while ELISA did not pick up signal from the same number of cells. One of the challenges faced by ELISA-based TB diagnostics is poor performance in patients whose T-cell numbers are low, typically HIV patients. Therefore, NW aptasensors developed here may be used in the future for more sensitive monitoring of IFN-γ responses in patients coinfected with HIV/TB.

  12. Nanowire-nanoparticle conjugate photolytic devices for renewable hydrogen production

    NASA Astrophysics Data System (ADS)

    Maclaskey, Sean Kelly

    A clean energy driven economy requires renewable production of zero--emission fuels, such as hydrogen (H2). Photocatalytic generation of H2 is one such method to fulfill this demand. Photocatalytic water splitting is an electrochemical process driven by solar energy to produce H2. Although there have been many investigations on photocatalytic water splitting, the number of concepts utilizing visible light is limited. In the present study, H2 evolution from water splitting is demonstrated using the novel concept of nanowire--nanoparticle (NW--NP) conjugate devices irradiated by visible light. Photolytic nanodevice suspensions are fabricated via sol--gel synthesis of vanadium oxyhydrate (V3O 7·H2O) NWs, followed by solution chemistry with HAuCl 4 for reduction of gold (Au) NPs on the NW surfaces. Characterization of nanodevices was performed via TEM, SEM, and optical spectroscopy. Products of photolysis were quantified and analyzed by Gas Chromatography (GC). The performance of the nanowire--nanoparticle conjugate devices was compared with previous photolytic device designs by the use of quantum and internal conversion efficiencies (QE and ICE, respectively). The present thesis demonstrates photocatalytic production of H2 using V3O7·H 2O NW -- Au NP conjugate devices under 470 nm excitation. The "photolytic nanodevice suspension in water" concept poses the potential for scalable H2 production, in addition to the provision for a low--cost technique due to fabrication by sol--gel synthesis and solution chemistry. The V3O7·H2O aerogel, a recently discovered semiconductor material, is found to be a suitable photoanode due to its narrow band gap energy of 2.18 eV, and its stability during photolysis. The diameters of the V3O7·H2O NWs are found to be 12 nm (+/- 2.4 nm) from SEM images. The decoration of NWs with Au NPs is verified by TEM imaging and Au NPs are estimated to be 7.5 nm (+/- 2.2 nm) in size. After decoration of NWs by Au NPs, a near--field enhancement

  13. Fabrication of a transparent conducting electrode based on graphene/silver nanowires via layer-by-layer method for organic photovoltaic devices.

    PubMed

    Tugba Camic, B; Oytun, Faruk; Hasan Aslan, M; Jeong Shin, Hee; Choi, Hyosung; Basarir, Fevzihan

    2017-11-01

    A solution-processed transparent conducting electrode was fabricated via layer-by-layer (LBL) deposition of graphene oxide (GO) and silver nanowires (Ag NWs). First, graphite was oxidized with a modified Hummer's method to obtain negatively-charged GO sheets, and Ag NWs were functionalized with cysteamine hydrochloride to acquire positively-charged silver nanowires. Oppositely-charged GO and Ag NWs were then sequentially coated on a 3-aminopropyltriethoxysilane modified glass substrate via LBL deposition, which provided highly controllable thin films in terms of optical transmittance and sheet resistance. Next, the reduction of GO sheets was performed to improve the electrical conductivity of the multilayer films. The resulting GO/Ag NWs multilayer was characterized by a UV-Vis spectrometer, field emission scanning electron microscope (FE-SEM), optical microscope (OM) and sheet resistance using a four-point probe method. The best result was achieved with a 2-bilayer film, resulting in a sheet resistance of 6.5Ω sq -1 with an optical transmittance of 78.2% at 550nm, which values are comparable to those of commercial ITO electrodes. The device based on a 2-bilayer hybrid film exhibited the highest device efficiency of 1.30% among the devices with different number of graphene/Ag NW LBL depositions. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. Enhancement of radiation tolerance in GaAs/AlGaAs core–shell and InP nanowires

    NASA Astrophysics Data System (ADS)

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H+ irradiation with fluences ranging from 1 × 1011 to 5 × 1013 p cm‑2. It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  15. Enhancement of radiation tolerance in GaAs/AlGaAs core-shell and InP nanowires.

    PubMed

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H + irradiation with fluences ranging from 1 × 10 11 to 5 × 10 13 p cm -2 . It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  16. Fabricating nanowire devices on diverse substrates by simple transfer-printing methods.

    PubMed

    Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2010-06-01

    The fabrication of nanowire (NW) devices on diverse substrates is necessary for applications such as flexible electronics, conformable sensors, and transparent solar cells. Although NWs have been fabricated on plastic and glass by lithographic methods, the choice of device substrates is severely limited by the lithographic process temperature and substrate properties. Here we report three new transfer-printing methods for fabricating NW devices on diverse substrates including polydimethylsiloxane, Petri dishes, Kapton tapes, thermal release tapes, and many types of adhesive tapes. These transfer-printing methods rely on the differences in adhesion to transfer NWs, metal films, and devices from weakly adhesive donor substrates to more strongly adhesive receiver substrates. Electrical characterization of fabricated NW devices shows that reliable ohmic contacts are formed between NWs and electrodes. Moreover, we demonstrated that Si NW devices fabricated by the transfer-printing methods are robust piezoresistive stress sensors and temperature sensors with reliable performance.

  17. Functionalized magnetic nanowires for chemical and magneto-mechanical induction of cancer cell death

    PubMed Central

    Martínez-Banderas, Aldo Isaac; Aires, Antonio; Teran, Francisco J.; Perez, Jose Efrain; Cadenas, Jael F.; Alsharif, Nouf; Ravasi, Timothy; Cortajarena, Aitziber L.; Kosel, Jürgen

    2016-01-01

    Exploiting and combining different properties of nanomaterials is considered a potential route for next generation cancer therapies. Magnetic nanowires (NWs) have shown good biocompatibility and a high level of cellular internalization. We induced cancer cell death by combining the chemotherapeutic effect of doxorubicin (DOX)-functionalized iron NWs with the mechanical disturbance under a low frequency alternating magnetic field. (3-aminopropyl)triethoxysilane (APTES) and bovine serum albumin (BSA) were separately used for coating NWs allowing further functionalization with DOX. Internalization was assessed for both formulations by confocal reflection microscopy and inductively coupled plasma-mass spectrometry. From confocal analysis, BSA formulations demonstrated higher internalization and less agglomeration. The functionalized NWs generated a comparable cytotoxic effect in breast cancer cells in a DOX concentration-dependent manner, (~60% at the highest concentration tested) that was significantly different from the effect produced by free DOX and non-functionalized NWs formulations. A synergistic cytotoxic effect is obtained when a magnetic field (1 mT, 10 Hz) is applied to cells treated with DOX-functionalized BSA or APTES-coated NWs, (~70% at the highest concentration). In summary, a bimodal method for cancer cell destruction was developed by the conjugation of the magneto-mechanical properties of iron NWs with the effect of DOX producing better results than the individual effects. PMID:27775082

  18. Click Chemistry Mediated Functionalization of Vertical Nanowires for Biological Applications.

    PubMed

    Vutti, Surendra; Schoffelen, Sanne; Bolinsson, Jessica; Buch-Månson, Nina; Bovet, Nicolas; Nygård, Jesper; Martinez, Karen L; Meldal, Morten

    2016-01-11

    Semiconductor nanowires (NWs) are gaining significant importance in various biological applications, such as biosensing and drug delivery. Efficient and controlled immobilization of biomolecules on the NW surface is crucial for many of these applications. Here, we present for the first time the use of the Cu(I) -catalyzed alkyne-azide cycloaddition and its strain-promoted variant for the covalent functionalization of vertical NWs with peptides and proteins. The potential of the approach was demonstrated in two complementary applications of measuring enzyme activity and protein binding, which is of general interest for biological studies. The attachment of a peptide substrate provided NW arrays for the detection of protease activity. In addition, green fluorescent protein was immobilized in a site-specific manner and recognized by antibody binding to demonstrate the proof-of-concept for the use of covalently modified NWs for diagnostic purposes using minute amounts of material. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Self-assembled growth of MnSi~1.7 nanowires with a single orientation and a large aspect ratio on Si(110) surfaces

    PubMed Central

    2013-01-01

    MnSi~1.7 nanowires (NWs) with a single orientation and a large aspect ratio have been formed on a Si(110) surface with the molecular beam epitaxy method by a delicate control of growth parameters, such as temperature, deposition rate, and deposition time. Scanning tunneling microscopy (STM) was employed to study the influence of these parameters on the growth of NWs. The supply of free Si atoms per unit time during the silicide reaction plays a critical role in the growth kinetics of the NWs. High growth temperature and low deposition rate are favorable for the formation of NWs with a large aspect ratio. The orientation relationship between the NWs and the reconstruction rows of the Si(110) surface suggests that the NWs grow along the 11¯0 direction of the silicon substrate. High-resolution STM and backscattered electron scanning electron microscopy images indicate that the NWs are composed of MnSi~1.7. PMID:23339353

  20. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.