Sample records for nanowires si nws

  1. Effects of silicon nanowires (SiNWs) contents on the optical and dielectric properties of poly(3-hexylthiophene):SiNWs nanocomposites

    NASA Astrophysics Data System (ADS)

    Saidi, Hamza; Walid, Aloui; Bouazizi, Abdelaziz; Herrero, Beatriz Romero; Saidi, Faouzi

    2017-08-01

    In this study, we investigated the dependency of the optical and electrical proprieties of poly(3-hexylthiophene):silicon nanowires (P3HT:SiNWs) nanocomposites on the concentration of SiNWs based on photoluminescence (PL) and impedance spectroscopy. The PL spectra indicated the presence of charge transfer at low concentrations of SiNWs. The effects of the SiNWs contents on the loss mechanism were determined based on permittivity measurements, which were related to the distribution of the SiNWs contents on the polymer backbones, as well as being correlated with the PL and conductance results. The imaginary part of the impedance exhibited a high relaxation frequency attributable to Maxwell-Wagner polarization, where the extracted relaxation time was in the range of milliseconds. The Cole-Cole diagram had an excellent fit via the equivalent circuit, which incorporated the chemical capacitance Cμ, contact electrical resistance Rs, and recombination resistance Rp.

  2. Si NW network by Ag nanoparticle assisted etching and TiO2/Si NWs as photodetector

    NASA Astrophysics Data System (ADS)

    Bhowmik, Kishan; Mondal, Aniruddha

    2015-03-01

    Glancing angle deposited silver (Ag) nanoparticles (NPs) were employed to fabricate the silicon (Si) nanowire (NW) network on p-type Si substrate. The Si NWs were characterized by X-ray diffraction, which shows the (311) oriented single crystalline nature. The FEG-SEM images show that the nanowire diameters are in the order of 60-180 nm. The photoluminescence emission at 525 nm was recognized from the Si NWs. The Ag-TiO2 contacts exhibit Schottky behavior and higher photoconduction was observed for TiO2-Si NW detector than that of TiO2 Thin film under illumination up to 2.5 V applied potential. A threefold enhanced photodetection for the Silicon nanowire device was observed compared to the TiO2 thin film device, under applied voltages of 0.4-1.5 V. [Figure not available: see fulltext.

  3. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  4. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  5. Dependence of performance of Si nanowire solar cells on geometry of the nanowires.

    PubMed

    Khan, Firoz; Baek, Seong-Ho; Kim, Jae Hyun

    2014-01-01

    The dependence of performance of silicon nanowires (SiNWs) solar cells on the growth condition of the SiNWs has been described. Metal-assisted electroless etching (MAE) technique has been used to grow SiNWs array. Different concentration of aqueous solution containing AgNO3 and HF for Ag deposition is used. The diameter and density of SiNWs are found to be dependent on concentration of solution used for Ag deposition. The diameter and density of SiNWs have been used to calculate the filling ratio of the SINWs arrays. The filling ratio is increased with increase in AgNO3 concentration, whereas it is decreased with increase in HF concentration. The minimum reflectance value achieved is ~1% for SiNWs of length of ~1.2 μ m in the wavelength range of 300-1000 nm. The performance and diode parameters strongly depend on the geometry of SiNWs. The maximum short circuit current density achieved is 35.6 mA/cm(2). The conversion efficiency of solar cell is 9.73% for SiNWs with length, diameter, and wire density of ~1.2 μ m, ~75 nm, and 90 μ m(-2), respectively.

  6. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  7. Structure, morphology, and photoluminescence of porous Si nanowires: effect of different chemical treatments

    PubMed Central

    2013-01-01

    The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material. PMID:24025542

  8. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  9. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    PubMed

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  10. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates

    NASA Astrophysics Data System (ADS)

    Mahato, J. C.; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B. N.

    2017-10-01

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types—flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi2 and Si are A-type. In the ridged NWs CoSi2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  11. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  12. Ni-silicide growth kinetics in Si and Si/SiO2 core/shell nanowires.

    PubMed

    Ogata, K; Sutter, E; Zhu, X; Hofmann, S

    2011-09-07

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from ∼ 10 to 100 nm is presented. For temperatures between 300 and 440 °C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for (111) orientated SiNWs. In situ TEM silicidation experiments show that NiSi(2) is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.

  13. Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, S.; Sutter, E.; Ogata, K.

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from {approx} 10 to 100 nm is presented. For temperatures between 300 and 440 C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Nimore » flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In situ TEM silicidation experiments show that NiSi{sub 2} is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.« less

  14. Tunable violet-blue emission from 3 C-SiC nanowires

    NASA Astrophysics Data System (ADS)

    Zhu, J.; Wu, H.; Chen, H. T.; Wu, X. L.; Xiong, X.

    2009-04-01

    Bulk quantities of straight and curled cubic silicon carbide nanowires (3 C-SiC NWs) are synthesized from the mixture of ZnS, Si, and C powders. The 3 C-SiC NWs are wrapped by amorphous SiO 2 shells with very thin thicknesses of less than 2.0 nm. The deionized water suspension of the as-made NWs shows a photoluminescence (PL) band centered at 548 nm, and a tunable violet-blue photoluminescence is observed as the excitation wavelength increases from 300 to 375 nm after the SiO 2 shell is removed. The PL band at 548 nm relates to the SiO 2 shell. Careful microstructural observation suggests that the tunable PL originates from the quantum confinement effect of 3 C-SiC nanocrystallites with sizes of several nm at the turning of the curled NWs.

  15. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    de Santiago, F.; Trejo, A.; Miranda, A.; Salazar, F.; Carvajal, E.; Pérez, L. A.; Cruz-Irisson, M.

    2018-05-01

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O2. Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A[B-doped] > E A[Al-doped] > E A[Ga-doped] > E A[undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  16. Cytocompatibility and cellular internalization mechanisms of SiC/SiO2 nanowires.

    PubMed

    Cacchioli, A; Ravanetti, F; Alinovi, R; Pinelli, S; Rossi, F; Negri, M; Bedogni, E; Campanini, M; Galetti, M; Goldoni, M; Lagonegro, P; Alfieri, R; Bigi, F; Salviati, G

    2014-08-13

    First evidence of in vitro cytocompatibility of SiC/SiO2 core-shell nanowires is reported. Different internalization mechanisms by adenocarcinomic alveolar basal epithelial cells, monocytic cell line derived from an acute monocytic leukemia, breast cancer cells, and normal human dermal fibroblasts are shown. The internalization occurs mainly for macropinocytosis and sporadically by direct penetration in all cell models considered, whereas it occurred for phagocytosis only in monocytic leukemia cells. The cytocompatibility of the nanowires is proved by the analysis of cell proliferation, cell cycle progression, and oxidative stress on the cells treated with NWs as compared to controls. Reactive oxygen species generation was detected as an early event that then quickly run out with a rapid decrease only in adenocarcinomic alveolar basal epithelial and human dermal fibroblasts cells. In all the cell lines, the intracellular presence of NWs induce the same molecular events but to a different extent: peroxidation of membrane lipids and oxidation of proteins. The NWs do not elicit either midterm (72 h) or long-term (10 days) cytotoxic activity leading to irreversible cellular damages or death. Our results are important in view of a possible use of SiC/SiO2 core-shell structures acting as biomolecule-delivery vectors or intracellular electrodes.

  17. Fabrication and gas sensing properties of vertically aligned Si nanowires

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Kang, Sung Yong; Choi, Sun-Woo; Kwon, Yong Jung; Choi, Myung Sik; Bang, Jae Hoon; Kim, Sang Sub; Kim, Hyoun Woo

    2018-01-01

    In this study, a peculiar configuration for a gas sensor consisting of vertically aligned silicon nanowires (VA-Si NWs) synthesized by metal-assisted chemical etching (MACE) is reported. Si NWs were prepared via a facile MACE method and subsequent thermal annealing. Etching was performed by generation of silver nanoparticles (Ag NPs) and subsequent etching in HF/H2O2 aqueous solution; the growth conditions were optimized by changing the process parameters. Highly vertically oriented arrays of Si NWs with a straight-line morphology were obtained, and a top-top electrode configuration was applied. The VA-Si NW gas sensor showed good sensing performance, and the VA-Si NWs exhibited a remarkable response (Rg/Ra = 11.5 ∼ 17.1) to H2 gas (10-50 ppm) at 100 °C which was the optimal working temperature. The formation mechanism and gas sensing mechanism of VA-Si NWs are described. The obtained results can suggest new approaches to making inexpensive, versatile, and portable sensors based on Si NWs having a novel top-top electrode structure that are fully compatible with well-developed Si technologies.

  18. Carbon monoxide sensing properties of B-, Al- and Ga-doped Si nanowires.

    PubMed

    de Santiago, F; Trejo, A; Miranda, A; Salazar, F; Carvajal, E; Pérez, L A; Cruz-Irisson, M

    2018-05-18

    Silicon nanowires (SiNWs) are considered as potential chemical sensors due to their large surface-to-volume ratio and their possible integration into arrays for nanotechnological applications. Detection of harmful gases like CO has been experimentally demonstrated, however, the influence of doping on the sensing capacity of SiNWs has not yet been reported. For this work, we theoretically studied the surface adsorption of a CO molecule on hydrogen-passivated SiNWs grown along the [111] crystallographic direction and compared it with the adsorption of other molecules such as NO, and O 2 . Three nanowire diameters and three dopant elements (B, Al and Ga) were considered, and calculations were done within the density functional theory framework. The results indicate that CO molecules are more strongly adsorbed on the doped SiNW than on the pristine SiNW. The following trend was observed for the CO adsorption energies: E A [B-doped] > E A [Al-doped] > E A [Ga-doped] > E A [undoped], for all diameters. The electronic charge transfers between the SiNWs and the adsorbed CO were estimated by using a Voronoi population analysis. The CO adsorbed onto the undoped SiNWs has an electron-acceptor character, while the CO adsorbed onto the B-, Al-, and Ga-doped SiNWs exhibits an electron-donor character. Comparing these results with the ones obtained for the NO and O 2 adsorption, the larger CO adsorption energy on B-doped SiNWs indicates their good selectivity towards CO. These results suggest that SiNW-based sensors of toxic gases could represent a clear and advantageous application of nanotechnology in the improvement of human quality of life.

  19. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  20. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  1. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    PubMed Central

    2011-01-01

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696

  2. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    PubMed

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  3. Strong light absorption capability directed by structured profile of vertical Si nanowires

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2017-11-01

    Si nanowire arrays (SiNWAs) with random fractal geometry was fabricated using fast, mask-less, non-lithographic and facile approach by incorporating metal assisted electroless etching of n-type Si (111) substrates. The FESEM images demonstrate the formation of nano-porous surfaces that provide effective path for the incoming light to get trapped into the cavity of nanowires. The length of NWs increases from ∼1 to 10 μm with increase in the etching time having a diameter in the range of ∼25-82 nm. A transformation from zero to first order kinetics after a prolonged etching has been determined. The synthesized SiNWAs show high light trapping properties, including a maximum photon absorption across the entire visible and near IR range below the band gap of Si. The SiNWAs etched for 15 min exhibit extremely low specular and total reflectance of ∼0.2% and 4.5%, respectively over a broadband of wavelength. The reduction in the reflection loss is accompanied with the gradient of refractive index from air to Si substrate as well as due to the sub-wavelength structures, which manifests the light scattering effect. The COMSOL multiphysics simulation has been performed to study the high broadband light absorption capability in terms of the strong localized light field confinement by varying the length of the nanowire. Moreover, the SiNWs induces the dewetting ability at the solid/liquid interface and enhances the superhydrophobicity. Furthermore, a maximum length scale of 100-200 nm manifests a strong heterogeneity along the planar section of the surface of SiNWs. The study thus provides an insight on the light propagation into the random fractal geometries of Si nanowires. These outstanding properties should contribute to the structural optimization of various optoelectronic and photonic devices.

  4. Spectroscopic ellipsometry of columnar porous Si thin films and Si nanowires

    NASA Astrophysics Data System (ADS)

    Fodor, Bálint; Defforge, Thomas; Agócs, Emil; Fried, Miklós; Gautier, Gaël; Petrik, Péter

    2017-11-01

    Columnar mesoporous Si thin films and dense nanowire (SiNW) carpets were investigated by spectroscopic ellipsometry in the visible-near-infrared wavelength range. Porous Si layers were formed by electrochemical etching while structural anisotropy was controlled by the applied current. Layers of highly oriented SiNWs, with length up to 4.1 μm were synthesized by metal-assisted chemical etching. Ellipsometric spectra were fitted with different multi-layered, effective medium approximation-based (EMA) models. Isotropic, in-depth graded, anisotropic and hybrid EMA models were investigated with the help of the root mean square errors obtained from the fits. Ellipsometric-fitted layer thicknesses were also cross-checked by scanning electron microscopy showing an excellent agreement. Furthermore, in the case of mesoporous silicon, characterization also revealed that, at low current densities (<100 mA/cm2), in-depth inhomogeneity shows a more important feature in the ellipsometric spectra than anisotropy. On the other hand, at high current densities (>100 mA/cm2) this behavior turns around, and anisotropy becomes the dominant feature describing the spectra. Characterization of SiNW layers showed a very high geometrical anisotropy. However, the highest fitted geometrical anisotropy was obtained for the layer composed of ∼1 μm long SiNWs indicating that for thicker layers, collapse of the nanowires occurs.

  5. Platinum Assisted Vapor–Liquid–Solid Growth of Er–Si Nanowires and Their Optical Properties

    PubMed Central

    2010-01-01

    We report the optical activation of erbium coated silicon nanowires (Er–SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor–liquid–solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core–shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er–SiNWs. PMID:20672113

  6. Platinum assisted vapor-liquid-solid growth of er-si nanowires and their optical properties.

    PubMed

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H; Choi, Heon-Jin

    2009-11-14

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  7. Platinum Assisted Vapor-Liquid-Solid Growth of Er-Si Nanowires and Their Optical Properties

    NASA Astrophysics Data System (ADS)

    Kim, Myoung-Ha; Kim, Il-Soo; Park, Yong-Hee; Park, Tae-Eon; Shin, Jung H.; Choi, Heon-Jin

    2010-02-01

    We report the optical activation of erbium coated silicon nanowires (Er-SiNWs) grown with the assist of platinum (Pt) and gold (Au), respectively. The NWs were grown on Si substrates by using a chemical vapor transport process using SiCl4 and ErCl4 as precursors. Pt as well as Au worked successfully as vapor-liquid-solid (VLS) catalysts for growing SiNWs with diameters of ~100 nm and length of several micrometers, respectively. The SiNWs have core-shell structures where the Er-crystalline layer is sandwiched between silica layers. Photoluminescence spectra analyses showed the optical activity of SiNWs from both Pt and Au. A stronger Er3+ luminescence of 1,534 nm was observed from the SiNWs with Pt at room- and low-temperature (25 K) using the 488- and/or 477-nm line of an Ar laser that may be due to the uniform incorporation of more Er ions into NWs with the exclusion of the formation of catalyst-induced deep levels in the band-gap. Pt would be used as a VLS catalyst for high performance optically active Er-SiNWs.

  8. Self-assembled growth of MnSi~1.7 nanowires with a single orientation and a large aspect ratio on Si(110) surfaces

    PubMed Central

    2013-01-01

    MnSi~1.7 nanowires (NWs) with a single orientation and a large aspect ratio have been formed on a Si(110) surface with the molecular beam epitaxy method by a delicate control of growth parameters, such as temperature, deposition rate, and deposition time. Scanning tunneling microscopy (STM) was employed to study the influence of these parameters on the growth of NWs. The supply of free Si atoms per unit time during the silicide reaction plays a critical role in the growth kinetics of the NWs. High growth temperature and low deposition rate are favorable for the formation of NWs with a large aspect ratio. The orientation relationship between the NWs and the reconstruction rows of the Si(110) surface suggests that the NWs grow along the 11¯0 direction of the silicon substrate. High-resolution STM and backscattered electron scanning electron microscopy images indicate that the NWs are composed of MnSi~1.7. PMID:23339353

  9. Preparation of SiC/SiO2 core-shell nanowires via molten salt mediated carbothermal reduction route

    NASA Astrophysics Data System (ADS)

    Zhang, Ju; Yan, Shuai; Jia, Quanli; Huang, Juntong; Lin, Liangxu; Zhang, Shaowei

    2016-06-01

    The growth of silicon carbide (SiC) crystal generally requires a high temperature, especially when low quality industrial wastes are used as the starting raw materials. In this work, SiC/SiO2 core-shell nanowires (NWs) were synthesized from low cost silica fume and sucrose via a molten salt mediated carbothermal reduction (CR) route. The molten salt was found to be effective in promoting the SiC growth and lowering the synthesis temperature. The resultant NWs exhibited a heterostructure composed of a 3C-SiC core of 100 nm in diameter and a 5-10 nm thick amorphous SiO2 shell layer. The photoluminescence spectrum of the achieved SiC NWs displayed a significant blue shift (a dominant luminescence at round 422 nm), which suggested that they were high quality and could be a promising candidate material for future optoelectronic applications.

  10. Single-crystalline δ-Ni2Si nanowires with excellent physical properties

    PubMed Central

    2013-01-01

    In this article, we report the synthesis of single-crystalline nickel silicide nanowires (NWs) via chemical vapor deposition method using NiCl2·6H2O as a single-source precursor. Various morphologies of δ-Ni2Si NWs were successfully acquired by controlling the growth conditions. The growth mechanism of the δ-Ni2Si NWs was thoroughly discussed and identified with microscopy studies. Field emission measurements show a low turn-on field (4.12 V/μm), and magnetic property measurements show a classic ferromagnetic characteristic, which demonstrates promising potential applications for field emitters, magnetic storage, and biological cell separation. PMID:23782805

  11. Subeutectic Synthesis of Epitaxial Si-NWs with Diverse Catalysts Using a Novel Si Precursor

    PubMed Central

    2010-01-01

    The applicability of a novel silicon precursor with respect to reasonable nanowire (NW) growth rates, feasibility of epitaxial NW growth and versatility with respect to diverse catalysts was investigated. Epitaxial growth of Si-NWs was achieved using octochlorotrisilane (OCTS) as Si precursor and Au as catalyst. In contrast to the synthesis approach with SiCl4 as precursor, OCTS provides Si without the addition of H2. By optimizing the growth conditions, effective NW synthesis is shown for alternative catalysts, in particular, Cu, Ag, Ni, and Pt with the latter two being compatible to complementary metal-oxide-semiconductor technology. As for these catalysts, the growth temperatures are lower than the lowest liquid eutectic; we suggest that the catalyst particle is in the solid state during NW growth and that a solid-phase diffusion process, either in the bulk, on the surface, or both, must be responsible for NW nucleation. PMID:20843058

  12. Preparation and electrochemistry of Pd-Ni/Si nanowire nanocomposite catalytic anode for direct ethanol fuel cell.

    PubMed

    Miao, Fengjuan; Tao, Bairui; Chu, Paul K

    2012-04-28

    A new silicon-based anode suitable for direct ethanol fuel cells (DEFCs) is described. Pd-Ni nanoparticles are coated on Si nanowires (SiNWs) by electroless co-plating to form the catalytic materials. The electrocatalytic properties of the SiNWs and ethanol oxidation on the Pd-Ni catalyst (Pd-Ni/SiNWs) are investigated electrochemically. The effects of temperature and working potential limit in the anodic direction on ethanol oxidation are studied by cyclic voltammetry. The Pd-Ni/SiNWs electrode exhibits higher electrocatalytic activity and better long-term stability in an alkaline solution. It also yields a larger current density and negative onset potential thus boding well for its application to fuel cells. This journal is © The Royal Society of Chemistry 2012

  13. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    PubMed

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  14. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  15. Bright photoluminescence from ordered arrays of SiGe nanowires grown on Si(111)

    PubMed Central

    Rowell, N L; Benkouider, A; Ronda, A; Favre, L; Berbezier, I

    2014-01-01

    Summary We report on the optical properties of SiGe nanowires (NWs) grown by molecular beam epitaxy (MBE) in ordered arrays on SiO2/Si(111) substrates. The production method employs Au catalysts with self-limited sizes deposited in SiO2-free sites opened-up in the substrate by focused ion beam patterning for the preferential nucleation and growth of these well-organized NWs. The NWs thus produced have a diameter of 200 nm, a length of 200 nm, and a Ge concentration x = 0.15. Their photoluminescence (PL) spectra were measured at low temperatures (from 6 to 25 K) with excitation at 405 and 458 nm. There are four major features in the energy range of interest (980–1120 meV) at energies of 1040.7, 1082.8, 1092.5, and 1098.5 meV, which are assigned to the NW-transverse optic (TO) Si–Si mode, NW-transverse acoustic (TA), Si–substrate–TO and NW-no-phonon (NP) lines, respectively. From these results the NW TA and TO phonon energies are found to be 15.7 and 57.8 meV, respectively, which agree very well with the values expected for bulk Si1− xGex with x = 0.15, while the measured NW NP energy of 1099 meV would indicate a bulk-like Ge concentration of x = 0.14. Both of these concentrations values, as determined from PL, are in agreement with the target value. The NWs are too large in diameter for a quantum confinement induced energy shift in the band gap. Nevertheless, NW PL is readily observed, indicating that efficient carrier recombination is occurring within the NWs. PMID:25671145

  16. Enhanced photoemission from glancing angle deposited SiOx-TiO2 axial heterostructure nanowire arrays

    NASA Astrophysics Data System (ADS)

    Dhar, J. C.; Mondal, A.; Singh, N. K.; Chattopadhyay, K. K.

    2013-05-01

    The glancing angle deposition technique has been employed to synthesize SiOx-TiO2 heterostructure nanowire (NW) arrays on indium tin oxide (ITO) coated glass substrate. A field emission gun scanning electron microscopic image shows that the average diameter of the NWs is ˜50 nm. Transmission electron microscopy images show the formation of heterostructure NWs, which consist of ˜180 nm SiOx and ˜210 nm long TiO2. The selected-area electron diffraction shows the amorphous nature of the synthesized NWs, which was also confirmed by X-ray diffraction method. The main band absorption edges at 3.5 eV were found for both the SiOx-TiO2 and TiO2 NW arrays on ITO coated glass plate from optical absorption measurement. Ti3+ defect related sub-band gap transition at 2.5 eV was observed for TiO2 NWs, whereas heterostructure NWs revealed the SiOx optical band gap related transition at ˜2.2 eV. Two fold improved photon absorption as well as five times photoluminescence emission enhancement were observed for the SiOx-TiO2 multilayer NWs compared to TiO2 NWs.

  17. Single Schottky junction FETs based on Si:P nanowires with axially graded doping

    NASA Astrophysics Data System (ADS)

    Barreda, Jorge; Keiper, Timothy; Zhang, Mei; Xiong, Peng

    2015-03-01

    Si nanowires (NWs) with a systematic axial increase in phosphorus doping have been synthesized via a vapor-liquid-solid method. Silane and phosphine precursor gases are utilized for the growth and doping, respectively. The phosphorous doping profile is controlled by the flow ratio of the precursor gases. After the as-grown product is ultrasonically agitated into a solution, the Si NWs are dispersed on a SiO2 substrate with a highly doped Si back gate. Individual NWs are identified for the fabrication of field-effect transistors (FETs) with multiple Cr/Ag contacts along the NW. Two-probe and four-probe measurements are taken systematically under vacuum conditions at room temperature and the contribution from each contact and each NW section between adjacent contacts is determined. The graded doping level, produced by a systematic reduction in dopant density along the length of the NWs, is manifested in the regular increases in the channel and contact resistances. Our Si NWs facilitate the fabrication of asymmetric FETs with one ohmic and one Schottky contact. A significant increase in gate modulation is obtained due to the single Schottky-barrier contact. Characterization details and the applicability for sensing purposes will be discussed.

  18. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  19. Synthesis and characterization of barium silicide (BaSi2) nanowire arrays for potential solar applications.

    PubMed

    Pokhrel, Ankit; Samad, Leith; Meng, Fei; Jin, Song

    2015-11-07

    In order to utilize nanostructured materials for potential solar and other energy-harvesting applications, scalable synthetic techniques for these materials must be developed. Herein we use a vapor phase conversion approach to synthesize nanowire (NW) arrays of semiconducting barium silicide (BaSi2) in high yield for the first time for potential solar applications. Dense arrays of silicon NWs obtained by metal-assisted chemical etching were converted to single-crystalline BaSi2 NW arrays by reacting with Ba vapor at about 930 °C. Structural characterization by X-ray diffraction and high-resolution transmission electron microscopy confirm that the converted NWs are single-crystalline BaSi2. The optimal conversion reaction conditions allow the phase-pure synthesis of BaSi2 NWs that maintain the original NW morphology, and tuning the reaction parameters led to a controllable synthesis of BaSi2 films on silicon substrates. The optical bandgap and electrochemical measurements of these BaSi2 NWs reveal a bandgap and carrier concentrations comparable to previously reported values for BaSi2 thin films.

  20. Nanodevices based on silicon nanowires.

    PubMed

    Wan, Yuting; Sha, Jian; Chen, Bo; Fang, Yanjun; Wang, Zongli; Wang, Yewu

    2009-01-01

    Silicon nanowires (SiNWs) have been demonstrated as one of the promising building blocks for future nanodevices such as field effect transistors, solar cells, sensors and lithium battery; much progress has been made in this field during last decades. In this review paper, the synthesis and physical properties of SiNWs are introduced briefly. Significant advances of SiNWs-related nanodevices reported in recent literature and registered patents are reviewed. The latest development and prospects of SiNWs-related nanodevices are also discussed.

  1. A new approach of the synthesis of SiO 2 nanowires by using bulk copper foils as catalyst

    DOE PAGES

    Gomez-Martinez, A.; Márquez, F.; Morant, C.

    2016-06-22

    In this paper, a novel procedure for the growth of SiO 2 nanowires (SiO 2NWs) directly from polycrystalline copper foils is reported. The single-step synthesis procedure consists of a thermal treatment at 900°C without the need for additional catalysts. As a result, nanowires with an average diameter of 100 nm are synthesized. A systematic study undertaken at different stages of the SiO 2NWs growth confirmed the generation of nucleation centers on the Cu surface, as well as revealed the existence of an intermediate gaseous SiO species at the synthesis temperature. Lastly, on the basis of these evidences, the vapor-liquid-solid (VLS)more » route has been proposed as the mechanism responsible for the growth.« less

  2. Origin of visible and near-infrared photoluminescence from chemically etched Si nanowires decorated with arbitrarily shaped Si nanocrystals.

    PubMed

    Ghosh, Ramesh; Giri, P K; Imakita, Kenji; Fujii, Minoru

    2014-01-31

    Arrays of vertically aligned single crystalline Si nanowires (NWs) decorated with arbitrarily shaped Si nanocrystals (NCs) have been fabricated by a silver assisted wet chemical etching method. Scanning electron microscopy and transmission electron microscopy are performed to measure the dimensions of the Si NWs as well as the Si NCs. A strong broad band and tunable visible (2.2 eV) to near-infrared (1.5 eV) photoluminescence (PL) is observed from these Si NWs at room temperature (RT). Our studies reveal that the Si NCs are primarily responsible for the 1.5-2.2 eV emission depending on the cross-sectional area of the Si NCs, while the large diameter Si/SiOx NWs yield distinct NIR PL consisting of peaks at 1.07, 1.10 and 1.12 eV. The latter NIR peaks are attributed to TO/LO phonon assisted radiative recombination of free carriers condensed in the electron-hole plasma in etched Si NWs observed at RT for the first time. Since the shape of the Si NCs is arbitrary, an analytical model is proposed to correlate the measured PL peak position with the cross-sectional area (A) of the Si NCs, and the bandgap (E(g)) of nanostructured Si varies as E(g) = E(g) (bulk) + 3.58 A(-0.52). Low temperature PL studies reveal the contribution of non-radiative defects in the evolution of PL spectra at different temperatures. The enhancement of PL intensity and red-shift of the PL peak at low temperatures are explained based on the interplay of radiative and non-radiative recombinations at the Si NCs and Si/SiO(x) interface. Time resolved PL studies reveal bi-exponential decay with size correlated lifetimes in the range of a few microseconds. Our results help to resolve a long standing debate on the origin of visible-NIR PL from Si NWs and allow quantitative analysis of PL from arbitrarily shaped Si NCs.

  3. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    PubMed

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  4. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching

    PubMed Central

    2014-01-01

    Abstract Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm−2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS 61.46.Km; 78.55.-m; 78.67.Lt PMID:24521284

  5. Synthesis and electrical characterization of intrinsic and in situ doped Si nanowires using a novel precursor

    PubMed Central

    Molnar, Wolfgang; Wojcik, Tomasz; Pongratz, Peter; Auner, Norbert; Bauch, Christian; Bertagnolli, Emmerich

    2012-01-01

    Summary Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes SinCl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation. PMID:23019552

  6. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  7. Selective area growth of InAs nanowires from SiO2/Si(1 1 1) templates direct-written by focused helium ion beam technology

    NASA Astrophysics Data System (ADS)

    Yang, Che-Wei; Chen, Wei-Chieh; Chou, Chieh; Lin, Hao-Hsiung

    2018-02-01

    We report on the selective area growth of InAs nanowires on patterned SiO2/Si (1 1 1) nano-holes, prepared by focused helium ion beam technology. We used a single spot mode, in which the focused helium ion beam was fixed on a single point with a He+-ion dosage, ranging from 1.5 pC to 8 pC, to drill the nano-holes. The smallest hole diameter achieved is ∼8 nm. We found that low He+-ion dosage is able to facilitate the nucleation of (1 1 1)B InAs on the highly mismatched Si, leading to the vertical growth of InAs nanowires (NWs). High He-ion dosage, on the contrary, severely damaged Si surface, resulting in tilted and stripe-like NWs. In addition to titled NW grown from (1 1 1)A InAs domain, a new titled growth direction due to defect induced twinning was observed. Cross-sectional TEM images of vertical NWs show mixed wurtizite (WZ) and zincblende (ZB) phases, while WZ phase dominants. The stacking faults resulting from the phase change is proportional to NW diameter, suggesting that the critical diameter of phase turning is larger than 110 nm, the maximum diameter of our NWs. Period of misfit dislocation at the InAs/Si interface of vertical NW is also found larger than the theoretical value when the diameter of heterointerface is smaller than 50 nm, indicating that the small contact area is able to accommodate the large lattice and thermal mismatch between InAs and Si.

  8. Synthesis of polystyrene coated SiC nanowires as fillers in a polyurethane matrix for electromechanical conversion.

    PubMed

    Rybak, Andrzej; Warde, Micheline; Beyou, Emmanuel; Chaumont, Philippe; Bechelany, Mikhael; Brioude, Arnaud; Toury, Bérangère; Cornu, David; Miele, Philippe; Guiffard, Benoit; Seveyrat, Laurence; Guyomar, Daniel

    2010-04-09

    Grafting of polystyrene (PS) from silica coating of silicon carbide nanowires (SiCNWs) has been performed by a two-step nitroxide mediated free radical polymerization (NMP) of styrene. First, an alkoxyamine based on N-tert-butyl-N-(1-diethylphosphono-2,2-dimethylpropyl) nitroxide (DEPN) was covalently attached onto NWs through free surface silanol groups. To immobilize the alkoxyamine initiator on the silica surface, alkoxylamine was formed in situ by the simultaneous reaction of polymerizable acryloxy propyl trimethoxysilane (APTMS), azobis isobutyronitrile (AIBN), and DEPN, which was used as a radical trap. Polystyrene chains with controlled molecular weights and narrow polydispersity were then grown from the alkoxyamine-functionalized NWs surface in the presence of a 'free' sacrificial styrylDEPN alkoxyamine. Both the initiator and polystyrene chains were characterized by FTIR and (13)C solid-state NMR and quantified by TGA. Ensuing nanocomposites were characterized by FEG-SEM, TEM and Raman spectroscopy. EDX analysis performed on functionalized nanowires during FEG-SEM analysis also gave evidence of grafting by a strong increase in the average C/Si atomic ratio. Incorporation of 2 wt% NWs into the polyurethane (PU) matrix has been carried out to prepare homogeneous nanocomposite films. The electric field induced thickness strain response has been investigated for the polystyrene-grafted silica coated SiC NWs (PU-SiC@SiO(2)@PS) nanocomposites and compared to pure polyurethane film and PU-SiC@SiO(2) nanocomposite without polystyrene grafting. At a moderate electric field of 10 V microm(-1), SiC@SiO(2)@PS loading increased the strain level of pure PU by a factor of 2.2. This improvement came partially due to polystyrene grafting since PU-SiC@SiO(2) films showed only a 1.7 times increase. The observed higher strain response of these nanocomposites makes them very attractive for micro-electromechanical applications.

  9. Improved Performance by SiO2 Hollow Nanospheres for Silver Nanowire-Based Flexible Transparent Conductive Films.

    PubMed

    Zhang, Liwen; Zhang, Longjiang; Qiu, Yejun; Ji, Yang; Liu, Ya; Liu, Hong; Li, Guangji; Guo, Qiuquan

    2016-10-12

    Flexible transparent conductive films (TCFs) have attracted tremendous interest thanks to the rapid development of portable/flexible/wearable electronics. TCFs on the basis of silver nanowires (AgNWs) with excellent performance are becoming an efficient alternative to replace the brittle transparent metal oxide. In this study, a promising method was developed by introducing SiO 2 hollow nanospheres (SiO 2 -HNSs) into the film to significantly improve the performance of AgNW-based TCFs. Since SiO 2 -HNSs have opposite charges to AgNWs, the strong attraction had promoted a uniform distribution of AgNWs and made the distance between AgNWs closer, which could decrease the contact resistance greatly. The introduction of SiO 2 layer remarkably enhanced the transmission of visible light and the conductivity. In addition, the TCFs constructed by AgNWs and SiO 2 -HNSs showed much higher thermal stability and adhesive force than those by only AgNWs. As an example, the transmission of AgNW/SiO 2 -HNS-coated poly(ethylene terephthalate) (PET) could increase about 14.3% in comparison to AgNW-coated PET. Typically, a AgNW/SiO 2 -HNS-based TCF with a sheet resistance of about 33 Ω/sq and transmittance of about 98.0% (excluding substrate) could be obtained with excellent flexibility, adhesion, and thermal stability. At last some devices were fabricated.

  10. Unique features of laterally aligned GeSi nanowires self-assembled on the vicinal Si (001) surface misoriented toward the [100] direction

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Vastola, Guglielmo; Zhang, Yong-Wei; Ren, Qijun; Fan, Yongliang; Zhong, Zhenyang

    2015-03-01

    We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth mechanism during heteroepitaxial growth, but also pave a prominent way to fabricate and meanwhile modulate laterally aligned and dislocation-free NWs.We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth

  11. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation.

    PubMed

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal-oxide-semiconductor-compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm 2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance.

  12. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation

    PubMed Central

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    Abstract For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal–oxide–semiconductor–compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance. PMID:29868148

  13. GaAs/AlGaAs core multishell nanowire-based light-emitting diodes on Si.

    PubMed

    Tomioka, Katsuhiro; Motohisa, Junichi; Hara, Shinjiroh; Hiruma, Kenji; Fukui, Takashi

    2010-05-12

    We report on integration of GaAs nanowire-based light-emitting-diodes (NW-LEDs) on Si substrate by selective-area metalorganic vapor phase epitaxy. The vertically aligned GaAs/AlGaAs core-multishell nanowires with radial p-n junction and NW-LED array were directly fabricated on Si. The threshold current for electroluminescence (EL) was 0.5 mA (current density was approximately 0.4 A/cm(2)), and the EL intensity superlinearly increased with increasing current injections indicating superluminescence behavior. The technology described in this letter could help open new possibilities for monolithic- and on-chip integration of III-V NWs on Si.

  14. Observation of layered antiferromagnetism in self-assembled parallel NiSi nanowire arrays on Si(110) by spin-polarized scanning tunneling spectromicroscopy

    NASA Astrophysics Data System (ADS)

    Hong, Ie-Hong; Hsu, Hsin-Zan

    2018-03-01

    The layered antiferromagnetism of parallel nanowire (NW) arrays self-assembled on Si(110) have been observed at room temperature by direct imaging of both the topographies and magnetic domains using spin-polarized scanning tunneling microscopy/spectroscopy (SP-STM/STS). The topographic STM images reveal that the self-assembled unidirectional and parallel NiSi NWs grow into the Si(110) substrate along the [\\bar{1}10] direction (i.e. the endotaxial growth) and exhibit multiple-layer growth. The spatially-resolved SP-STS maps show that these parallel NiSi NWs of different heights produce two opposite magnetic domains, depending on the heights of either even or odd layers in the layer stack of the NiSi NWs. This layer-wise antiferromagnetic structure can be attributed to an antiferromagnetic interlayer exchange coupling between the adjacent layers in the multiple-layer NiSi NW with a B2 (CsCl-type) crystal structure. Such an endotaxial heterostructure of parallel magnetic NiSi NW arrays with a layered antiferromagnetic ordering in Si(110) provides a new and important perspective for the development of novel Si-based spintronic nanodevices.

  15. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  16. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  17. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer

    PubMed Central

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-01-01

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/PEDOT:PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/PEDOT:PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/PEDOT:PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells. PMID:26610848

  18. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer.

    PubMed

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-11-27

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) ( PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/ PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/ PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/ PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells.

  19. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  20. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  1. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  2. Composition controllability of InGaAs nanowire arrays in selective area growth with controlled pitches on Si platform

    NASA Astrophysics Data System (ADS)

    Chiba, Kohei; Tomioka, Katsuhiro; Yoshida, Akinobu; Motohisa, Junichi

    2017-12-01

    Composition controllability of vertical InGaAs nanowires (NWs) on Si integrated by selective area growth was characterized for Si photonics in the optical telecommunication bands. The pitch of pre-patterned holes (NW sites) changed to an In/Ga alloy-composition in the solid phase during the NW growth. The In composition with a nanometer-scaled pitch differed completely from that with a μm-scaled pitch. Accordingly, the growth morphologies of InGaAs NWs show different behavior with respect to the In/Ga ratio.

  3. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  4. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  5. The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy

    NASA Astrophysics Data System (ADS)

    Liu, Ziyang; Merckling, Clement; Rooyackers, Rita; Franquet, Alexis; Richard, Olivier; Bender, Hugo; Vila, María; Rubio-Zuazo, Juan; Castro, Germán R.; Collaert, Nadine; Thean, Aaron; Vandervorst, Wilfried; Heyns, Marc

    2018-04-01

    Vertical InAs nanowires (NWs) grown on a Si substrate are promising building-blocks for next generation vertical gate-all-around transistor fabrication. We investigate the initial stage of InAs NW selective area epitaxy (SAE) on a patterned Si (111) substrate with a focus on the interfacial structures. The direct epitaxy of InAs NWs on a clean Si (111) surface is found to be challenging. The yield of vertical InAs NWs is low, as the SAE is accompanied by high proportions of empty holes, inclined NWs, and irregular blocks. In contrast, it is improved when the NW contains gallium, and the yield of vertical InxGa1-xAs NWs increased with higher Ga content. Meanwhile, unintentional Ga surface contamination on a patterned Si substrate induces high yield vertical InAs NW SAE, which is attributed to a GaAs-like seeding layer formed at the InAs/Si interface. The role of Ga played in the III-V NW nucleation on Si is further discussed. It stabilizes the B-polarity on a non-polar Si (111) surface and enhances the nucleation. Therefore, gallium incorporation on a Si surface is identified as an important enabler for vertical InAs NW growth. A new method for high yield (>99%) vertical InAs NW SAE on Si using an InGaAs nucleation layer is proposed based on this study.

  6. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  7. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  8. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  9. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  10. Carrier concentration dependent photoluminescence properties of Si-doped InAs nanowires

    NASA Astrophysics Data System (ADS)

    Sonner, M.; Treu, J.; Saller, K.; Riedl, H.; Finley, J. J.; Koblmüller, G.

    2018-02-01

    We report the effects of intentional n-type doping on the photoluminescence (PL) properties of InAs nanowires (NWs). Employing silicon (Si) as a dopant in molecular beam epitaxy grown NWs, the n-type carrier concentration is tuned between 1 × 1017 cm-3 and 3 × 1018 cm-3 as evaluated from Fermi-tail fits of the high-energy spectral region. With the increasing carrier concentration, the PL spectra exhibit a distinct blueshift (up to ˜50 meV), ˜2-3-fold peak broadening, and a redshift of the low-energy tail, indicating both the Burstein-Moss shift and bandgap narrowing. The low-temperature bandgap energy (EG) decreases from ˜0.44 eV (n ˜ 1017 cm-3) to ˜0.41 eV (n ˜ 1018 cm-3), following a ΔEG ˜ n1/3 dependence. Simultaneously, the PL emission is quenched nearly 10-fold, while the pump-power dependent analysis of the integrated PL intensity evidences a typical 2/3-power-law scaling, indicative of non-radiative Auger recombination at high carrier concentrations. Carrier localization and activation at stacking defects are further observed in undoped InAs NWs by temperature-dependent measurements but are absent in Si-doped InAs NWs due to the increased Fermi energy.

  11. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  12. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  13. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  14. Porous Si nanowires for highly selective room-temperature NO2 gas sensing

    NASA Astrophysics Data System (ADS)

    Kwon, Yong Jung; Mirzaei, Ali; Gil Na, Han; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Oum, Wansik; Kim, Sang Sub; Kim, Hyoun Woo

    2018-07-01

    We report the room-temperature sensing characteristics of Si nanowires (NWs) fabricated from p-Si wafers by a metal-assisted chemical etching method, which is a facile and low-cost method. X-ray diffraction was used to the the study crystallinity and phase formation of Si NWs, and product morphology was examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). After confirmation of Si NW formation via the SEM and TEM micrographs, sensing tests were carried out at room temperature, and it was found that the Si NW sensor prepared from Si wafers with a resistivity of 0.001–0.003 Ω.cm had the highest response to NO2 gas (Rg/Ra = 1.86 for 50 ppm NO2), with a fast response (15 s) and recovery (30 s) time. Furthermore, the sensor responses to SO2, toluene, benzene, H2, and ethanol were nearly negligible, demonstrating the excellent selectivity to NO2 gas. The gas-sensing mechanism is discussed in detail. The present sensor can operate at room temperature, and is compatible with the microelectronic fabrication process, demonstrating its promise for next-generation Si-based electronics fused with functional chemical sensors.

  15. Porous Si nanowires for highly selective room-temperature NO2 gas sensing.

    PubMed

    Kwon, Yong Jung; Mirzaei, Ali; Na, Han Gil; Kang, Sung Yong; Choi, Myung Sik; Bang, Jae Hoon; Oum, Wansik; Kim, Sang Sub; Kim, Hyoun Woo

    2018-07-20

    We report the room-temperature sensing characteristics of Si nanowires (NWs) fabricated from p-Si wafers by a metal-assisted chemical etching method, which is a facile and low-cost method. X-ray diffraction was used to the the study crystallinity and phase formation of Si NWs, and product morphology was examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). After confirmation of Si NW formation via the SEM and TEM micrographs, sensing tests were carried out at room temperature, and it was found that the Si NW sensor prepared from Si wafers with a resistivity of 0.001-0.003 Ω.cm had the highest response to NO 2 gas (R g /R a  = 1.86 for 50 ppm NO 2 ), with a fast response (15 s) and recovery (30 s) time. Furthermore, the sensor responses to SO 2 , toluene, benzene, H 2 , and ethanol were nearly negligible, demonstrating the excellent selectivity to NO 2 gas. The gas-sensing mechanism is discussed in detail. The present sensor can operate at room temperature, and is compatible with the microelectronic fabrication process, demonstrating its promise for next-generation Si-based electronics fused with functional chemical sensors.

  16. Bulk to nanostructured vanadium pentaoxide-nanowires (V2O5-NWs) for high energy density supercapacitors

    NASA Astrophysics Data System (ADS)

    Ahirrao, Dinesh J.; Mohanapriya., K.; Jha, Neetu

    2018-04-01

    Vanadium pentoxide (V2O5) has attracted huge attention in field of energy storage including supercapacitor electrodes due to its low cost and layered structure. In this present study, Bulk V2O5 has been prepared by the calcination of ammonium metavanadate followed by the synthesis of V2O5-nanowires (V2O5-NWs) by hydrothermal treatment of bulk V2O5. Obtained V2O5-NWs was further used to fabricate the supercapacitor electrodes. Structure and morphology analyzed by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM) and Transmission electron microscopy (TEM). Energy storage capability of as prepared nanowires was investigated by Galvanostatic charge-discharge (GCD), cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) in aqueous electrolyte (1M H2SO4). High specific capacitantance of about 622 F/g was achieved at 1 A/g. Along with high storage by faradic charge storage mechanism; V2O5-NWs electrodes also possess high stability. It could retain 63% of its initial capacitance even after 1000 GCD cycles. Excellent performance of V2O5-NWs promotes its commercial utilization for the development of high performance supercapacitors.

  17. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation

    PubMed Central

    Xue, Zhaoguo; Xu, Mingkun; Zhao, Yaolong; Wang, Jimmy; Jiang, Xiaofan; Yu, Linwei; Wang, Junzhuan; Xu, Jun; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere

    2016-01-01

    The ability to program highly modulated morphology upon silicon nanowires (SiNWs) has been fundamental to explore new phononic and electronic functionalities. We here exploit a nanoscale locomotion of metal droplets to demonstrate a large and readily controllable morphology engineering of crystalline SiNWs, from straight ones into continuous or discrete island-chains, at temperature <350 °C. This has been accomplished via a tin (Sn) droplet mediated in-plane growth where amorphous Si thin film is consumed as precursor to produce crystalline SiNWs. Thanks to a significant interface-stretching effect, a periodic Plateau-Rayleigh instability oscillation can be stimulated in the liquid Sn droplet, and the temporal oscillation of the Sn droplets is translated faithfully, via the deformable liquid/solid deposition interface, into regular spatial modulation upon the SiNWs. Combined with a unique self-alignment and positioning capability, this new strategy could enable a rational design and single-run fabrication of a wide variety of nanowire-based optoelectronic devices. PMID:27682161

  18. a-Si:H/SiNW shell/core for SiNW solar cell applications

    PubMed Central

    2013-01-01

    Vertically aligned silicon nanowires have been synthesized by the chemical etching of silicon wafers. The influence of a hydrogenated amorphous silicon (a-Si:H) layer (shell) on top of a silicon nanowire (SiNW) solar cell has been investigated. The optical properties of a-Si:H/SiNWs and SiNWs are examined in terms of optical reflection and absorption properties. In the presence of the a-Si:H shell, 5.2% reflection ratio in the spectral range (250 to 1,000 nm) is achieved with a superior absorption property with an average over 87% of the incident light. In addition, the characteristics of the solar cell have been significantly improved, which exhibits higher open-circuit voltage, short-circuit current, and efficiency by more than 15%, 12%, and 37%, respectively, compared with planar SiNW solar cells. Based on the current–voltage measurements and morphology results, we show that the a-Si:H shell can passivate the defects generated by wet etching processes. PMID:24195734

  19. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  20. Laser-induced Greenish-Blue Photoluminescence of Mesoporous Silicon Nanowires

    PubMed Central

    Choi, Yan-Ru; Zheng, Minrui; Bai, Fan; Liu, Junjun; Tok, Eng-Soon; Huang, Zhifeng; Sow, Chorng-Haur

    2014-01-01

    Solid silicon nanowires and their luminescent properties have been widely studied, but lesser is known about the optical properties of mesoporous silicon nanowires (mp-SiNWs). In this work, we present a facile method to generate greenish-blue photoluminescence (GB-PL) by fast scanning a focused green laser beam (wavelength of 532 nm) on a close-packed array of mp-SiNWs to carry out photo-induced chemical modification. The threshold of laser power is 5 mW to excite the GB-PL, whose intensity increases with laser power in the range of 5–105 mW. The quenching of GB-PL comes to occur beyond 105 mW. The in-vacuum annealing effectively excites the GB-PL in the pristine mp-SiNWs and enhances the GB-PL of the laser-modified mp-SiNWs. A complex model of the laser-induced surface modification is proposed to account for the laser-power and post-annealing effect. Moreover, the fast scanning of focused laser beam enables us to locally tailor mp-SiNWs en route to a wide variety of micropatterns with different optical functionality, and we demonstrate the feasibility in the application of creating hidden images. PMID:24820533

  1. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  2. High-performance polyimide nanocomposites with core-shell AgNWs@BN for electronic packagings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Yongcun; Liu, Feng, E-mail: liufeng@nwpu.edu.cn

    2016-08-22

    The increasing density of electronic devices underscores the need for efficient thermal management. Silver nanowires (AgNWs), as one-dimensional nanostructures, possess a high aspect ratio and intrinsic thermal conductivity. However, high electrical conductivity of AgNWs limits their application for electronic packaging. We synthesized boron nitride-coated silver nanowires (AgNWs@BN) using a flexible and fast method followed by incorporation into synthetic polyimide (PI) for enhanced thermal conductivity and dielectric properties of nanocomposites. The thinner boron nitride intermediate nanolayer on AgNWs not only alleviated the mismatch between AgNWs and PI but also enhanced their interfacial interaction. Hence, the maximum thermal conductivity of an AgNWs@BN/PImore » composite with a filler loading up to 20% volume was increased to 4.33 W/m K, which is an enhancement by nearly 23.3 times compared with that of the PI matrix. The relative permittivity and dielectric loss were about 9.89 and 0.015 at 1 MHz, respectively. Compared with AgNWs@SiO{sub 2}/PI and Ag@BN/PI composites, boron nitride-coated core-shell structures effectively increased the thermal conductivity and reduced the permittivity of nanocomposites. The relative mechanism was studied and discussed. This study enables the identification of appropriate modifier fillers for polymer matrix nanocomposites.« less

  3. An investigation on physical properties of SiOx nanowires deposited by chemical vapor deposition method: The effect of substrate to boat distance

    NASA Astrophysics Data System (ADS)

    Heidaryan, Narges; Eshghi, Hosein

    2017-09-01

    Large-scale silicon oxide nanowires (SiOx NWs) with a diameter about 250 nm on silicon wafers were synthesized by thermal evaporation of silicon monoxide (SiO) powder. In order to investigate the role of distance on the physical properties of SiOx NWs, Si substrates were positioned at 5 cm and 10 cm apart from the boat position set at 1150∘C. The local temperatues of the samples were 1100∘C and 1050∘C, respectively. The SEM images and EDS spectra showed interweaved networks of SiOx NWs with x = 0.62 and 0.65 in these layers. The XRD patterns showed S1 has a polycrystalline structure (cristobalite), while S2 has amorphous nature. The PL spectra showed an intense blue peak at 468 nm in S1, and a violet peak at 427 nm in S2 that could be related to the differences in the crystallite structures and oxygen vacancies in these samples.

  4. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  5. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  6. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires

    PubMed Central

    2012-01-01

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265

  7. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.

    PubMed

    Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi

    2012-07-16

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.

  8. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  9. Ultrafast photocarrier dynamics related to defect states of Si1-xGex nanowires measured by optical pump-THz probe spectroscopy.

    PubMed

    Bae, Jung Min; Lee, Woo-Jung; Jung, Seonghoon; Ma, Jin Won; Jeong, Kwang-Sik; Oh, Seung Hoon; Kim, Seongsin M; Suh, Dongchan; Song, Woobin; Kim, Sunjung; Park, Jaehun; Cho, Mann-Ho

    2017-06-14

    Slightly tapered Si 1-x Ge x nanowires (NWs) (x = 0.29-0.84) were synthesized via a vapor-liquid-solid procedure using Au as a catalyst. We measured the optically excited carrier dynamics of Si 1-x Ge x NWs as a function of Ge content using optical pump-THz probe spectroscopy. The measured -ΔT/T 0 signals of Si 1-x Ge x NWs were converted into conductivity in the THz region. We developed a fitting formula to apply to indirect semiconductors such as Si 1-x Ge x , which explains the temporal population of photo-excited carriers in the band structure and the relationship between the trapping time and the defect states on an ultrafast time scale. From the fitting results, we extracted intra- and inter-valley transition times and trapping times of electrons and holes of Si 1-x Ge x NWs as a function of Ge content. On the basis of theoretical reports, we suggest a physical model to interpret the trapping times related to the species of interface defect states located at the oxide/NW: substoichiometric oxide states of Si(Ge) 0+,1+,2+ , but not Si(Ge) 3+ , could function as defect states capturing photo-excited electrons or holes and could determine the different trapping times of electrons and holes depending on negatively or neutrally charged states.

  10. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  11. Cross-sectional aspect ratio modulated electronic properties in Si/Ge core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Nuo; Lu, Ning; Yao, Yong-Xin

    2013-02-28

    Electronic structures of (4, n) and (m, 4) (the NW has m layers parallel to the {1 1 1} facet and n layers parallel to {1 1 0}) Si/Ge core/shell nanowires (NWs) along the [1 1 2] direction with cross-sectional aspect ratio (m/n) from 0.36 to 2.25 are studied by first-principles calculations. An indirect to direct band gap transition is observed as m/n decreases, and the critical values of m/n and diameter for the transition are also estimated. The size of the band gap also depends on the aspect ratio. These results suggest that m/n plays an important role inmore » modulating the electronic properties of the NWs.« less

  12. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  13. In situ control of synchronous germanide/silicide reactions with Ge/Si core/shell nanowires to monitor formation and strain evolution in abrupt 2.7 nm channel length

    DOE PAGES

    Chen, Renjie; Nguyen, Binh-Minh; Tang, Wei; ...

    2017-05-22

    The metal-semiconductor interface in self-aligned contact formation can determine the overall performance of nanoscale devices. This interfacial morphology is predicted and well researched in homogenous semiconductor nanowires (NWs) but was not pursued in heterostructured core/shell nanowires. Here, we found here that the solid-state reactions between Ni and Ge/Si core/shell nanowires resulted in a protruded and a leading NiSiy segment into the channel. A single Ni 2Ge/NiSi y to Ge/Si core/shell interface was achieved by the selective shell removal near the Ni source/drain contact areas. In using in situ transmission electron microscopy, we measured the growth rate and anisotropic strain evolutionmore » in ultra-short channels. We also found elevated compressive strains near the interface between the compound contact and the NW and relatively lower strains near the center of the channel which increased exponentially below the 10 nm channel length to exceed 10% strain at ~3 nm lengths. These compressive strains are expected to result in a non-homogeneous energy band structure in Ge/Si core/shell NWs below 10 nm and potentially benefit their transistor performance.« less

  14. In situ control of synchronous germanide/silicide reactions with Ge/Si core/shell nanowires to monitor formation and strain evolution in abrupt 2.7 nm channel length

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Renjie; Nguyen, Binh-Minh; Tang, Wei

    The metal-semiconductor interface in self-aligned contact formation can determine the overall performance of nanoscale devices. This interfacial morphology is predicted and well researched in homogenous semiconductor nanowires (NWs) but was not pursued in heterostructured core/shell nanowires. Here, we found here that the solid-state reactions between Ni and Ge/Si core/shell nanowires resulted in a protruded and a leading NiSiy segment into the channel. A single Ni 2Ge/NiSi y to Ge/Si core/shell interface was achieved by the selective shell removal near the Ni source/drain contact areas. In using in situ transmission electron microscopy, we measured the growth rate and anisotropic strain evolutionmore » in ultra-short channels. We also found elevated compressive strains near the interface between the compound contact and the NW and relatively lower strains near the center of the channel which increased exponentially below the 10 nm channel length to exceed 10% strain at ~3 nm lengths. These compressive strains are expected to result in a non-homogeneous energy band structure in Ge/Si core/shell NWs below 10 nm and potentially benefit their transistor performance.« less

  15. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  16. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  17. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  18. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  19. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    PubMed

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  20. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  1. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  2. A generic approach for vertical integration of nanowires.

    PubMed

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Lévy, F; Chelnokov, A

    2008-08-27

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs.

  3. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  4. Fabrication and electrical characterization of silicon nanowires based resistors

    NASA Astrophysics Data System (ADS)

    Ni, L.; Demami, F.; Rogel, R.; Salaün, A. C.; Pichon, L.

    2009-11-01

    Silicon nanowires (SiNWs) are synthesized via the Vapor-Liquid-Solid (VLS) mechanism using gold (Au) as metal catalyst and silane (SiH4) as precursor gas. Au nanoparticles are employed as liquid droplets catalysis during the SiNWs growth performed in a hot wall LPCVD reactor at 480°C and 40 Pa. SiNWs local synthesis at micron scale is demonstrated using classical optical photolithography process. SiNWs grow with high density anchored at the dedicated catalyst islands. This resulting network is used to interconnect two heavily doped polysilicon interdigitated electrodes leading to the formation of electrical resistors in a coplanar structure. Current-voltage (I-V) characteristics highlight a symmetric shape. The temperature dependence of the electrical resistance is activated, with activation energy of 0.47 eV at temperatures greater than 300K.

  5. Facile electrosynthesis of silicon carbide nanowires from silica/carbon precursors in molten salt.

    PubMed

    Zou, Xingli; Ji, Li; Lu, Xionggang; Zhou, Zhongfu

    2017-08-30

    Silicon carbide nanowires (SiC NWs) have attracted intensive attention in recent years due to their outstanding performances in many applications. A large-scale and facile production of SiC NWs is critical to its successful application. Here, we report a simple method for the production of SiC NWs from inexpensive and abundantly available silica/carbon (SiO 2 /C) precursors in molten calcium chloride. The solid-to-solid electroreduction and dissolution-electrodeposition mechanisms can easily lead to the formation of homogenous SiC NWs. This template/catalyst-free approach greatly simplifies the synthesis procedure compared to conventional methods. This general strategy opens a direct electrochemical route for the conversion of SiO 2 /C into SiC NWs, and may also have implications for the electrosynthesis of other micro/nanostructured metal carbides/composites from metal oxides/carbon precursors.

  6. Fabrication of silicon nanowires based on-chip micro-supercapacitor

    NASA Astrophysics Data System (ADS)

    Soam, Ankur; Arya, Nitin; Singh, Aniruddh; Dusane, Rajiv

    2017-06-01

    An on-chip micro-supercapacitor (μ-SC) based on Silicon nanowires (SiNWs) has been developed by Hot-wire chemical vapor process. First, finger patterned electrodes of Al were made on a silicon nitride coated Si wafer and SiNWs were then grown selectively on the Al electrodes. μ-SC performance has been tested in an ionic electrolyte and a capacitance of 13 μF/cm2 has been obtained by the μ-SC. The resulted μ-SC can be exploited to store the harvesting energy in micro-electro-mechanical-systems and coupled with battery for peak power leveling. Low temperature growth of SiNWs at 350 °C makes it suitable for prospective flexible electronics applications.

  7. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  8. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  9. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    PubMed Central

    Agati, Marta; Amiard, Guillaume; Borgne, Vincent Le; Castrucci, Paola; Dolbec, Richard; De Crescenzi, Maurizio; El Khakani, My Alì

    2017-01-01

    Scanning transmission electron microscopy (STEM) was successfully applied to the analysis of silicon nanowires (SiNWs) that were self-assembled during an inductively coupled plasma (ICP) process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm) were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX) spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS) mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs. PMID:28326234

  10. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  11. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  12. Gold-coated silicon nanowire-graphene core-shell composite film as a polymer binder-free anode for rechargeable lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Kim, Han-Jung; Lee, Sang Eon; Lee, Jihye; Jung, Joo-Yun; Lee, Eung-Sug; Choi, Jun-Hyuk; Jung, Jun-Ho; Oh, Minsub; Hyun, Seungmin; Choi, Dae-Geun

    2014-07-01

    We designed and fabricated a gold (Au)-coated silicon nanowires/graphene (Au-SiNWs/G) hybrid composite as a polymer binder-free anode for rechargeable lithium-ion batteries (LIBs). A large amount of SiNWs for LIB anode materials can be prepared by metal-assisted chemical etching (MaCE) process. The Au-SiNWs/G composite film on current collector was obtained by vacuum filtration using an anodic aluminum oxide (AAO) membrane and hot pressing method. Our experimental results show that the Au-SiNWs/G composite has a stable reversible capacity of about 1520 mA h/g which was maintained for 20 cycles. The Au-SiNWs/G composite anode showed much better cycling performance than SiNWs/polyvinylidene fluoride (PVDF)/Super-P, SiNWs/G composite, and pure SiNWs anodes. The improved electrochemical properties of the Au-SiNWs/G composite anode material is mainly ascribed to the composite's porous network structure.

  13. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  14. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  15. Topological Insulator Bi2Se3/Si-Nanowire-Based p-n Junction Diode for High-Performance Near-Infrared Photodetector.

    PubMed

    Das, Biswajit; Das, Nirmalya S; Sarkar, Samrat; Chatterjee, Biplab K; Chattopadhyay, Kalyan K

    2017-07-12

    Chemically derived topological insulator Bi 2 Se 3 nanoflake/Si nanowire (SiNWs) heterojunctions were fabricated employing all eco-friendly cost-effective chemical route for the first time. X-ray diffraction studies confirmed proper phase formation of Bi 2 Se 3 nanoflakes. The morphological features of the individual components and time-evolved hybrid structures were studied using field emission scanning electron microscope. High resolution transmission electron microscopic studies were performed to investigate the actual nature of junction whereas elemental distributions at junction, along with overall stoichiometry of the samples were analyzed using energy dispersive X-ray studies. Temperature dependent current-voltage characteristics and variation of barrier height and ideality factor was studied between 50 and 300 K. An increase in barrier height and decrease in the ideality factor were observed with increasing temperature for the sample. The rectification ratio (I + /I - ) for SiNWs substrate over pristine Si substrate under dark and near-infrared (NIR) irradiation of 890 nm was found to be 3.63 and 10.44, respectively. Furthermore, opto-electrical characterizations were performed for different light power intensities and highest photo responsivity and detectivity were determined to be 934.1 A/W and 2.30 × 10 13 Jones, respectively. Those values are appreciably higher than previous reports for topological insulator based devices. Thus, this work establishes a hybrid system based on topological insulator Bi 2 Se 3 nanoflake and Si nanowire as the newest efficient candidate for advanced optoelectronic materials.

  16. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  17. Electrical characterization of strained and unstrained silicon nanowires with nickel silicide contacts.

    PubMed

    Habicht, S; Zhao, Q T; Feste, S F; Knoll, L; Trellenkamp, S; Ghyselen, B; Mantl, S

    2010-03-12

    We present electrical characterization of nickel monosilicide (NiSi) contacts formed on strained and unstrained silicon nanowires (NWs), which were fabricated by top-down processing of initially As(+) implanted and activated strained and unstrained silicon-on-insulator (SOI) substrates. The resistivity of doped Si NWs and the contact resistivity of the NiSi to Si NW contacts are studied as functions of the As(+) ion implantation dose and the cross-sectional area of the wires. Strained silicon NWs show lower resistivity for all doping concentrations due to their enhanced electron mobility compared to the unstrained case. An increase in resistivity with decreasing cross section of the NWs was observed for all implantation doses. This is ascribed to the occurrence of dopant deactivation. Comparing the silicidation of uniaxially tensile strained and unstrained Si NWs shows no difference in silicidation speed and in contact resistivity between NiSi/Si NW. Contact resistivities as low as 1.2 x 10(-8) Omega cm(-2) were obtained for NiSi contacts to both strained and unstrained Si NWs. Compared to planar contacts, the NiSi/Si NW contact resistivity is two orders of magnitude lower.

  18. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties

    NASA Astrophysics Data System (ADS)

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-10-01

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors.

  19. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties.

    PubMed

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-10-12

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors.

  20. Reliable and cost effective design of intermetallic Ni2Si nanowires and direct characterization of its mechanical properties

    PubMed Central

    Han, Seung Zeon; Kang, Joonhee; Kim, Sung-Dae; Choi, Si-Young; Kim, Hyung Giun; Lee, Jehyun; Kim, Kwangho; Lim, Sung Hwan; Han, Byungchan

    2015-01-01

    We report that a single crystal Ni2Si nanowire (NW) of intermetallic compound can be reliably designed using simple three-step processes: casting a ternary Cu-Ni-Si alloy, nucleate and growth of Ni2Si NWs as embedded in the alloy matrix via designing discontinuous precipitation (DP) of Ni2Si nanoparticles and thermal aging, and finally chemical etching to decouple the Ni2Si NWs from the alloy matrix. By direct application of uniaxial tensile tests to the Ni2Si NW we characterize its mechanical properties, which were rarely reported in previous literatures. Using integrated studies of first principles density functional theory (DFT) calculations, high-resolution transmission electron microscopy (HRTEM), and energy-dispersive X-ray spectroscopy (EDX) we accurately validate the experimental measurements. Our results indicate that our simple three-step method enables to design brittle Ni2Si NW with high tensile strength of 3.0 GPa and elastic modulus of 60.6 GPa. We propose that the systematic methodology pursued in this paper significantly contributes to opening innovative processes to design various kinds of low dimensional nanomaterials leading to advancement of frontiers in nanotechnology and related industry sectors. PMID:26456769

  1. Composite films of highly ordered Si nanowires embedded in SiGe0.3 for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Kikuchi, Akiou; Yao, Akifumi; Mori, Isamu; Ono, Takahito; Samukawa, Seiji

    2017-10-01

    We fabricated a high-density array of silicon nanowires (SiNWs) with a diameter of 10 nm embedded in silicon germanium (SiGe0.3) to give a composite thin film for thermoelectric device applications. The SiNW array was first fabricated by bio-template mask and neutral beam etching techniques. The SiNW array was then embedded in SiGe0.3 by thermal chemical vapor deposition. The cross-plane thermal conductivity of the SiNW-SiGe0.3 composite film with a thickness of 100 nm was 3.5 ± 0.3 W/mK in the temperature range of 300-350 K. Moreover, the temperature dependences of the in-plane electrical conductivity and in-plane Seebeck coefficient of the SiNW-SiGe0.3 composite were evaluated. The fabricated SiNW-SiGe0.3 composite film displayed a maximum power factor of 1 × 103 W/m K2 (a Seebeck coefficient of 4.8 × 103 μV/K and an electrical conductivity of 4.4 × 103 S/m) at 873 K. The present high-density SiNW array structure represents a new route to realize practical thermoelectric devices using mature Si processes without any rare metals.

  2. The utilization of SiNWs/AuNPs-modified indium tin oxide (ITO) in fabrication of electrochemical DNA sensor.

    PubMed

    Rashid, Jahwarhar Izuan Abdul; Yusof, Nor Azah; Abdullah, Jaafar; Hashim, Uda; Hajian, Reza

    2014-12-01

    This work describes the incorporation of SiNWs/AuNPs composite as a sensing material for DNA detection on indium tin-oxide (ITO) coated glass slide. The morphology of SiNWs/AuNPs composite as the modifier layer on ITO was studied by scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDX). The morphological studies clearly showed that SiNWs were successfully decorated with 20 nm-AuNPs using self-assembly monolayer (SAM) technique. The effective surface area for SiNWs/AuNPs-modified ITO enhanced about 10 times compared with bare ITO electrode. SiNWs/AuNPs nanocomposite was further explored as a matrix for DNA probe immobilization in detection of dengue virus as a bio-sensing model to evaluate its performance in electrochemical sensors. The hybridization of complementary DNA was monitored by differential pulse voltammetry (DPV) using methylene blue (MB) as the redox indicator. The fabricated biosensor was able to discriminate significantly complementary, non-complementary and single-base mismatch oligonucleotides. The electrochemical biosensor was sensitive to target DNA related to dengue virus in the range of 9.0-178.0 ng/ml with detection limit of 3.5 ng/ml. In addition, SiNWs/AuNPs-modified ITO, regenerated up to 8 times and its stability was up to 10 weeks at 4°C in silica gel. Copyright © 2014 Elsevier B.V. All rights reserved.

  3. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  4. Low-Temperature Molten-Salt Production of Silicon Nanowires by the Electrochemical Reduction of CaSiO3.

    PubMed

    Dong, Yifan; Slade, Tyler; Stolt, Matthew J; Li, Linsen; Girard, Steven N; Mai, Liqiang; Jin, Song

    2017-11-13

    Silicon is an extremely important technological material, but its current industrial production by the carbothermic reduction of SiO 2 is energy intensive and generates CO 2 emissions. Herein, we developed a more sustainable method to produce silicon nanowires (Si NWs) in bulk quantities through the direct electrochemical reduction of CaSiO 3 , an abundant and inexpensive Si source soluble in molten salts, at a low temperature of 650 °C by using low-melting-point ternary molten salts CaCl 2 -MgCl 2 -NaCl, which still retains high CaSiO 3 solubility, and a supporting electrolyte of CaO, which facilitates the transport of O 2- anions, drastically improves the reaction kinetics, and enables the electrolysis at low temperatures. The Si nanowire product can be used as high-capacity Li-ion battery anode materials with excellent cycling performance. This environmentally friendly strategy for the practical production of Si at lower temperatures can be applied to other molten salt systems and is also promising for waste glass and coal ash recycling. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Ab-initio study of the segregation and electronic properties of neutral and charged B and P dopants in Si and Si/SiO{sub 2} nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schoeters, Bob, E-mail: bob.schoeters@uantwerpen.be; IMEC, Kapeldreef 75, B-3001 Leuven; Leenaerts, Ortwin, E-mail: ortwin.leenaerts@uantwerpen.be

    We perform first-principles calculations to investigate the preferred positions of B and P dopants, both neutral and in their preferred charge state, in Si and Si/SiO{sub 2} core-shell nanowires (NWs). In order to understand the observed trends in the formation energy, we isolate the different effects that determine these formation energies. By making the distinction between the unrelaxed and the relaxed formation energy, we separate the impact of the relaxation from that of the chemical environment. The unrelaxed formation energies are determined by three effects: (i) the effect of strain caused by size mismatch between the dopant and the hostmore » atoms, (ii) the local position of the band edges, and (iii) a screening effect. In the case of the SiNW (Si/SiO{sub 2} NW), these effects result in an increase of the formation energy away from the center (interface). The effect of relaxation depends on the relative size mismatch between the dopant and host atoms. A large size mismatch causes substantial relaxation that reduces the formation energy considerably, with the relaxation being more pronounced towards the edge of the wires. These effects explain the surface segregation of the B dopants in a SiNW, since the atomic relaxation induces a continuous drop of the formation energy towards the edge. However, for the P dopants, the formation energy starts to rise when moving from the center but drops to a minimum just next to the surface, indicating a different type of behavior. It also explains that the preferential location for B dopants in Si/SiO{sub 2} core-shell NWs is inside the oxide shell just next to the interface, whereas the P dopants prefer the positions next to the interface inside the Si core, which is in agreement with recent experiments. These preferred locations have an important impact on the electronic properties of these core-shell NWs. Our simulations indicate the possibility of hole gas formation when B segregates into the oxide shell.« less

  6. Effects of lithium insertion on thermal conductivity of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Wen; Institute of High Performance Computing, A*STAR, Singapore, Singapore 138632; Zhang, Gang, E-mail: zhangg@ihpc.a-star.edu.sg

    2015-04-27

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reductionmore » in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.« less

  7. Nickel/Platinum Dual Silicide Axial Nanowire Heterostructures with Excellent Photosensor Applications.

    PubMed

    Wu, Yen-Ting; Huang, Chun-Wei; Chiu, Chung-Hua; Chang, Chia-Fu; Chen, Jui-Yuan; Lin, Ting-Yi; Huang, Yu-Ting; Lu, Kuo-Chang; Yeh, Ping-Hung; Wu, Wen-Wei

    2016-02-10

    Transition metal silicide nanowires (NWs) have attracted increasing attention as they possess advantages of both silicon NWs and transition metals. Over the past years, there have been reported with efforts on one silicide in a single silicon NW. However, the research on multicomponent silicides in a single silicon NW is still rare, leading to limited functionalities. In this work, we successfully fabricated β-Pt2Si/Si/θ-Ni2Si, β-Pt2Si/θ-Ni2Si, and Pt, Ni, and Si ternary phase axial NW heterostructures through solid state reactions at 650 °C. Using in situ transmission electron microscope (in situ TEM), the growth mechanism of silicide NW heterostructures and the diffusion behaviors of transition metals were systematically studied. Spherical aberration corrected scanning transmission electron microscope (Cs-corrected STEM) equipped with energy dispersive spectroscopy (EDS) was used to analyze the phase structure and composition of silicide NW heterostructures. Moreover, electrical and photon sensing properties for the silicide nanowire heterostructures demonstrated promising applications in nano-optoeletronic devices. We found that Ni, Pt, and Si ternary phase nanowire heterostructures have an excellent infrared light sensing property which is absent in bulk Ni2Si or Pt2Si. The above results would benefit the further understanding of heterostructured nano materials.

  8. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  9. Effect of Two-Step Metal Organic Chemical Vapor Deposition Growth on Quality, Diameter and Density of InAs Nanowires on Si (111) Substrate

    NASA Astrophysics Data System (ADS)

    Yu, Hung Wei; Anandan, Deepak; Hsu, Ching Yi; Hung, Yu Chih; Su, Chun Jung; Wu, Chien Ting; Kakkerla, Ramesh Kumar; Ha, Minh Thien Huu; Huynh, Sa Hoang; Tu, Yung Yi; Chang, Edward Yi

    2018-02-01

    High-density (˜ 80/um2) vertical InAs nanowires (NWs) with small diameters (˜ 28 nm) were grown on bare Si (111) substrates by means of two-step metal organic chemical vapor deposition. There are two critical factors in the growth process: (1) a critical nucleation temperature for a specific In molar fraction (approximately 1.69 × 10-5 atm) is the key factor to reduce the size of the nuclei and hence the diameter of the InAs NWs, and (2) a critical V/III ratio during the 2nd step growth will greatly increase the density of the InAs NWs (from 45 μm-2 to 80 μm-2) and at the same time keep the diameter small. The high-resolution transmission electron microscopy and selected area diffraction patterns of InAs NWs grown on Si exhibit a Wurtzite structure and no stacking faults. The observed longitudinal optic peaks in the Raman spectra were explained in terms of the small surface charge region width due to the small NW diameter and the increase of the free electron concentration, which was consistent with the TCAD program simulation of small diameter (< 40 nm) InAs NWs.

  10. Thermally Stable Silver Nanowires-Embedding Metal Oxide for Schottky Junction Solar Cells.

    PubMed

    Kim, Hong-Sik; Patel, Malkeshkumar; Park, Hyeong-Ho; Ray, Abhijit; Jeong, Chaehwan; Kim, Joondong

    2016-04-06

    Thermally stable silver nanowires (AgNWs)-embedding metal oxide was applied for Schottky junction solar cells without an intentional doping process in Si. A large scale (100 mm(2)) Schottky solar cell showed a power conversion efficiency of 6.1% under standard illumination, and 8.3% under diffused illumination conditions which is the highest efficiency for AgNWs-involved Schottky junction Si solar cells. Indium-tin-oxide (ITO)-capped AgNWs showed excellent thermal stability with no deformation at 500 °C. The top ITO layer grew in a cylindrical shape along the AgNWs, forming a teardrop shape. The design of ITO/AgNWs/ITO layers is optically beneficial because the AgNWs generate plasmonic photons, due to the AgNWs. Electrical investigations were performed by Mott-Schottky and impedance spectroscopy to reveal the formation of a single space charge region at the interface between Si and AgNWs-embedding ITO layer. We propose a route to design the thermally stable AgNWs for photoelectric device applications with investigation of the optical and electrical aspects.

  11. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  12. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  13. Comparison of mechanical characteristics of focused ion beam fabricated silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ina, Ginnosuke; Fujii, Tatsuya; Kozeki, Takahiro; Miura, Eri; Inoue, Shozo; Namazu, Takahiro

    2017-06-01

    In this study, we investigate the effects of focused ion beam (FIB)-induced damage and specimen size on the mechanical properties of Si nanowires (NWs) by a microelectromechanical system (MEMS)-based tensile testing technique. By an FIB fabrication technique, three types of Si NWs, which are as-FIB-fabricated, annealed, and FIB-implanted NWs, are prepared. A sacrificial-oxidized NW is also prepared to compare the mechanical properties of these FIB-based NWs. The quasi-static uniaxial tensile tests of all the NWs are conducted by scanning electron microscopy (SEM). The fabrication process and specimen size dependences on Young’s modulus and fracture strength are observed. Annealing is effective for improving the Young’s modulus of the FIB-damaged Si. Transmission electron microscopy (TEM) suggests that the mechanism behind the process dependence on the mechanical characteristics is related to the crystallinity of the FIB-damaged portion.

  14. Design High-Efficiency III-V Nanowire/Si Two-Junction Solar Cell.

    PubMed

    Wang, Y; Zhang, Y; Zhang, D; He, S; Li, X

    2015-12-01

    In this paper, we report the electrical simulation results of a proposed GaInP nanowire (NW)/Si two-junction solar cell. The NW physical dimensions are determined for optimized solar energy absorption and current matching between each subcell. Two key factors (minority carrier lifetime, surface recombination velocity) affecting power conversion efficiency (PCE) of the solar cell are highlighted, and a practical guideline to design high-efficiency two-junction solar cell is thus provided. Considering the practical surface and bulk defects in GaInP semiconductor, a promising PCE of 27.5 % can be obtained. The results depict the usefulness of integrating NWs to construct high-efficiency multi-junction III-V solar cells.

  15. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  16. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  17. Evident Enhancement of Photoelectrochemical Hydrogen Production by Electroless Deposition of M-B (M = Ni, Co) Catalysts on Silicon Nanowire Arrays.

    PubMed

    Yang, Yong; Wang, Mei; Zhang, Peili; Wang, Weihan; Han, Hongxian; Sun, Licheng

    2016-11-09

    Modification of p-type Si surface by active and stable earth-abundant electrocatalysts is an effective strategy to improve the sluggish kinetics for the hydrogen evolution reaction (HER) at p-Si/electrolyte interface and to develop highly efficient and low-cost photocathodes for hydrogen production from water. To this end, Si nanowire (Si-NW) array has been loaded with highly efficient electrocatalysts, M-B (M = Ni, Co), by facile and quick electroless plating to build M-B catalyst-modified Si nanowire-array-textured photocathodes for water reduction to H 2 . Compared with the bare Si-NW array, composite Si-NWs/M-B arrays display evidently enhanced photoelectrochemical (PEC) performance. The onset potential (V phon ) of cathodic photocurrent is positively shifted by 530-540 mV to 0.44-0.45 V vs RHE, and the short-circuit current density (J sc ) is up to 19.5 mA cm -2 in neutral buffer solution under simulated 1 sun illumination. Impressively, the half-cell photopower conversion efficiencies (η hc ) of the optimized Si-NWs/Co-B (2.53%) and Si-NWs/Ni-B (2.45%) are comparable to that of Si-NWs/Pt (2.46%). In terms of the large J sc , V phon , and η hc values, as well as the high Faradaic efficiency, Si-NWs/M-B electrodes are among the top performing Si photocathodes which are modified with HER electrocatalysts but have no buried solid/solid junction.

  18. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    NASA Astrophysics Data System (ADS)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  19. Neuron-like differentiation of mesenchymal stem cells on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Hyunju; Kim, Ilsoo; Choi, Heon-Jin; Kim, So Yeon; Yang, Eun Gyeong

    2015-10-01

    The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal stem cells (hMSCs) were cultured on the longest SiNWs for 3 days, most of the cells exhibited elongated shapes with neurite-like extensions and dot-like focal adhesions that were prominently observed along with actin filaments. Under these circumstances, the cell motility analyzed by live cell imaging was found to decrease due to the presence of SiNWs. In addition, the slowed growth rate, as well as the reduced population of S phase cells, suggested that the cell cycle was likely arrested in response to the differentiation process. Furthermore, we measured the mRNA levels of several lineage-specific markers to confirm that the SiNWs actually induced neuron-like differentiation of the hMSCs while hampering their osteogenic differentiation. Taken together, our results implied that SiNWs were capable of inducing active reorganization of cellular behaviors, collectively guiding the fate of hMSCs into the neural lineage even in the absence of any inducing reagent.The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal

  20. Time-resolved analysis of the white photoluminescence from chemically synthesized SiC{sub x}O{sub y} thin films and nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tabassum, Natasha; Nikas, Vasileios; Ford, Brian

    2016-07-25

    The study reported herein presents results on the room-temperature photoluminescence (PL) dynamics of chemically synthesized SiC{sub x}O{sub y≤1.6} (0.19 < x < 0.6) thin films and corresponding nanowire (NW) arrays. The PL decay transients of the SiC{sub x}O{sub y} films/NWs are characterized by fast luminescence decay lifetimes that span in the range of 350–950 ps, as determined from their deconvoluted PL decay spectra and their stretched-exponential recombination behavior. Complementary steady-state PL emission peak position studies for SiC{sub x}O{sub y} thin films with varying C content showed similar characteristics pertaining to the variation of their emission peak position with respect to the excitation photon energy.more » A nearly monotonic increase in the PL energy emission peak, before reaching an energy plateau, was observed with increasing excitation energy. This behavior suggests that band-tail states, related to C-Si/Si-O-C bonding, play a prominent role in the recombination of photo-generated carriers in SiC{sub x}O{sub y}. Furthermore, the PL lifetime behavior of the SiC{sub x}O{sub y} thin films and their NWs was analyzed with respect to their luminescence emission energy. An emission-energy-dependent lifetime was observed, as a result of the modulation of their band-tail states statistics with varying C content and with the reduced dimensionality of the NWs.« less

  1. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  2. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  3. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  4. Controlling Kink Geometry in Nanowires Fabricated by Alternating Metal-Assisted Chemical Etching.

    PubMed

    Chen, Yun; Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; Wong, Ching-Ping

    2017-02-08

    Kinked silicon (Si) nanowires (NWs) have many special properties that make them attractive for a number of applications, such as microfluidics devices, microelectronic devices, and biosensors. However, fabricating NWs with controlled three-dimensional (3D) geometry has been challenging. In this work, a novel method called alternating metal-assisted chemical etching is reported for the fabrication of kinked Si NWs with controlled 3D geometry. By the use of multiple etchants with carefully selected composition, one can control the number of kinks, their locations, and their angles by controlling the number of etchant alternations and the time in each etchant. The resulting number of kinks equals the number times the etchant is alternated, the length of each segment separated by kinks has a linear relationship with the etching time, and the kinking angle is related to the surface tension and viscosity of the etchants. This facile method may provide a feasible and economical way to fabricate novel silicon nanowires, nanostructures, and devices for broad applications.

  5. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  6. Light-emitting silicon nanowires obtained by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Irrera, Alessia; Josè Lo Faro, Maria; D'Andrea, Cristiano; Alessio Leonardi, Antonio; Artoni, Pietro; Fazio, Barbara; Picca, Rosaria Anna; Cioffi, Nicola; Trusso, Sebastiano; Franzò, Giorgia; Musumeci, Paolo; Priolo, Francesco; Iacona, Fabio

    2017-04-01

    This review reports on a new process for the synthesis of Si nanowires (NWs), based on the wet etching of Si substrates assisted by a thin metal film. The approach exploits the thickness-dependent morphology of the metal layers to define uncovered nanometric Si regions, which behave as precursor sites for the formation of very dense (up to 1 × 1012 NW cm-2) arrays of long (up to several μm) and ultrathin (diameter of 5-9 nm) NWs. Intense photoluminescence (PL) peaks, characterized by maxima in the 640-750 nm range and by an external quantum efficiency of 0.5%, are observed when the Si NWs are excited at room temperature. The spectra show a blueshift if the size of the NW is decreased, in agreement with the occurrence of quantum confinement effects. The same etching process can be used to obtain ultrathin Si/Ge NWs from a Si/Ge multi-quantum well. The Si/Ge NWs exhibit—in addition to the Si-related PL peak—a signal at about 1240 nm due to Ge nanostructures. The huge surface area of the Si NW arrays can be exploited for sensing and analytical applications. The dependence of the PL intensity on the chemical composition of the surface indeed suggests interesting perspectives for the detection of gaseous molecules. Moreover, Si NWs decorated with Ag nanoparticles can be effectively employed in the interference-free laser desorption-ionization mass spectrometry of low-molecular-weight analytes. A device based on conductive Si NWs, showing intense and stable electroluminescence at an excitation voltage as low as 2 V, is also presented. The unique features of the proposed synthesis (the process is cheap, fast, maskless and compatible with Si technology) and the unusual optical properties of the material open the route towards new and unexpected perspectives for semiconductor NWs in photonics.

  7. Size- and orientation-selective si nanowire growth: thermokinetic effects of nanoscale plasma chemistry.

    PubMed

    Mehdipour, Hamid; Ostrikov, Kostya Ken

    2013-02-06

    A multiscale, multiphase thermokinetic model is used to show the effective control of the growth orientation of thin Si NWs for nanoelectronic devices enabled by nanoscale plasma chemistry. It is shown that very thin Si NWs with [110] growth direction can nucleate at much lower process temperatures and pressures compared to thermal chemical vapor deposition where [111]-directed Si NWs are predominantly grown. These findings explain a host of experimental results and offer the possibility of energy- and matter-efficient, size- and orientation-controlled growth of [110] Si NWs for next-generation nanodevices.

  8. Capping of rare earth silicide nanowires on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Appelfeller, Stephan; Franz, Martin; Kubicki, Milan

    The capping of Tb and Dy silicide nanowires grown on Si(001) was studied using scanning tunneling microscopy and cross-sectional high-resolution transmission electron microscopy. Several nanometers thick amorphous Si films deposited at room temperature allow an even capping, while the nanowires maintain their original structural properties. Subsequent recrystallization by thermal annealing leads to more compact nanowire structures and to troughs in the Si layer above the nanowires, which may even reach down to the nanowires in the case of thin Si films, as well as to V-shaped stacking faults forming along (111) lattice planes. This behavior is related to strain duemore » to the lattice mismatch between the Si overlayer and the nanowires.« less

  9. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  10. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    PubMed

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  11. Silicon nanowires as field-effect transducers for biosensor development: a review.

    PubMed

    Noor, M Omair; Krull, Ulrich J

    2014-05-12

    The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top-down or bottom-up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top-down and bottom-up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Vertically cross-linked and porous CoNi2S4 nanosheets-decorated SiC nanowires with exceptional capacitive performance as a free-standing electrode for asymmetric supercapacitors

    NASA Astrophysics Data System (ADS)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan; Li, Qingdang

    2016-11-01

    In this paper, a simple, low-cost and mild hydrothermal technology of growing vertically cross-linked ternary nickel cobalt sulfides nanosheets (CoNi2S4 NSs) with porous characteristics on SiC nanowires (SiC NWs) supporters with outstanding resistances to oxidation and corrosion, good conductivity and large specific surface area deposited directly on carbon cloth (CC) is successfully developed, forming a new family of free-standing advanced hybrid electrode for asymmetric supercapacitors (ASCs). Such integrated electrode (SiC NWs@CoNi2S4 NSs) manifests intriguing electrochemical characteristics such as high specific capacity (231.1 mA h g-1 at 2 A g-1) and rate capability due to the synergistic effect of SiC NWs and CoNi2S4 NSs with unique morphology. Additionally, an asymmetric supercapacitor is also assembled via using this special hybrid architectures as positive electrode and activated carbon (AC) on Ni foam (NF) as negative electrode, and it can yield a high energy density of 57.8 W h kg-1 with a power density of 1.6 kW kg-1 and long cycling lifespan. This study constitutes an emerging attractive strategy to reasonably design and fabricate novel SiC NWs-based nanostructured electrodes with enhanced capacity, which holds great potential to be the candidate of electrode materials for environmentally benign as well as high-performance energy storage devices.

  13. Structure evolution and electrical transport property of Si nanowire

    NASA Astrophysics Data System (ADS)

    Wang, Y.; Li, Q. Q.; Dong, J. C.; He, Y. Z.; Li, H.

    2015-02-01

    Various optimized Si and its alloy nanowires, from a monoatomic chain to helical and multishell coaxial cylinder, have been obtained. Results reveal that the structure of the Si nanowires transforms as the radii of the carbon nanotubes increase, despite of the chirality of the CNTs. We also calculate the physical properties, such as density of states, transmission functions, current-voltage (I-V) characteristics, and conductance spectra (G-V) of optimized nanowires and alloy nanowires sandwiched between two gold contacts. Interestingly, compared with the pure Si nanowires, the conductance of the alloy nanowires is even lower.

  14. Bare and boron-doped cubic silicon carbide nanowires for electrochemical detection of nitrite sensitively

    PubMed Central

    Yang, Tao; Zhang, Liqin; Hou, Xinmei; Chen, Junhong; Chou, Kuo-Chih

    2016-01-01

    Fabrication of eletrochemical sensors based on wide bandgap compound semiconductors has attracted increasing interest in recent years. Here we report for the first time electrochemical nitrite sensors based on cubic silicon carbide (SiC) nanowires (NWs) with smooth surface and boron-doped cubic SiC NWs with fin-like structure. Multiple techniques including scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and electron energy loss spectroscopy (EELS) were used to characterize SiC and boron-doped SiC NWs. As for the electrochemical behavior of both SiC NWs electrode, the cyclic voltammetric results show that both SiC electrodes exhibit wide potential window and excellent electrocatalytic activity toward nitrite oxidation. Differential pulse voltammetry (DPV) determination reveals that there exists a good linear relationship between the oxidation peak current and the concentration in the range of 50–15000 μmoL L−1 (cubic SiC NWs) and 5–8000 μmoL L−1 (B-doped cubic SiC NWs) with the detection limitation of 5 and 0.5 μmoL L−1 respectively. Compared with previously reported results, both as-prepared nitrite sensors exhibit wider linear response range with comparable high sensitivity, high stability and reproducibility. PMID:27109361

  15. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  16. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  17. Highly flexible, nonflammable and free-standing SiC nanowire paper

    NASA Astrophysics Data System (ADS)

    Chen, Jianjun; Liao, Xin; Wang, Mingming; Liu, Zhaoxiang; Zhang, Judong; Ding, Lijuan; Gao, Li; Li, Ye

    2015-03-01

    Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber reinforced ceramic composites. Herein, free-standing SiC nanowire paper consisting of ultralong single-crystalline SiC nanowires was prepared through a facile vacuum filtration approach. The ultralong SiC nanowires were synthesized by a sol-gel and carbothermal reduction method. The flexible paper composed of SiC nanowires is ~100 nm in width and up to several hundreds of micrometers in length. The nanowires are intertwisted with each other to form a three-dimensional network-like structure. SiC nanowire paper exhibits high flexibility and strong mechanical stability. The refractory performance and thermal stability of SiC nanowire paper were also investigated. The paper not only exhibits excellent nonflammability in fire, but also remains well preserved without visible damage when it is heated in an electric oven at a high temperature (1000 °C) for 3 h. With its high flexibility, excellent nonflammability, and high thermal stability, the free-standing SiC nanowire paper may have the potential to improve the ablation resistance of high temperature ceramic composites.Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber

  18. Surface physics of semiconducting nanowires

    NASA Astrophysics Data System (ADS)

    Amato, Michele; Rurali, Riccardo

    2016-02-01

    Semiconducting nanowires (NWs) are firm candidates for novel nanoelectronic devices and a fruitful playground for fundamental physics. Ultra-thin nanowires, with diameters below 10 nm, present exotic quantum effects due to the confinement of the wave functions, e.g. widening of the electronic band-gap, deepening of the dopant states. However, although several reports of sub-10 nm wires exist to date, the most common NWs have diameters that range from 20 to 200 nm, where these quantum effects are absent or play a very minor role. Yet, the research activity on this field is very intense and these materials still promise to provide an important paradigm shift for the design of emerging electronic devices and different kinds of applications. A legitimate question is then: what makes a nanowire different from bulk systems? The answer is certainly the large surface-to-volume ratio. In this article we discuss the most salient features of surface physics and chemistry in group-IV semiconducting nanowires, focusing mostly on Si NWs. First we review the state-of-the-art of NW growth to achieve a smooth and controlled surface morphology. Next we discuss the importance of a proper surface passivation and its role on the NW electronic properties. Finally, stressing the importance of a large surface-to-volume ratio and emphasizing the fact that in a NW the surface is where most of the action takes place, we discuss molecular sensing and molecular doping.

  19. Molecularly imprinted silica-silver nanowires for tryptophan recognition

    NASA Astrophysics Data System (ADS)

    Díaz-Faes López, T.; Díaz-García, M. E.; Badía-Laíño, R.

    2014-10-01

    We report on silver nanowires (AgNWs) coated with molecularly imprinted silica (MIP SiO2) for recognition of tryptophan (Trp). The use of AgNWs as a template confers an imprinted material with adequate mechanical strength and with a capability of recognizing Trp due to its nanomorphology when compared to spherical microparticles with a similar surface-to-volume ratio. Studies on adsorption isotherms showed the MIP-SiO2-AgNWs to exhibit homogeneous affinity sites with narrow affinity distribution. This suggests that the synthesized material behaves as a 1D nanomaterial with a large area and small thickness with very similar affinity sites. Trp release from MIP-SiO2-AgNWs was demonstrated to be dominated by the diffusion rate of Trp as controlled by the specific interactions with the imprinted silica shell. Considering these results and the lack of toxicity of silica sol-gel materials, the material offers potential in the field of drug or pharmaceutical controlled delivery, but also in optoelectronic devices, electrodes and sensors.

  20. Structural and photoluminescence studies on catalytic growth of silicon/zinc oxide heterostructure nanowires

    PubMed Central

    2013-01-01

    Silicon/zinc oxide (Si/ZnO) core-shell nanowires (NWs) were prepared on a p-type Si(111) substrate using a two-step growth process. First, indium seed-coated Si NWs (In/Si NWs) were synthesized using a plasma-assisted hot-wire chemical vapor deposition technique. This was then followed by the growth of a ZnO nanostructure shell layer using a vapor transport and condensation method. By varying the ZnO growth time from 0.5 to 2 h, different morphologies of ZnO nanostructures, such as ZnO nanoparticles, ZnO shell layer, and ZnO nanorods were grown on the In/Si NWs. The In seeds were believed to act as centers to attract the ZnO molecule vapors, further inducing the lateral growth of ZnO nanorods from the Si/ZnO core-shell NWs via a vapor-liquid-solid mechanism. The ZnO nanorods had a tendency to grow in the direction of [0001] as indicated by X-ray diffraction and high resolution transmission electron microscopy analyses. We showed that the Si/ZnO core-shell NWs exhibit a broad visible emission ranging from 400 to 750 nm due to the combination of emissions from oxygen vacancies in ZnO and In2O3 structures and nanocrystallite Si on the Si NWs. The hierarchical growth of straight ZnO nanorods on the core-shell NWs eventually reduced the defect (green) emission and enhanced the near band edge (ultraviolet) emission of the ZnO. PMID:23590803

  1. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    PubMed

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  2. Direct Electrical Probing of Periodic Modulation of Zinc-Dopant Distributions in Planar Gallium Arsenide Nanowires.

    PubMed

    Choi, Wonsik; Seabron, Eric; Mohseni, Parsian K; Kim, Jeong Dong; Gokus, Tobias; Cernescu, Adrian; Pochet, Pascal; Johnson, Harley T; Wilson, William L; Li, Xiuling

    2017-02-28

    Selective lateral epitaxial (SLE) semiconductor nanowires (NWs), with their perfect in-plane epitaxial alignment, ability to form lateral complex p-n junctions in situ, and compatibility with planar processing, are a distinctive platform for next-generation device development. However, the incorporation and distribution of impurity dopants in these planar NWs via the vapor-liquid-solid growth mechanism remain relatively unexplored. Here, we present a detailed study of SLE planar GaAs NWs containing multiple alternating axial segments doped with Si and Zn impurities by metalorganic chemical vapor deposition. The dopant profile of the lateral multi-p-n junction GaAs NWs was imaged simultaneously with nanowire topography using scanning microwave impedance microscopy and correlated with infrared scattering-type near-field optical microscopy. Our results provide unambiguous evidence that Zn dopants in the periodically twinned and topologically corrugated p-type segments are preferentially segregated at twin plane boundaries, while Si impurity atoms are uniformly distributed within the n-type segments of the NWs. These results are further supported by microwave impedance modulation microscopy. The density functional theory based modeling shows that the presence of Zn dopant atoms reduces the formation energy of these twin planes, and the effect becomes significantly stronger with a slight increase of Zn concentration. This implies that the twin formation is expected to appear when a threshold planar concentration of Zn is achieved, making the onset and twin periodicity dependent on both Zn concentration and nanowire diameter, in perfect agreement with our experimental observations.

  3. Self-assembly of cadmium metasilicate nanowires as a broadband optical limiter

    NASA Astrophysics Data System (ADS)

    Zheng, Chan; Dai, Chongchong; Huang, Li; Li, Wei; Chen, Wenzhe

    2016-04-01

    Cadmium metasilicate nanowires (CdSiO3 NWs) have been synthesized through a facile, eco-friendly, low-cost water-ethanol mixed-solution hydrothermal route. The transmission electron microscopy measurements of as-prepared samples indicate that the CdSiO3 NWs with diameters in the range of 10-60 nm and lengths of more than 1 μm were constructed by self-assembly of 5-10-nm CdSiO3 nanoparticles with good crystallinity. The monoclinic phase formation of the sample is studied in detail by X-ray diffraction, Fourier-transform infrared spectroscopy, and thermo gravimetric analysis. The results indicate that a pure monoclinic phase of CdSiO3 can be obtained by a hydrothermal route without further calcinations and SiO4 tetrahedra were the main constituents of the CdSiO3 NWs. The nanosecond optical limiting (OL) effects were characterized by using an open-aperture (OA) Z-scan technique with 4-ns laser pulses at both 532 and 1064 nm. Theses CdSiO3 NWs displayed an excellent OL performance at 532 and 1064 nm, which was better than carbon nanotubes, a benchmark optical limiter. Input-fluence dependent scattering measurements suggested than nonlinear scattering played an important role in the observed optical limiting behavior in CdSiO3 NWs at 532 and 1064 nm. More significantly, the NLO performance in CdSiO3 NWs incorporated solid silica gel glass has been improved in comparison to those dispersed in water. The unique structure and excellent OL property render these CdSiO3 NWs competitors in the realms of optical limiting applications.

  4. Manganese silicide nanowires on Si(001).

    PubMed

    Liu, H J; Owen, J H G; Miki, K; Renner, Ch

    2011-05-04

    A method for promoting the growth of manganese silicide nanowires on Si(001) at 450 °C is described. The anisotropic surface stress generated by bismuth nanolines blocks the formation of embedded structures and stabilizes the nucleation of manganese silicide islands which grow in a preferred direction, forming nanowires with a band gap of approximately 0.6 eV, matching the reported band gap of MnSi(1.7). This method may also provide a means to form silicide nanowires of other metals where they do not otherwise form. © 2011 IOP Publishing Ltd

  5. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  6. Nucleation and growth mechanism of self-catalyzed InAs nanowires on silicon

    NASA Astrophysics Data System (ADS)

    Gomes, U. P.; Ercolani, D.; Zannier, V.; David, J.; Gemmi, M.; Beltram, F.; Sorba, L.

    2016-06-01

    We report on the nucleation and growth mechanism of self-catalyzed InAs nanowires (NWs) grown on Si (111) substrates by chemical beam epitaxy. Careful choices of the growth parameters lead to In-rich conditions such that the InAs NWs nucleate from an In droplet and grow by the vapor-liquid-solid mechanism while sustaining an In droplet at the tip. As the growth progresses, new NWs continue to nucleate on the Si (111) surface causing a spread in the NW size distribution. The observed behavior in NW nucleation and growth is described within a suitable existing theoretical model allowing us to extract relevant growth parameters. We argue that these results provide useful guidelines to rationally control the growth of self-catalyzed InAs NWs for various applications.

  7. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  8. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  9. Tuning the nanotribological behaviors of single silver nanowire through various manipulations

    NASA Astrophysics Data System (ADS)

    Zeng, Xingzhong; Peng, Yitian; Lang, Haojie; Cao, Xing'an

    2018-05-01

    Nanotribological characteristics of silver nanowires (Ag NWs) are of great importance for the reliability of their applications where involving mechanical interactions. The frictional behaviors of the Ag NWs with different lengths on SiO2/Si substrate have been investigated directly by atomic force microscopy (AFM) nanomanipulation. The relatively short and long Ag NWs behave like the rigid rods and flexible beams, respectively, and the critical aspect ratio of NWs for the two cases is found to be about 20. The relatively short NWs demonstrates three forms of motion with different frictional behaviors. The friction of the relatively long NWs increases with the bend of the NWs. The long Ag NWs display extraordinary flexibility that can be folded to different shapes, and the folded NWs show a similar frictional behavior with the rigid rods. Different simplified mechanical models are established to match the frictional behaviors of the corresponding Ag NWs. The adhesion between the Ag NWs and substrate is calculated by an indirect method based on the van der Waals force equation to assess their adhesive attraction. These findings may provide insight into the frictional characteristics of Ag NWs and contribute to the quantitative interface design and control for their applications.

  10. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  11. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  12. Growth of InAs NWs with controlled morphology by CVD

    NASA Astrophysics Data System (ADS)

    Huang, Y. S.; Li, M.; Wang, J.; Xing, Y.; Xu, H. Q.

    2017-06-01

    We report on the growth of single crystal InAs NWs on Si/SiOx substrates by chemical vapor deposition (CVD). By adjusting growth parameters, the diameters, morphology, length and the proportion of superlattice ZB InAs NWs (NWs) can be controlled on a Si/SiOx substrate. Our work provides a low-cost route to grow and phase-engineer single crystal InAs NWs for a wide range of potential applications.

  13. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  14. Disordered array of Au covered Silicon nanowires for SERS biosensing combined with electrochemical detection

    NASA Astrophysics Data System (ADS)

    Convertino, Annalisa; Mussi, Valentina; Maiolo, Luca

    2016-04-01

    We report on highly disordered array of Au coated silicon nanowires (Au/SiNWs) as surface enhanced Raman scattering (SERS) probe combined with electrochemical detection for biosensing applications. SiNWs, few microns long, were grown by plasma enhanced chemical vapor deposition on common microscope slides and covered by Au evaporated film, 150 nm thick. The capability of the resulting composite structure to act as SERS biosensor was studied via the biotin-avidin interaction: the Raman signal obtained from this structure allowed to follow each surface modification step as well as to detect efficiently avidin molecules over a broad range of concentrations from micromolar down to the nanomolar values. The metallic coverage wrapping SiNWs was exploited also to obtain a dual detection of the same bioanalyte by electrochemical impedance spectroscopy (EIS). Indeed, the SERS signal and impedance modifications induced by the biomolecule perturbations on the metalized surface of the NWs were monitored on the very same three-electrode device with the Au/SiNWs acting as both working electrode and SERS probe.

  15. Schottky junction interfacial properties at high temperature: A case of AgNWs embedded metal oxide/p-Si

    NASA Astrophysics Data System (ADS)

    Mahala, Pramila; Patel, Malkeshkumar; Gupta, Navneet; Kim, Joondong; Lee, Byung Ha

    2018-05-01

    Studying the performance limiting parameters of the Schottky device is an urgent issue, which are addressed herein by thermally stable silver nanowire (AgNW) embedded metal oxide/p-Si Schottky device. Temperature and bias dependent junction interfacial properties of AgNW-ITO/Si Schottky photoelectric device are reported. The current-voltage-temperature (I-V-T), capacitance-voltage-temperature (C-V-T) and impedance analysis have been carried out in the high-temperature region. The ideality factor and barrier height of Schottky junction are assessed using I-V-T characteristics and thermionic emission, to reveal the decrease of ideality factor and increase of barrier height by the increasing of temperature. The extracted values of laterally homogeneous Schottky (ϕb) and ideality factor (n) are approximately 0.73 eV and 1.58, respectively. Series resistance (Rs) assessed using Cheung's method and found that it decreases with the increase of temperature. A linear response of Rs of AgNW-ITO/Si Schottky junction is observed with respect to change in forward bias, i.e. dRS/dV from 0 to 0.7 V is in the range of 36.12-36.43 Ω with a rate of 1.44 Ω/V. Impedance spectroscopy is used to study the effect of bias voltage and temperature on intrinsic Schottky properties which are responsible for photoconversion efficiency. These systematic analyses are useful for the AgNWs-embedding Si solar cells or photoelectrochemical cells.

  16. A reliable method to grow vertically-aligned silicon nanowires by a novel ramp-cooling process

    NASA Astrophysics Data System (ADS)

    Ho, Tzuen-Wei; Hong, Franklin Chau-Nan

    2012-08-01

    We have grown silicon nanowires (SiNWs) on Si (1 1 1) substrates by gold-catalyzed vapor-liquid-solid (VLS) process using tetrachlorosilane (SiCl4) in a hot-wall chemical vapor deposition reactor. Even under the optimized conditions including H2 annealing to reduce the surface native oxide, epitaxial SiNWs of 150-200 nm in diameter often grew along all four <1 1 1> family directions with one direction vertical and three others inclined to the surface. Therefore, the growth of high degree ordered SiNW arrays along [1 1 1] only was attempted on Au-coated Si (1 1 1) by a ramp-cooling process utilizing the liquid phase epitaxy (LPE) mechanism. The Au-coated Si substrate was first annealed in H2 at 650 °C to form Au-Si alloy nanoparticles, and then ramp-cooled at a controlled rate to precipitate epitaxial Si seeds on the substrate based on LPE mechanism. The substrate was further heated in SiCl4/H2 to 850 °C for the VLS growths of SiNWs on the Si seeds. Thus, almost 100% vertically-aligned SiNWs along [1 1 1] only could be reproducibly grown on Si (1 1 1), without using a template or patterning the metal catalyst. The high-density vertically-aligned SiNWs have good potentials for solar cells and nano-devices.

  17. Silver nanowires-templated metal oxide for broadband Schottky photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patel, Malkeshkumar; Kim, Hong-Sik; Kim, Joondong, E-mail: joonkim@inu.ac.kr

    2016-04-04

    Silver nanowires (AgNWs)-templated transparent metal oxide layer was applied for Si Schottky junction device, which remarked the record fastest photoresponse of 3.4 μs. Self-operating AgNWs-templated Schottky photodetector showed broad wavelength photodetection with high responsivity (42.4 A W{sup −1}) and detectivity (2.75 × 10{sup 15} Jones). AgNWs-templated indium-tin-oxide (ITO) showed band-to-band excitation due to the internal photoemission, resulting in significant carrier collection performances. Functional metal oxide layer was formed by AgNWs-templated from ITO structure. The grown ITO above AgNWs has a cylindrical shape and acts as a thermal protector of AgNWs for high temperature environment without any deformation. We developed thermal stable AgNWs-templated transparent oxidemore » devices and demonstrated the working mechanism of AgNWs-templated Schottky devices. We may propose the high potential of hybrid transparent layer design for various photoelectric applications, including solar cells.« less

  18. Ferromagnetic nickel silicide nanowires for isolating primary CD4+ T lymphocytes

    NASA Astrophysics Data System (ADS)

    Kim, Dong-Joo; Seol, Jin-Kyeong; Lee, Mi-Ri; Hyung, Jung-Hwan; Kim, Gil-Sung; Ohgai, Takeshi; Lee, Sang-Kwon

    2012-04-01

    Direct CD4+ T lymphocytes were separated from whole mouse splenocytes using 1-dimensional ferromagnetic nickel silicide nanowires (NiSi NWs). NiSi NWs were prepared by silver-assisted wet chemical etching of silicon and subsequent deposition and annealing of Ni. This method exhibits a separation efficiency of ˜93.5%, which is comparable to that of the state-of-the-art superparamagnetic bead-based cell capture (˜96.8%). Furthermore, this research shows potential for separation of other lymphocytes, B, natural killer and natural killer T cells, and even rare tumor cells simply by changing the biotin-conjugated antibodies.

  19. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  20. The kinetic friction of ZnO nanowires on amorphous SiO2 and SiN substrates

    NASA Astrophysics Data System (ADS)

    Roy, Aditi; Xie, Hongtao; Wang, Shiliang; Huang, Han

    2016-12-01

    ZnO nanowires were bent on amorphous SiO2 and SiN substrates in an ambient atmosphere using optical nanomanipulation. The kinetic friction between the nanowires and substrate was determined from the bent shape of the nanowires. The kinetic friction force per unit area, i.e. frictional shear stress, for the ZnO/SiO2 and ZnO/SiN nanowire/substrate systems being measured were 1.05 ± 0.28 and 2.08 ± 0.33 MPa, respectively. The surface roughness and the Hamaker constant of SiO2 and SiN substrates had significant effect on the frictional stresses.

  1. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    PubMed

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  2. Reflectance and fast polarization dynamics of GaN/Si nanowire ensemble.

    PubMed

    Korona, Krzysztof Piotr; Zytkiewicz, Zbigniew R; Sobanska, Marta; Sosada, Florentyna; Dróżdż, Piotr Andrzej; Klosek, Kamil; Tchutchulashvili, Giorgi

    2018-06-25

    Optical phenomena in high-quality GaN nanowires (NWs) ensemble grown on Si substrate have been studied by reflectance and time-resolved luminescence. Such NWs form a structure that acts as a virtual layer that specifically reflects and polarizes light and can be characterized by an effective refractive index. In fact we have found that the NW ensembles of high NW density (high filling fraction) behave rather like a layer of effective medium described by Maxwell Garnett approximation. Moreover, light extinction and strong depolarization are observed that we assign to scattering and interference of light inside the NW ensemble. The wavelength range of high extinction and depolarization correlates well with transverse localization wavelength estimated for such ensemble of NWs, so we suppose that these effects are due to Anderson localization of light. We also report results of time-resolved measurements of polarization of individual emission centers including free and bound excitons (D0XA, 3.47 eV), inversion domain boundaries (IDB, 3.45eV) and stacking faults (SF, 3.42 eV). The emission of the D0XA and SF lines is polarized perpendicular to GaN c-axis while the 3.45 eV line is polarized along the c-axis what supports hypothesis that this line is emitted from IDBs. Time-dependent depolarization of luminescence is observed during the first 0.1 ns after excitation and is interpreted as the result of interaction of the emission centers with hot particles existing during short time after excitation. . © 2018 IOP Publishing Ltd.

  3. Self-transducing silicon nanowire electromechanical systems at room temperature.

    PubMed

    He, Rongrui; Feng, X L; Roukes, M L; Yang, Peidong

    2008-06-01

    Electronic readout of the motions of genuinely nanoscale mechanical devices at room temperature imposes an important challenge for the integration and application of nanoelectromechanical systems (NEMS). Here, we report the first experiments on piezoresistively transduced very high frequency Si nanowire (SiNW) resonators with on-chip electronic actuation at room temperature. We have demonstrated that, for very thin (~90 nm down to ~30 nm) SiNWs, their time-varying strain can be exploited for self-transducing the devices' resonant motions at frequencies as high as approximately 100 MHz. The strain of wire elongation, which is only second-order in doubly clamped structures, enables efficient displacement transducer because of the enhanced piezoresistance effect in these SiNWs. This intrinsically integrated transducer is uniquely suited for a class of very thin wires and beams where metallization and multilayer complex patterning on devices become impractical. The 30 nm thin SiNW NEMS offer exceptional mass sensitivities in the subzeptogram range. This demonstration makes it promising to advance toward NEMS sensors based on ultrathin and even molecular-scale SiNWs, and their monolithic integration with microelectronics on the same chip.

  4. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  5. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  6. Fullerene C60 coated silicon nanowires as anode materials for lithium secondary batteries.

    PubMed

    Arie, Arenst Andreas; Lee, Joong Kee

    2012-04-01

    A Fullerene C60 film was introduced as a coating layer for silicon nanowires (Si NWs) by a plasma assisted thermal evaporation technique. The morphology and structural characteristics of the materials were studied by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). SEM observations showed that the shape of the nanowire structure was maintained after the C60 coating and the XPS analysis confirmed the presence of the carbon coating layer. The electrochemical characteristics of C60 coated Si NWs as anode materials were examined by charge-discharge tests and electrochemical impedance measurements. With the C60 film coating, Si NW electrodes exhibited a higher initial coulombic efficiency of 77% and a higher specific capacity of 2020 mA h g(-1) after the 30th cycle at a current density of 100 microA cm(-2) with cut-off voltage between 0-1.5 V. These improved electrochemical characteristics are attributed to the presence of the C60 coating layer which suppresses side reaction with the electrolyte and maintains the structural integrity of the Si NW electrodes during cycle tests.

  7. Si-H induced synthesis of Si/Cu2O nanowire arrays for photoelectrochemical water splitting

    NASA Astrophysics Data System (ADS)

    Zhang, Shaoyang; She, Guangwei; Li, Shengyang; Mu, Lixuan; Shi, Wensheng

    2018-01-01

    We report a facile and low-cost method to synthesize Si/Cu2O heterojunction nanowire arrays, without SiOx, at the Si/Cu2O interface. The reductive Si-H bonds on the surface of Si nanowires plays a key role in situ by reducing Cu(II) ions to Cu2O nanocubes and avoiding the SiOx interface layer. Different pH values would vary the electrochemical potential of reactions and as a result, different products would be formed. Utilized as a photoanode for water splitting, Si/Cu2O nanowire arrays exhibit good photoelectrochemical performance.

  8. Strong enhancement effect of silver nanowires on fluorescent property of Eu3+-ligand complexes and desired fluorescent iPP composite materials

    NASA Astrophysics Data System (ADS)

    Zhang, Yu; Wang, Xinzhi; Tang, Jianguo; Wang, Wei; Wang, Jinping; Belfiore, Laurence A.

    2017-04-01

    In this contribution, we obtained the strong enhancement effect of silver nanowires(AgNWs) on fluorescent property of Eu3+-antenna complexes through the function of the surface plasmon resonance(SPR) effect. The key structural characteristics are: (1) AgNWs are covered by the Eu3+-ligand complex and spaced by SiO2 nano-layer between AgNWs and Eu3+-ligand complex (this structure is marked as AgNWs@SiO2@EuTP), and (2) AgNWs as nano-material with large ratio of length to diameter show their good dispersion and processability in isotactic polypropylene (iPP). We obtained the important data about the optimal spacer thickness of SiO2 is 15 nm that was not found in previous publications. The enhanced intensity of fluorescence of EuTP by AgNWs in AgNWs@SiO2@EuTP is 9 times compared with that of EuTP. All of these outstanding properties and fine structures were characterized by TEM, FT-IR, XRD, and fluorescence spectrophotometer. On the other hand, the desired fluorescent iPP composite material was obtained through blending AgNWs@SiO2@EuTP into iPP host. Very importantly, the enhancement effect of AgNWs on EuTP fluorescence in AgNWs@SiO2@EuTP is refrained from the quenching caused by host polymer of iPP.

  9. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    PubMed

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  10. Ultrafast Carbon Dioxide Sorption Kinetics Using Lithium Silicate Nanowires.

    PubMed

    Nambo, Apolo; He, Juan; Nguyen, Tu Quang; Atla, Veerendra; Druffel, Thad; Sunkara, Mahendra

    2017-06-14

    In this paper, the Li 4 SiO 4 nanowires (NWs) were shown to be promising for CO 2 capture with ultrafast kinetics. Specifically, the nanowire powders exhibited an uptake of 0.35 g g -1 of CO 2 at an ultrafast adsorption rate of 0.22 g g -1 min -1 at 650-700 °C. Lithium silicate (Li 4 SiO 4 ) nanowires and nanopowders were synthesized using a "solvo-plasma" technique involving plasma oxidation of silicon precursors mixed with lithium hydroxide. The kinetic parameter values (k) extracted from sorption kinetics obtained using NW powders are 1 order of magnitude higher than those previously reported for the Li 4 SiO 4 -CO 2 reaction system. The time scales for CO 2 sorption using nanowires are approximately 3 min and two orders magnitude faster compared to those obtained using lithium silicate powders with spherical morphologies and aggregates. Furthermore, Li 4 SiO 4 nanowire powders showed reversibility through sorption-desorption cycles indicating their suitability for CO 2 capture applications. All of the morphologies of Li 4 SiO 4 powders exhibited a double exponential behavior in the adsorption kinetics indicating two distinct time constants for kinetic and the mass transfer limited regimes.

  11. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks.

    PubMed

    Cao, Anping; Shan, Meixia; Paltrinieri, Laura; Evers, Wiel H; Chu, Liangyong; Poltorak, Lukasz; Klootwijk, Johan H; Seoane, Beatriz; Gascon, Jorge; Sudhölter, Ernst J R; de Smet, Louis C P M

    2018-04-19

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  12. An 8.68% efficiency chemically-doped-free graphene-silicon solar cell using silver nanowires network buried contacts.

    PubMed

    Yang, Lifei; Yu, Xuegong; Hu, Weidan; Wu, Xiaolei; Zhao, Yan; Yang, Deren

    2015-02-25

    Graphene-silicon (Gr-Si) heterojunction solar cells have been recognized as one of the most low-cost candidates in photovoltaics due to its simple fabrication process. However, the high sheet resistance of chemical vapor deposited (CVD) Gr films is still the most important limiting factor for the improvement of the power conversion efficiency of Gr-Si solar cells, especially in the case of large device-active area. In this work, we have fabricated a novel transparent conductive film by hybriding a monolayer Gr film with silver nanowires (AgNWs) network soldered by the graphene oxide (GO) flakes. This Gr-AgNWs hybrid film exhibits low sheet resistance and larger direct-current to optical conductivity ratio, quite suitable for solar cell fabrication. An efficiency of 8.68% has been achieved for the Gr-AgNWs-Si solar cell, in which the AgNWs network acts as buried contacts. Meanwhile, the Gr-AgNWs-Si solar cells have much better stability than the chemically doped Gr-Si solar cells. These results show a new route for the fabrication of high efficient and stable Gr-Si solar cells.

  13. Improved performance of flexible amorphous silicon solar cells with silver nanowires

    NASA Astrophysics Data System (ADS)

    Chen, Y. R.; Li, Z. Q.; Chen, X. H.; Liu, C.; Ye, X. J.; Wang, Z. B.; Sun, Z.; Huang, S. M.

    2012-12-01

    A novel hybrid electrode structure using Ag nanowires (NWs) to create surface plasmons to enhance light trapping is designed and applied on the front surface of hydrogenated amorphous silicon (a-Si:H) solar cells on steel substrates, targeting broad-band absorption enhancements. Ag NWs were synthesized using a soft and self-seeding process. The produced Ag NWs were deposited on indium tin oxide (ITO) glass substrates or the ITO layers of the as-prepared flexible a-Si:H solar cells to form Ag NW-ITO hybrid electrodes. The Ag NW-ITO hybrid electrodes were optimized to achieve maximum optical enhancement using surface plasmons and obtain good electrical contacts in cells. Finite-element electromagnetic simulations confirmed that the presence of the Ag NWs resulted in increased electromagnetic fields within the a-Si:H layer. Compared to the cell with conventional ITO electrode, the measured quantum efficiency of the best performing a-Si:H cell shows an obvious enhancement in the wavelength range from 330 nm to 600 nm. The cell based on the optimized Ag NW-ITO demonstrates an increase about 4% in short-circuit current density and over 6% in power conversion efficiency under AM 1.5 illumination.

  14. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  15. Processing-Induced Electrically Active Defects in Black Silicon Nanowire Devices.

    PubMed

    Carapezzi, Stefania; Castaldini, Antonio; Mancarella, Fulvio; Poggi, Antonella; Cavallini, Anna

    2016-04-27

    Silicon nanowires (Si NWs) are widely investigated nowadays for implementation in advanced energy conversion and storage devices, as well as many other possible applications. Black silicon (BSi)-NWs are dry etched NWs that merge the advantages related to low-dimensionality with the special industrial appeal connected to deep reactive ion etching (RIE). In fact, RIE is a well established technique in microelectronics manufacturing. However, RIE processing could affect the electrical properties of BSi-NWs by introducing deep states into their forbidden gap. This work applies deep level transient spectroscopy (DLTS) to identify electrically active deep levels and the associated defects in dry etched Si NW arrays. Besides, the successful fitting of DLTS spectra of BSi-NWs-based Schottky barrier diodes is an experimental confirmation that the same theoretical framework of dynamic electronic behavior of deep levels applies in bulk as well as in low dimensional structures like NWs, when quantum confinement conditions do not occur. This has been validated for deep levels associated with simple pointlike defects as well as for deep levels associated with defects with richer structures, whose dynamic electronic behavior implies a more complex picture.

  16. Spontaneous emission inhibition of telecom-band quantum disks inside single nanowire on different substrates.

    PubMed

    Birowosuto, M D; Zhang, G; Yokoo, A; Takiguchi, M; Notomi, M

    2014-05-19

    We investigate the inhibited spontaneous emission of telecom-band InAs quantum disks (Qdisks) in InP nanowires (NWs). We have evaluated how the inhibition is affected by different disk diameter and thickness. We also compared the inhibition in standing InP NWs and those NWs laying on silica (SiO(2)), and silicon (Si) substrates. We found that the inhibition is altered when we put the NW on the high-refractive-index materials of Si. Experimentally, the inhibition factor ζ of the Qdisk emission at 1,500 nm decreases from 4.6 to 2.5 for NW on SiO(2) and Si substrates, respectively. Those inhibitions are even much smaller than that of 6.4 of the standing NW. The inhibition factors well agree with those calculated from the coupling of the Qdisk to the fundamental guided mode and the continuum of radiative modes. Our observation can be useful for the integration of the NW as light sources in the photonic nanodevices.

  17. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  18. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  19. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  20. Synthesis and structural property of Si nanosheets connected to Si nanowires using MnCl2/Si powder source

    NASA Astrophysics Data System (ADS)

    Meng, Erchao; Ueki, Akiko; Meng, Xiang; Suzuki, Hiroaki; Itahara, Hiroshi; Tatsuoka, Hirokazu

    2016-08-01

    Si nanosheets connected to Si nanowires were synthesized using a MnCl2/Si powder source with an Au catalyst. The synthesis method has benefits in terms of avoiding conventionally used air-sensitive SiH4 or SiCl4. The existence of the Si nanosheets connected to the Si<111> nanowires, like sprouts or leaves with petioles, was observed, and the surface of the nanosheets was Si{111}. The nanosheets were grown in the growth direction of <211> perpendicular to that of the Si nanowires. It was evident from these structural features of the nanosheets that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes, which do not appear for Si bulk crystals, of the Si(111) nanosheets obtained by high resolution transmission electron microscopy was clearly explained due to the extra diffraction spots that arose by the reciprocal lattice streaking effect.

  1. Generation of Reactive Oxygen Species from Silicon Nanowires

    PubMed Central

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor–liquid–solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals. PMID:25452695

  2. Generation of reactive oxygen species from silicon nanowires.

    PubMed

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  3. First-principles simulation on Seebeck coefficient in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Nakamura, Koichi

    2017-06-01

    The Seebeck coefficients of silicon nanowires (SiNWs) were simulated on the basis of first-principles calculation using various atomistic structure models. The electronic band structures of fully hydrogen-terminated SiNW models give the correct image of quantum mechanical confinement from bulk silicon to SiNW for each axial direction, and the change in the density of states by dimensional reduction to SiNW enhances the thermoelectric performance in terms of the Seebeck coefficient, compared with those of bulk silicon and silicon nanosheets. The uniaxial tensile strain for the SiNW models does not strongly affect the Seebeck coefficient even for the SiNW system with giant piezoresistivity. In contrast, dangling bonds on a wire wall sharply reduce the Seebeck coefficient of SiNW and totally degrade thermoelectric performance from the viewpoint of the power factor. The exclusion of dangling bonds is a key element for the design and application of high-performance thermoelectric nanowires of semiconducting materials.

  4. Dewetting process of Au films on SiO2 nanowires: Activation energy evaluation

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Grimaldi, M. G.

    2015-05-01

    SiO2 nanowires gain scientific and technological interest in application fields ranging from nano-electronics, optics and photonics to bio-sensing. Furthermore, the SiO2 nanowires chemical and physical properties, and so their performances in devices, can be enhanced if decorated by metal nanoparticles (such Au) due to local plasmonic effects. In the present paper, we propose a simple, low-cost and high-throughput three-steps methodology for the mass-production of Au nanoparticles coated SiO2 nanowires. It is based on (1) production of the SiO2 nanowires on Si surface by solid state reaction of an Au film with the Si substrate at high temperature; (2) sputtering deposition of Au on the SiO2 nanowires to obtain the nanowires coated by an Au film; and (3) furnace annealing processes to induce the Au film dewetting on the SiO2 nanowires surface. Using scanning electron microscopy analyses, we followed the change of the Au nanoparticles mean versus the annealing time extracting values for the characteristic activation energy of the dewetting process of the Au film on the SiO2 nanowires surface. Such a study can allow the tuning of the nanowires/nanoparticles sizes for desired technological applications.

  5. Two-dimensional self-organization of an ordered Au silicide nanowire network on a Si(110)-16 x 2 surface.

    PubMed

    Hong, Ie-Hong; Yen, Shang-Chieh; Lin, Fu-Shiang

    2009-08-17

    A well-ordered two-dimensional (2D) network consisting of two crossed Au silicide nanowire (NW) arrays is self-organized on a Si(110)-16 x 2 surface by the direct-current heating of approximately 1.5 monolayers of Au on the surface at 1100 K. Such a highly regular crossbar nanomesh exhibits both a perfect long-range spatial order and a high integration density over a mesoscopic area, and these two self-ordering crossed arrays of parallel-aligned NWs have distinctly different sizes and conductivities. NWs are fabricated with widths and pitches as small as approximately 2 and approximately 5 nm, respectively. The difference in the conductivities of two crossed-NW arrays opens up the possibility for their utilization in nanodevices of crossbar architecture. Scanning tunneling microscopy/spectroscopy studies show that the 2D self-organization of this perfect Au silicide nanomesh can be achieved through two different directional electromigrations of Au silicide NWs along different orientations of two nonorthogonal 16 x 2 domains, which are driven by the electrical field of direct-current heating. Prospects for this Au silicide nanomesh are also discussed.

  6. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    PubMed

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  7. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  8. Contact planarization of ensemble nanowires

    NASA Astrophysics Data System (ADS)

    Chia, A. C. E.; LaPierre, R. R.

    2011-06-01

    The viability of four organic polymers (S1808, SC200, SU8 and Cyclotene) as filling materials to achieve planarization of ensemble nanowire arrays is reported. Analysis of the porosity, surface roughness and thermal stability of each filling material was performed. Sonication was used as an effective method to remove the tops of the nanowires (NWs) to achieve complete planarization. Ensemble nanowire devices were fully fabricated and I-V measurements confirmed that Cyclotene effectively planarizes the NWs while still serving the role as an insulating layer between the top and bottom contacts. These processes and analysis can be easily implemented into future characterization and fabrication of ensemble NWs for optoelectronic device applications.

  9. Contact planarization of ensemble nanowires.

    PubMed

    Chia, A C E; LaPierre, R R

    2011-06-17

    The viability of four organic polymers (S1808, SC200, SU8 and Cyclotene) as filling materials to achieve planarization of ensemble nanowire arrays is reported. Analysis of the porosity, surface roughness and thermal stability of each filling material was performed. Sonication was used as an effective method to remove the tops of the nanowires (NWs) to achieve complete planarization. Ensemble nanowire devices were fully fabricated and I-V measurements confirmed that Cyclotene effectively planarizes the NWs while still serving the role as an insulating layer between the top and bottom contacts. These processes and analysis can be easily implemented into future characterization and fabrication of ensemble NWs for optoelectronic device applications.

  10. Advanced fabrication of Si nanowire FET structures by means of a parallel approach.

    PubMed

    Li, J; Pud, S; Mayer, D; Vitusevich, S

    2014-07-11

    In this paper we present fabricated Si nanowires (NWs) of different dimensions with enhanced electrical characteristics. The parallel fabrication process is based on nanoimprint lithography using high-quality molds, which facilitates the realization of 50 nm-wide NW field-effect transistors (FETs). The imprint molds were fabricated by using a wet chemical anisotropic etching process. The wet chemical etch results in well-defined vertical sidewalls with edge roughness (3σ) as small as 2 nm, which is about four times better compared with the roughness usually obtained for reactive-ion etching molds. The quality of the mold was studied using atomic force microscopy and scanning electron microscopy image data. The use of the high-quality mold leads to almost 100% yield during fabrication of Si NW FETs as well as to an exceptional quality of the surfaces of the devices produced. To characterize the Si NW FETs, we used noise spectroscopy as a powerful method for evaluating device performance and the reliability of structures with nanoscale dimensions. The Hooge parameter of fabricated FET structures exhibits an average value of 1.6 × 10(-3). This value reflects the high quality of Si NW FETs fabricated by means of a parallel approach that uses a nanoimprint mold and cost-efficient technology.

  11. Tuning the morphology of self-assisted GaP nanowires

    NASA Astrophysics Data System (ADS)

    Leshchenko, E. D.; Kuyanov, P.; LaPierre, R. R.; Dubrovskii, V. G.

    2018-06-01

    Patterned arrays of self-assisted GaP nanowires (NWs) were grown on a Si substrate by gas source molecular beam epitaxy using various V/III flux ratios from 1–6, and various pitches from 360–1000 nm. As the V/III flux ratio was increased from 1–6, the NWs showed a change in morphology from outward tapering to straight, and eventually to inward tapering. The morphologies of the self-assisted GaP NWs are well described by a simple kinetic equation for the NW radius versus the position along the NW axis. The most important growth parameter that governs the NW morphology is the V/III flux ratio. Sharpened NWs with a stable radius equal to only 12 nm at a V/III flux of 6 were achieved, demonstrating their suitability for the insertion of quantum dots.

  12. Tuning the morphology of self-assisted GaP nanowires.

    PubMed

    Leshchenko, E D; Kuyanov, P; LaPierre, R R; Dubrovskii, V G

    2018-06-01

    Patterned arrays of self-assisted GaP nanowires (NWs) were grown on a Si substrate by gas source molecular beam epitaxy using various V/III flux ratios from 1-6, and various pitches from 360-1000 nm. As the V/III flux ratio was increased from 1-6, the NWs showed a change in morphology from outward tapering to straight, and eventually to inward tapering. The morphologies of the self-assisted GaP NWs are well described by a simple kinetic equation for the NW radius versus the position along the NW axis. The most important growth parameter that governs the NW morphology is the V/III flux ratio. Sharpened NWs with a stable radius equal to only 12 nm at a V/III flux of 6 were achieved, demonstrating their suitability for the insertion of quantum dots.

  13. Characterization of electrical properties in axial Si-Ge nanowire heterojunctions using off-axis electron holography and atom-probe tomography

    DOE PAGES

    Gan, Zhaofeng; Perea, Daniel E.; Yoo, Jinkyoung; ...

    2016-09-13

    Doped Si-Ge nanowire (NW) heterojunctions were grown using the vapor-liquid-solid method with AuGa and Au catalyst particles. Transmission electron microscopy and off-axis electron holography (EH) were used to characterize the nanostructure and to measure the electrostatic potential profile across the junction resulting from electrically active dopants, while atom-probe tomography (APT) was used to determine the Si, Ge and total (active and inactive) dopant concentration profiles. A comparison of the measured potential profile with simulations indicated that Ga dopants unintentionally introduced during AuGa catalyst growth were electronically inactive despite APT results that showed considerable amounts of Ga in the Si region.more » 10% P in Ge and 100% B in Si were estimated to be activated, which was corroborated by in situ electron-holography biasing experiments. This combination of EH, APT, in situ biasing and simulations allows a better knowledge and understanding of the electrically active dopant distributions in NWs.« less

  14. Mapping carrier diffusion in single silicon core-shell nanowires with ultrafast optical microscopy.

    PubMed

    Seo, M A; Yoo, J; Dayeh, S A; Picraux, S T; Taylor, A J; Prasankumar, R P

    2012-12-12

    Recent success in the fabrication of axial and radial core-shell heterostructures, composed of one or more layers with different properties, on semiconductor nanowires (NWs) has enabled greater control of NW-based device operation for various applications. (1-3) However, further progress toward significant performance enhancements in a given application is hindered by the limited knowledge of carrier dynamics in these structures. In particular, the strong influence of interfaces between different layers in NWs on transport makes it especially important to understand carrier dynamics in these quasi-one-dimensional systems. Here, we use ultrafast optical microscopy (4) to directly examine carrier relaxation and diffusion in single silicon core-only and Si/SiO(2) core-shell NWs with high temporal and spatial resolution in a noncontact manner. This enables us to reveal strong coherent phonon oscillations and experimentally map electron and hole diffusion currents in individual semiconductor NWs for the first time.

  15. Quantifying surface roughness effects on phonon transport in silicon nanowires.

    PubMed

    Lim, Jongwoo; Hippalgaonkar, Kedar; Andrews, Sean C; Majumdar, Arun; Yang, Peidong

    2012-05-09

    Although it has been qualitatively demonstrated that surface roughness can reduce the thermal conductivity of crystalline Si nanowires (SiNWs), the underlying reasons remain unknown and warrant quantitative studies and analysis. In this work, vapor-liquid-solid (VLS) grown SiNWs were controllably roughened and then thoroughly characterized with transmission electron microscopy to obtain detailed surface profiles. Once the roughness information (root-mean-square, σ, correlation length, L, and power spectra) was extracted from the surface profile of a specific SiNW, the thermal conductivity of the same SiNW was measured. The thermal conductivity correlated well with the power spectra of surface roughness, which varies as a power law in the 1-100 nm length scale range. These results suggest a new realm of phonon scattering from rough interfaces, which restricts phonon transport below the Casimir limit. Insights gained from this study can help develop a more concrete theoretical understanding of phonon-surface roughness interactions as well as aid the design of next generation thermoelectric devices.

  16. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    PubMed

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  17. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  18. Growth strategies to control tapering in Ge nanowires

    NASA Astrophysics Data System (ADS)

    Periwal, P.; Baron, T.; Gentile, P.; Salem, B.; Bassani, F.

    2014-04-01

    We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs). Ge NWs were grown on Si (111) substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  19. Scaling and Graphical Transport-Map Analysis of Ambipolar Schottky-Barrier Thin-Film Transistors Based on a Parallel Array of Si Nanowires.

    PubMed

    Jeon, Dae-Young; Pregl, Sebastian; Park, So Jeong; Baraban, Larysa; Cuniberti, Gianaurelio; Mikolajick, Thomas; Weber, Walter M

    2015-07-08

    Si nanowire (Si-NW) based thin-film transistors (TFTs) have been considered as a promising candidate for next-generation flexible and wearable electronics as well as sensor applications with high performance. Here, we have fabricated ambipolar Schottky-barrier (SB) TFTs consisting of a parallel array of Si-NWs and performed an in-depth study related to their electrical performance and operation mechanism through several electrical parameters extracted from the channel length scaling based method. Especially, the newly suggested current-voltage (I-V) contour map clearly elucidates the unique operation mechanism of the ambipolar SB-TFTs, governed by Schottky-junction between NiSi2 and Si-NW. Further, it reveals for the first-time in SB based FETs the important internal electrostatic coupling between the channel and externally applied voltages. This work provides helpful information for the realization of practical circuits with ambipolar SB-TFTs that can be transferred to different substrate technologies and applications.

  20. Solution synthesis of lead seeded germanium nanowires and branched nanowire networks and their application as Li-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Flynn, Grace; Palaniappan, Kumaranand; Sheehan, Martin; Kennedy, Tadhg; Ryan, Kevin M.

    2017-06-01

    Herein, we report the high density growth of lead seeded germanium nanowires (NWs) and their development into branched nanowire networks suitable for application as lithium ion battery anodes. The synthesis of the NWs from lead seeds occurs simultaneously in both the liquid zone (solution-liquid-solid (SLS) growth) and solvent rich vapor zone (vapor-liquid-solid (VLS) growth) of a high boiling point solvent growth system. The reaction is sufficiently versatile to allow for the growth of NWs directly from either an evaporated catalyst layer or from pre-defined nanoparticle seeds and can be extended to allowing extensive branched nanowire formation in a secondary reaction where these seeds are coated onto existing wires. The NWs are characterized using TEM, SEM, XRD and DF-STEM. Electrochemical analysis was carried out on both the single crystal Pb-Ge NWs and the branched Pb-Ge NWs to assess their suitability for use as anodes in a Li-ion battery. Differential capacity plots show both the germanium wires and the lead seeds cycle lithium and contribute to the specific capacity that is approximately 900 mAh g-1 for the single crystal wires, rising to approximately 1100 mAh g-1 for the branched nanowire networks.

  1. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder.

    PubMed

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-14

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ∼5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of 'chaplet-like' structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ∼5 to ∼3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  2. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    NASA Astrophysics Data System (ADS)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  3. A silicon nanowire-reduced graphene oxide composite as a high-performance lithium ion battery anode material.

    PubMed

    Ren, Jian-Guo; Wang, Chundong; Wu, Qi-Hui; Liu, Xiang; Yang, Yang; He, Lifang; Zhang, Wenjun

    2014-03-21

    Toward the increasing demands of portable energy storage and electric vehicle applications, silicon has been emerging as a promising anode material for lithium-ion batteries (LIBs) owing to its high specific capacity. However, serious pulverization of bulk silicon during cycling limits its cycle life. Herein, we report a novel hierarchical Si nanowire (Si NW)-reduced graphene oxide (rGO) composite fabricated using a solvothermal method followed by a chemical vapor deposition process. In the composite, the uniform-sized [111]-oriented Si NWs are well dispersed on the rGO surface and in between rGO sheets. The flexible rGO enables us to maintain the structural integrity and to provide a continuous conductive network of the electrode, which results in over 100 cycles serving as an anode in half cells at a high lithium storage capacity of 2300 mA h g(-1). Due to its [111] growth direction and the large contact area with rGO, the Si NWs in the composite show substantially enhanced reaction kinetics compared with other Si NWs or Si particles.

  4. Surface effects on the thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  5. Tip-Enhanced Photoinduced Electron Transfer and Ionization on Vertical Silicon Nanowires.

    PubMed

    Chen, Xiaoming; Wang, Tao; Lin, Leimiao; Wo, Fangjie; Liu, Yaqin; Liang, Xiao; Ye, Hui; Wu, Jianmin

    2018-05-02

    Nanostructured semiconductors are one of the most potent candidates for matrix-free laser desorption/ionization mass spectrometric (LDI-MS) analysis of low-molecular-weight molecules. Herein, the enhanced photoinduced electron transfer and LDI on the tip of a vertical silicon nanowire (SiNW) array were investigated. Theoretical simulation and LDI detection of indigo and isatin molecules in negative ion mode revealed that the electric field can be enhanced on the tip end of SiNWs, thereby promoting the energy and electron transfer to the analytes adsorbed on the tip of SiNWs. On the basis of this finding, a tip-contact sampling method coupled with LDI-MS detection was established. In this strategy, the tip of SiNWs can be regarded as microextraction heads for the sampling of molecules when they come in contact with analytes. Impression of skin, tissue, and pericarp on the vertical SiNW array can effectively transfer endogenous metabolites or exogenous substances onto the tip. Upon laser irradiation, the adsorbed molecules on the SiNW tip can be efficiently ionized and detected in negative ion mode because of the tip-enhanced electron transfer and LDI effect. We believe this work may significantly expand the application of LDI-MS in various fields.

  6. Kinked silicon nanowires-enabled interweaving electrode configuration for lithium-ion batteries.

    PubMed

    Sandu, Georgiana; Coulombier, Michael; Kumar, Vishank; Kassa, Hailu G; Avram, Ionel; Ye, Ran; Stopin, Antoine; Bonifazi, Davide; Gohy, Jean-François; Leclère, Philippe; Gonze, Xavier; Pardoen, Thomas; Vlad, Alexandru; Melinte, Sorin

    2018-06-28

    A tri-dimensional interweaving kinked silicon nanowires (k-SiNWs) assembly, with a Ni current collector co-integrated, is evaluated as electrode configuration for lithium ion batteries. The large-scale fabrication of k-SiNWs is based on a procedure for continuous metal assisted chemical etching of Si, supported by a chemical peeling step that enables the reuse of the Si substrate. The kinks are triggered by a simple, repetitive etch-quench sequence in a HF and H 2 O 2 -based etchant. We find that the inter-locking frameworks of k-SiNWs and multi-walled carbon nanotubes exhibit beneficial mechanical properties with a foam-like behavior amplified by the kinks and a suitable porosity for a minimal electrode deformation upon Li insertion. In addition, ionic liquid electrolyte systems associated with the integrated Ni current collector repress the detrimental effects related to the Si-Li alloying reaction, enabling high cycling stability with 80% capacity retention (1695 mAh/g Si ) after 100 cycles. Areal capacities of 2.42 mAh/cm 2 (1276 mAh/g electrode ) can be achieved at the maximum evaluated thickness (corresponding to 1.3 mg Si /cm 2 ). This work emphasizes the versatility of the metal assisted chemical etching for the synthesis of advanced Si nanostructures for high performance lithium ion battery electrodes.

  7. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction.

    PubMed

    Hsu, Hsun-Feng; Huang, Wan-Ru; Chen, Ting-Hsuan; Wu, Hwang-Yuan; Chen, Chun-An

    2013-05-10

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.

  8. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction

    PubMed Central

    2013-01-01

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation. PMID:23663726

  9. In situ TEM observation of preferential amorphization in single crystal Si nanowire

    NASA Astrophysics Data System (ADS)

    Su, Jiangbin; Zhu, Xianfang

    2018-06-01

    The nanoinstability of a single crystal Si nanowire under electron beam irradiation was in situ investigated at room temperature by the transmission electron microscopy technique. It was observed that the Si nanowire amorphized preferentially from the surface towards the center, with the increasing of the electron dose. In contrast, in the center of the Si nanowire the amorphization seemed much more difficult, being accompanied by the rotation of crystal grains and the compression of d-spacing. Such a preferential amorphization, which is athermally induced by the electron beam irradiation, can be well accounted for by our proposed concepts of the nanocurvature effect and the energetic beam-induced athermal activation effect, while the classical knock-on mechanism and the electron beam heating effect seem inadequate to explain these processes. Furthermore, the findings revealed the difference of amorphization between a Si nanowire and a Si film under electron beam irradiation. Also, the findings have important implications for the nanoinstability and nanoprocessing of future Si nanowire-based devices.

  10. In situ TEM observation of preferential amorphization in single crystal Si nanowire.

    PubMed

    Su, Jiangbin; Zhu, Xianfang

    2018-06-08

    The nanoinstability of a single crystal Si nanowire under electron beam irradiation was in situ investigated at room temperature by the transmission electron microscopy technique. It was observed that the Si nanowire amorphized preferentially from the surface towards the center, with the increasing of the electron dose. In contrast, in the center of the Si nanowire the amorphization seemed much more difficult, being accompanied by the rotation of crystal grains and the compression of d-spacing. Such a preferential amorphization, which is athermally induced by the electron beam irradiation, can be well accounted for by our proposed concepts of the nanocurvature effect and the energetic beam-induced athermal activation effect, while the classical knock-on mechanism and the electron beam heating effect seem inadequate to explain these processes. Furthermore, the findings revealed the difference of amorphization between a Si nanowire and a Si film under electron beam irradiation. Also, the findings have important implications for the nanoinstability and nanoprocessing of future Si nanowire-based devices.

  11. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  12. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  13. Determining the confined optical length of high index vertical Si nanoforest arrays for photonic applications

    NASA Astrophysics Data System (ADS)

    Chaliyawala, Harsh A.; Purohit, Zeel; Khanna, Sakshum; Ray, Abhijit; Pati, Ranjan K.; Mukhopadhyay, Indrajit

    2018-06-01

    The structural and the optical properties of different Si nanostructures have been compared. Detailed optical properties of Si nanowires arrays of different optical lengths, fabricated by facile electroless etching technique, have been reported. The theoretical calculation of exponential sine profile at constant λ = 600 nm shows a better explanation in terms of gradient index with optical length for vertical nanowires. The observations signify the possibility of strong light trapping due to an exponential gradient towards the high index along the nanowires and the existence of dense subwavelength features. The optical admittance (Ƶ) shows a strong impact on optical distance (Z) for Z < H, owing to the electromagnetic wave interaction with the nanowires that perceive a different Ƶ at the oblique angle of incidence (AOI). In addition, the experimental reflectance data and the theoretical model for transverse electric and transverse magnetic modes predict that an optical length of 5 μm can exhibit a very low reflectance value. This indicates that the Si nanowires are polarization insensitive over a wide range of AOI (0°-80°). Moreover, Raman spectra showed a very strong light confinement effect in the first order transverse optical band with increasing etching depths. The morphological dependent resonance theory predicts a strong localized light field confinement in the lower wavelength regime for SiNWs. The effect on the strong resonant absorption modes was further correlated with the simulation results obtained by using COMSOL. The obtained results are likely to enhance the maximum absorption of SiNWs for various photonic applications.

  14. A facile fluorescent sensor based on silicon nanowires for dithionite

    NASA Astrophysics Data System (ADS)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  15. Enhanced Flexural Strength of Tellurium Nanowires/epoxy Composites with the Reinforcement Effect of Nanowires

    NASA Astrophysics Data System (ADS)

    Balguri, Praveen Kumar; Harris Samuel, D. G.; Aditya, D. B.; Vijaya Bhaskar, S.; Thumu, Udayabhaskararao

    2018-02-01

    Investigating the mechanical properties of polymer nanocomposite materials has been greatly increased in the last decade. In particular, flexural strength plays a major role in resisting bending and shear loads of a composite material. Here, one dimensional (1D) tellurium nanowires (TeNWs) reinforced epoxy composites have been prepared and the flexural properties of resulted TeNWs/epoxy nanocomposites are studied. The diameter and length of the TeNWs used to make TeNWs/epoxy nanocomposites are 21±2.5 nm and 697±87 nm, respectively. Plain and TeNWs/epoxy nanocomposites are characterized by X-ray diffraction (XRD), thermogravimetric analysis (TGA), and differential thermal analysis (DTA). Furthermore, significant enhancement in the flexural strength of TeNWs/epoxy nanocomposite is observed in comparison to plain epoxy composite, i.e. flexural strength is increased by 65% with the addition of very little amount of TeNWs content (0.05 wt.%) to epoxy polymer. Structural details of plain and TeNWs/epoxy at micrometer scale were examined by scanning electron microscopy (SEM). We believe that our results provide a new type of semiconductor nanowires based high strength epoxy polymer nanocomposites.

  16. Silicon nanowires reliability and robustness investigation using AFM-based techniques

    NASA Astrophysics Data System (ADS)

    Bieniek, Tomasz; Janczyk, Grzegorz; Janus, Paweł; Grabiec, Piotr; Nieprzecki, Marek; Wielgoszewski, Grzegorz; Moczała, Magdalena; Gotszalk, Teodor; Buitrago, Elizabeth; Badia, Montserrat F.; Ionescu, Adrian M.

    2013-07-01

    Silicon nanowires (SiNWs) have undergone intensive research for their application in novel integrated systems such as field effect transistor (FET) biosensors and mass sensing resonators profiting from large surface-to-volume ratios (nano dimensions). Such devices have been shown to have the potential for outstanding performances in terms of high sensitivity, selectivity through surface modification and unprecedented structural characteristics. This paper presents the results of mechanical characterization done for various types of suspended SiNWs arranged in a 3D array. The characterization has been performed using techniques based on atomic force microscopy (AFM). This investigation is a necessary prerequisite for the reliable and robust design of any biosensing system. This paper also describes the applied investigation methodology and reports measurement results aggregated during series of AFM-based tests.

  17. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  18. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  19. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  20. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  1. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  2. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  3. SbSI Nanosensors: from Gel to Single Nanowire Devices

    NASA Astrophysics Data System (ADS)

    Mistewicz, Krystian; Nowak, Marian; Paszkiewicz, Regina; Guiseppi-Elie, Anthony

    2017-02-01

    The gas-sensing properties of antimony sulfoiodide (SbSI) nanosensors have been tested for humidity and carbon dioxide in nitrogen. The presented low-power SbSI nanosensors have operated at relatively low temperature and have not required heating system for recovery. Functionality of sonochemically prepared SbSI nanosensors made of xerogel as well as single nanowires has been compared. In the latter case, small amount of SbSI nanowires has been aligned in electric field and bonded ultrasonically to Au microelectrodes. The current and photocurrent responses of SbSI nanosensors have been investigated as function of relative humidity. Mechanism of light-induced desorption of H2O from SbSI nanowires' surface has been discussed. SbSI nanosensors have been tested for concentrations from 51 to 106 ppm of CO2 in N2, exhibiting a low detection limit of 40(31) ppm. The current response sensitivity has shown a tendency to decrease with increasing CO2 concentration. The experimental results have been explained taking into account proton-transfer process and Grotthuss' chain reaction, as well as electronic theory of adsorption and catalysis on semiconductors.

  4. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE PAGES

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor; ...

    2018-04-04

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  5. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  6. A High-Efficiency Si Nanowire Array/Perovskite Hybrid Solar Cell.

    PubMed

    Yan, Xin; Zhang, Chen; Wang, Jiamin; Zhang, Xia; Ren, Xiaomin

    2017-12-01

    A low-cost Si nanowire array/perovskite hybrid solar cell is proposed and simulated. The solar cell consists of a Si p-i-n nanowire array filled with CH 3 NH 3 PbI 3 , in which both the nanowires and perovskite absorb the incident light while the nanowires act as the channels for transporting photo-generated electrons and holes. The hybrid structure has a high absorption efficiency in a broad wavelength range of 300~800 nm. A large short-circuit current density of 28.8 mA/cm 2 and remarkable conversion efficiency of 13.3% are obtained at a thin absorber thickness of 1.6 μm, which are comparable to the best results of III-V nanowire solar cells.

  7. Simultaneous Detection of α-Fetoprotein and Carcinoembryonic Antigen Based on Si Nanowire Field-Effect Transistors.

    PubMed

    Zhu, Kuiyu; Zhang, Ye; Li, Zengyao; Zhou, Fan; Feng, Kang; Dou, Huiqiang; Wang, Tong

    2015-08-05

    Primary hepatic carcinoma (PHC) is one of the most common malignancies worldwide, resulting in death within six to 20 months. The survival rate can be improved by effective treatments when diagnosed at an early stage. The α-fetoprotein (AFP) and carcinoembryonic antigen (CEA) have been identified as markers that are expressed at higher levels in PHC patients. In this study, we employed silicon nanowire field-effect transistors (SiNW-FETs) with polydimethylsiloxane (PDMS) microfluidic channels to simultaneously detect AFP and CEA in desalted human serum. Dual-channel PDMS was first utilized for the selective modification of AFP and CEA antibodies on SiNWs, while single-channel PDMS offers faster and more sensitive detection of AFP and CEA in serum. During the SiNW modification process, 0.1% BSA was utilized to minimize nonspecific protein binding from serum. The linear dynamic ranges for the AFP and CEA detection were measured to be 500 fg/mL to 50 ng/mL and 50 fg/mL to 10 ng/mL, respectively. Our work demonstrates the promising potential of fabricated SiNW-FETs as a direct detection kit for multiple tumor markers in serum; therefore, it provides a chance for early stage diagnose and, hence, more effective treatments for PHC patients.

  8. Dependence of Morphology of SiOx Nanowires on the Supersaturation of Au-Si Alloy Liquid Droplets Formed on the Au-Coated Si Substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Han; Li, Ji-Xue; Jin, Ai-Zi; Zhang, Ze

    2001-11-01

    A thermodynamic theory about the dependence of morphology of SiOx nanowires on the super-saturation of alloy liquid droplets has been proposed on the basis of the vapour-liquid-solid growth mechanism and has been supported experimentally. By changing the Si concentration in the Au-Si liquid droplets formed on the Au-coated Si substrate, firework-, tulip- and bud-shaped SiOx nanowires were synthesized by a thermal evaporation method and distributed concentrically around some void defects in the Si substrate. Voids were formed underneath the surface of the Si substrate during the thermal evaporation at 850°C and resulted in the Si-concentration deficient thus different saturation of Au-Si droplets. Electron microscopy analysis showed that the nanowires had an amorphous structure and were terminated by Au-Si particles.

  9. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  10. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  11. Electrical properties of sub-100 nm SiGe nanowires

    NASA Astrophysics Data System (ADS)

    Hamawandi, B.; Noroozi, M.; Jayakumar, G.; Ergül, A.; Zahmatkesh, K.; Toprak, M. S.; Radamson, H. H.

    2016-10-01

    In this study, the electrical properties of SiGe nanowires in terms of process and fabrication integrity, measurement reliability, width scaling, and doping levels were investigated. Nanowires were fabricated on SiGe-on oxide (SGOI) wafers with thickness of 52 nm and Ge content of 47%. The first group of SiGe wires was initially formed by using conventional I-line lithography and then their size was longitudinally reduced by cutting with a focused ion beam (FIB) to any desired nanometer range down to 60 nm. The other nanowire group was manufactured directly to a chosen nanometer level by using sidewall transfer lithography (STL). It has been shown that the FIB fabrication process allows manipulation of the line width and doping level of nanowires using Ga atoms. The resistance of wires thinned by FIB was 10 times lower than STL wires which shows the possible dependency of electrical behavior on fabrication method. Project support by the Swedish Foundation for Strategic Research “SSF” (No. EM-011-0002) and the Scientific and Technological Research Council of Turkey (No. TÜBİTAK).

  12. Velcro-Inspired SiC Fuzzy Fibers for Aerospace Applications.

    PubMed

    Hart, Amelia H C; Koizumi, Ryota; Hamel, John; Owuor, Peter Samora; Ito, Yusuke; Ozden, Sehmus; Bhowmick, Sanjit; Syed Amanulla, Syed Asif; Tsafack, Thierry; Keyshar, Kunttal; Mital, Rahul; Hurst, Janet; Vajtai, Robert; Tiwary, Chandra Sekhar; Ajayan, Pulickel M

    2017-04-19

    The most recent and innovative silicon carbide (SiC) fiber ceramic matrix composites, used for lightweight high-heat engine parts in aerospace applications, are woven, layered, and then surrounded by a SiC ceramic matrix composite (CMC). To further improve both the mechanical properties and thermal and oxidative resistance abilities of this material, SiC nanotubes and nanowires (SiCNT/NWs) are grown on the surface of the SiC fiber via carbon nanotube conversion. This conversion utilizes the shape memory synthesis (SMS) method, starting with carbon nanotube (CNT) growth on the SiC fiber surface, to capitalize on the ease of dense surface morphology optimization and the ability to effectively engineer the CNT-SiC fiber interface to create a secure nanotube-fiber attachment. Then, by converting the CNTs to SiCNT/NWs, the relative morphology, advantageous mechanical properties, and secure connection of the initial CNT-SiC fiber architecture are retained, with the addition of high temperature and oxidation resistance. The resultant SiCNT/NW-SiC fiber can be used inside the SiC ceramic matrix composite for a high-heat turbo engine part with longer fatigue life and higher temperature resistance. The differing sides of the woven SiCNT/NWs act as the "hook and loop" mechanism of Velcro but in much smaller scale.

  13. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  14. Precise and selective sensing of DNA-DNA hybridization by graphene/Si-nanowires diode-type biosensors.

    PubMed

    Kim, Jungkil; Park, Shin-Young; Kim, Sung; Lee, Dae Hun; Kim, Ju Hwan; Kim, Jong Min; Kang, Hee; Han, Joong-Soo; Park, Jun Woo; Lee, Hosun; Choi, Suk-Ho

    2016-08-18

    Single-Si-nanowire (NW)-based DNA sensors have been recently developed, but their sensitivity is very limited because of high noise signals, originating from small source-drain current of the single Si NW. Here, we demonstrate that chemical-vapor-deposition-grown large-scale graphene/surface-modified vertical-Si-NW-arrays junctions can be utilized as diode-type biosensors for highly-sensitive and -selective detection of specific oligonucleotides. For this, a twenty-seven-base-long synthetic oligonucleotide, which is a fragment of human DENND2D promoter sequence, is first decorated as a probe on the surface of vertical Si-NW arrays, and then the complementary oligonucleotide is hybridized to the probe. This hybridization gives rise to a doping effect on the surface of Si NWs, resulting in the increase of the current in the biosensor. The current of the biosensor increases from 19 to 120% as the concentration of the target DNA varies from 0.1 to 500 nM. In contrast, such biosensing does not come into play by the use of the oligonucleotide with incompatible or mismatched sequences. Similar results are observed from photoluminescence microscopic images and spectra. The biosensors show very-uniform current changes with standard deviations ranging ~1 to ~10% by ten-times endurance tests. These results are very promising for their applications in accurate, selective, and stable biosensing.

  15. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.

    PubMed

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei

    2015-11-16

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.

  16. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire

    PubMed Central

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C.; Luo, Tengfei

    2015-01-01

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics. PMID:26568511

  17. Nanoparticle-density-dependent field emission of surface-decorated SiC nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Qizheng; School of Materials and Chemical Engineering, Ningbo University of Technology, Ningbo City 315016; State Key Lab of New Fine Ceramics and Fine Processing, Tsinghua University, Beijing City 100084

    2016-08-22

    Increasing the electron emission site density of nanostructured emitters with limited field screening effects is one of the key issues for improving the field emission (FE) properties. In this work, we reported the Au-nanoparticles-density-dependent field emission behaviors of surface-decorated SiC nanowires. The Au nanoparticles (AuNPs) decorated around the surface of the SiC nanowires were achieved via an ion sputtering technique, by which the densities of the isolated AuNPs could be adjusted by controlling the fixed sputtering times. The measured FE characteristics demonstrated that the turn-on fields of the SiC nanowires were tuned to be of 2.06, 1.14, and 3.35 V/μm withmore » the increase of the decorated AuNPs densities, suggesting that a suitable decorated AuNPs density could render the SiC nanowires with totally excellent FE performances by increasing the emission sites and limiting the field screening effects.« less

  18. Broadband Solar Energy Harvesting in Single Nanowire Resonators

    NASA Astrophysics Data System (ADS)

    Yang, Yiming; Peng, Xingyue; Hyatt, Steven; Yu, Dong

    2015-03-01

    Sub-wavelength semiconductor nanowires (NWs) can have optical absorption cross sections far beyond their physical sizes at resonance frequencies, offering a powerful method to simultaneously lower the material consumption and enhance photovoltaic performance. The degree of absorption enhancement is expected to substantially increase in materials with high refractive indices, but this has not yet been experimentally demonstrated. Here, we show that the absorption efficiency can be significantly improved in high-index NWs, by a direct observation of 350% external quantum efficiency (EQE) in lead sulfide (PbS) NWs. Broadband absorption enhancement is also realized in tapered NWs, where light of different wavelength is absorbed at segments with different diameters analogous to a tandem solar cell. Our results quantitatively agree with the finite-difference-time-domain (FDTD) simulations. Overall, our single PbS NW Schottky solar cells taking advantage of optical resonance, near bandgap open circuit voltage, and long minority carrier diffusion length exhibit power conversion efficiency comparable to single Si NW coaxial p-n junction cells, while the fabrication complexity is greatly reduced.

  19. Negative Photoconductance in Heavily Doped Si Nanowire Field-Effect Transistors.

    PubMed

    Baek, Eunhye; Rim, Taiuk; Schütt, Julian; Baek, Chang-Ki; Kim, Kihyun; Baraban, Larysa; Cuniberti, Gianaurelio

    2017-11-08

    We report the first observation of negative photoconductance (NPC) in n- and p-doped Si nanowire field-effect transistors (FETs) and demonstrate the strong influence of doping concentrations on the nonconventional optical switching of the devices. Furthermore, we show that the NPC of Si nanowire FETs is dependent on the wavelength of visible light due to the phonon-assisted excitation to multiple conduction bands with different band gap energies that would be a distinct optoelectronic property of indirect band gap semiconductor. We attribute the main driving force of NPC in Si nanowire FETs to the photogenerated hot electrons trapping by dopants ions and interfacial states. Finally, comparing back- and top-gate modulation, we derive the mechanisms of the transition between negative and positive photoconductance regimes in nanowire devices. The transition is decided by the competition between the light-induced interfacial trapping and the recombination of mobile carriers, which is dependent on the light intensity and the doping concentration.

  20. Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires

    NASA Astrophysics Data System (ADS)

    Kagimura, R.; Nunes, R. W.; Chacham, H.

    2007-01-01

    We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.

  1. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along theirmore » edges.« less

  2. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  3. Gamma ray irradiated silicon nanowires: An effective model to investigate defects at the interface of Si/SiOx

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Kui; Zhao, Yi; Liu, Liangbin

    2014-01-20

    The effect of gamma ray irradiation on silicon nanowires was investigated. Here, an additional defect emerged in the gamma-ray-irradiated silicon nanowires and was confirmed with electron spin resonance spectra. {sup 29}Si nuclear magnetic resonance spectroscopy showed that irradiation doses had influence on the Q{sup 4} unit structure. This phenomenon indicated that the unique core/shell structure of silicon nanowires might contribute to induce metastable defects under gamma ray irradiation, which served as a satisfactory model to investigate defects at the interface of Si/SiOx.

  4. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  5. Vertical nanowire heterojunction devices based on a clean Si/Ge interface.

    PubMed

    Chen, Lin; Fung, Wayne Y; Lu, Wei

    2013-01-01

    Different vertical nanowire heterojunction devices were fabricated and tested based on vertical Ge nanowires grown epitaxially at low temperatures on (111) Si substrates with a sharp and clean Si/Ge interface. The nearly ideal Si/Ge heterojuctions with controlled and abrupt doping profiles were verified through material analysis and electrical characterizations. In the nSi/pGe heterojunction diode, an ideality factor of 1.16, subpicoampere reverse saturation current, and rectifying ratio of 10(6) were obtained, while the n+Si/p+Ge structure leads to Esaki tunnel diodes with a high peak tunneling current of 4.57 kA/cm(2) and negative differential resistance at room temperature. The large valence band discontinuity between the Ge and Si in the nanowire heterojunctions was further verified in the p+Si/pGe structure, which shows a rectifying behavior instead of an Ohmic contact and raises an important issue in making Ohmic contacts to heterogeneously integrated materials. A raised Si/Ge structure was further developed using a self-aligned etch process, allowing greater freedom in device design for applications such as the tunneling field-effect transistor (TFET). All measurement data can be well-explained and fitted with theoretical models with known bulk properties, suggesting that the Si/Ge nanowire system offers a very clean heterojunction interface with low defect density, and holds great potential as a platform for future high-density and high-performance electronics.

  6. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  7. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  8. Enhanced electronic and electrochemical properties of core-shelled V2O5-Pt nanowires

    NASA Astrophysics Data System (ADS)

    Pan, Ko-Ying; Wei, Da-Hua

    2018-01-01

    Platinum nanoparticles (Pt NPs) were decorated on vanadium pentoxide nanowires (V2O5 NWs) to form the core-shelled vanadium-platinum nanowires (Pt@V2O5 NWs) and their electrochemical activities for methanol oxidation were investigated. The synthetic procedure involved the synthesis of abundant vanadium pentoxide nanowires (V2O5 NWs) by a direct vapor-solid growth process (VS method), followed by atomic layer depositions (ALD) of platinum nanoparticles (Pt NPs) onto the V2O5 NWs. After the physical examinations, three designed deposition parameters (50, 100 and 150 cycles) of Pt NPs onto the V2O5 NWs by ALD process were successful. From the measurements of current-voltage (I-V) and cyclic voltammetry (CV) curves respectively, both the conductivity and the ratio of the forward anodic peak current (IF) to the reverse anodic peak current (IR) are enhancing proportionately to the deposition cycles of ALD process, which denotes that coating Pt atomic layers onto V2O5 nanowires indeed improves the catalytic performances than that of pure V2O5 nanowires.

  9. Micro-Raman investigations of InN-GaN core-shell nanowires on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Sangeetha, P.; Jeganathan, K.; Ramakrishnan, V.

    2013-06-01

    The electron-phonon interactions in InN-GaN core-shell nanowires grown by plasma assisted- molecular beam epitaxy (MBE) on Si (111) substrate have been analysed using micro-Raman spectroscopic technique with the excitation wavelength of 633, 488 and 325 nm. The Raman scattering at 633 nm reveals the characteristic E2 (high) and A1 (LO) phonon mode of InN core at 490 and 590 cm-1 respectively and E2 (high) phonon mode of GaN shell at 573 cm-1. The free carrier concentration of InN core is found to be low in the order ˜ 1016 cm-3 due to the screening of charge carriers by thin GaN shell. Diameter of InN core evaluated using the spatial correlation model is consistent with the transmission electron microscopic measurement of ˜15 nm. The phonon-life time of core-shell nanowire structure is estimated to be ˜0.4 ps. The micro-Raman mapping and its corresponding localised spectra for 325 nm excitation exhibit intense E2 (high) phonon mode of GaN shell at 573 cm-1 as the decrease of laser interaction length and the signal intensity is quenched at the voids due to high spacing of NWs.

  10. Nonlinear Conductive Behaviour of Silver Nanowires/Silicone Rubber Composites

    NASA Astrophysics Data System (ADS)

    Lu, Pin; Qu, Zhaoming; Wang, Qingguo; Bai, Liyun; Zhao, Shiyang

    2018-01-01

    Silver nanowires with an average length of 10 μm and diameter of about 90 nm have been synthesized by polyol reduction of silver nitrate in the presence of polyvinylpyrrolidone(PVP). Silver nanowires (AgNWs)/silicone rubber (SR) composites have been made by mixing silver nanowires into silicone rubber. The nonlinear response of AgNWs/SR composites under high electric field is investigated. The nonlinear Conductive behavior of composites is considered as a competitive process of several effects. From the perspective of the microstructure of composites, the conductive path is established by the quantum tunnel effect between silver nanowires. The influence factors on the conductivity of composites are discussed and analyzed. The results show that the AgNWs/SR composites with nonlinear conductive properties are of great potential application in electromagnetic protection of electron device and system.

  11. Silicon nanowires: electron holography studies of doped p-n junctions and biased Schottky barriers.

    PubMed

    He, Kai; Cho, Jeong-Hyun; Jung, Yeonwoong; Picraux, S Tom; Cumings, John

    2013-03-22

    We report an in situ examination of individual Si p-n junction nanowires (NWs) using off-axis electron holography (EH) during transmission electron microscopy. The SiNWs were synthesized by chemical vapor deposition with an axial dopant profile from n- to p-type, and then placed inside the transmission electron microscope as a cantilever geometry in contact with a movable Pt probe for in situ biasing measurements during simultaneous EH observations. The phase shift from EH indicates the potential shift between the p- and n-segments to be 1.03 ± 0.17 V due to the built-in voltage. The I-V characteristics of a single SiNW indicate the formation of a Schottky barrier between the NW tip and the movable Pt contact. EH observations show a strong concentration of electric field at this contact, preventing a change in the Si energy bands in the p-n junction region due to the applied bias.

  12. Electrical characterization of vertically stacked p-FET SOI nanowires

    NASA Astrophysics Data System (ADS)

    Cardoso Paz, Bruna; Cassé, Mikaël; Barraud, Sylvain; Reimbold, Gilles; Vinet, Maud; Faynot, Olivier; Antonio Pavanello, Marcelo

    2018-03-01

    This work presents the performance and transport characteristics of vertically stacked p-type MOSFET SOI nanowires (NWs) with inner spacers and epitaxial growth of SiGe raised source/drain. The conventional procedure to extract the effective oxide thickness (EOT) and Shift and Ratio Method (S&R) have been adapted and validated through tridimensional numerical simulations. Electrical characterization is performed for NWs with [1 1 0]- and [1 0 0]-oriented channels, as a function of both fin width (WFIN) and channel length (L). Results show a good electrostatic control and reduced short channel effects (SCE) down to 15 nm gate length, for both orientations. Effective mobility is found around two times higher for [1 1 0]- in comparison to [1 0 0]-oriented NWs due to higher holes mobility contribution in (1 1 0) plan. Improvements obtained on ION/IOFF by reducing WFIN are mainly due to subthreshold slope decrease, once small and none mobility increase is obtained for [1 1 0]- and [1 0 0]-oriented NWs, respectively.

  13. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  14. CdTe quantum-dot-modified ZnO nanowire heterostructure

    NASA Astrophysics Data System (ADS)

    Shahi, Kanchana; Singh, R. S.; Singh, Ajaya Kumar; Aleksandrova, Mariya; Khenata, Rabah

    2018-03-01

    The effect of CdTe quantum-dot (QD) decoration on the photoluminescence (PL) behaviour of ZnO nanowire (NW) array is presented in the present work. Highly crystalline and vertically 40-50 nm diameter range and 1 µm in length aligned ZnO NWs are synthesized using low-cost method. The crystallinity and morphology of the NWs are studied by scanning electron microscopy and X-ray powder diffraction methods.Optical properties of the nanowires are studied using photo-response and PL spectroscopy. CdTe QDs are successfully synthesized on ZnO nanowire surface by dip-coating method. ZnO NWs are sensitized with CdTe QDs characterized by transmission electron microscopy, energy-dispersive X-ray spectroscopy, and PL spectroscopy. The highly quenched PL intensity indicates the charge transfer at interface between CdTe QDs and ZnO NWs and is due to the formation of type-II heterostructure between QDs and NWs. Photo-response behaviour of heterostructure of the film is also been incorporated in the present work.

  15. Low Power Consumption Gas Sensor Created from Silicon Nanowires/TiO2 Core-Shell Heterojunctions.

    PubMed

    Liu, Dong; Lin, Leimiao; Chen, Qiaofen; Zhou, Hongzhi; Wu, Jianmin

    2017-10-27

    Silicon nanowires/TiO 2 (SiNWs/TiO 2 ) array with core-shell nanostructure was created by sol-gel and drop-casting methods. The hybrid material displayed excellent sensing performance for CH 4 detection at room temperature. The chemiresistor sensor has a linear response toward CH 4 gas in the 30-120 ppm range with a detection limit of 20 ppm, which is well below most CH 4 sensors reported before. The enhanced gas sensing performance at room temperature was attributed to the creation of heterojunctions that form a depletion layer at the interface of SiNWs and TiO 2 layer. Adsorption of oxygen and corresponding gas analyte on TiO 2 layer could induce the change of depletion layer thickness and consequently the width of the SiNWs conductive channel, leading to a sensitive conductive response toward gas analyte. Compared to conventional metal oxide gas sensors, the room temperature gas sensors constructed from SiNWs/TiO 2 do not need an additional heating device and work at power at the μW level. The low power consumption feature is of great importance for sensing devices, if they are widely deployed and connected to the Internet of Things. The innovation of room temperature sensing materials may push forward the integration of gas sensing element with wireless device.

  16. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  17. Atomistic investigations on the mechanical properties and fracture mechanisms of indium phosphide nanowires.

    PubMed

    Pial, Turash Haque; Rakib, Tawfiqur; Mojumder, Satyajit; Motalab, Mohammad; Akanda, M A Salam

    2018-03-28

    The mechanical properties of indium phosphide (InP) nanowires are an emerging issue due to the promising applications of these nanowires in nanoelectromechanical and microelectromechanical devices. In this study, molecular dynamics simulations of zincblende (ZB) and wurtzite (WZ) crystal structured InP nanowires (NWs) are presented under uniaxial tension at varying sizes and temperatures. It is observed that the tensile strengths of both types of NWs show inverse relationships with temperature, but are independent of the size of the nanowires. Moreover, applied load causes brittle fracture by nucleating cleavage on ZB and WZ NWs. When the tensile load is applied along the [001] direction, the direction of the cleavage planes of ZB NWs changes with temperature. It is found that the {111} planes are the cleavage planes at lower temperatures; on the other hand, the {110} cleavage planes are activated at elevated temperatures. In the case of WZ NWs, fracture of the material is observed to occur by cleaving along the (0001) plane irrespective of temperature when the tensile load is applied along the [0001] direction. Furthermore, the WZ NWs of InP show considerably higher strength than their ZB counterparts. Finally, the impact of strain rate on the failure behavior of InP NWs is also studied, and higher fracture strengths and strains at higher strain rates are found. With increasing strain rate, the number of cleavages also increases in the NWs. This paper also provides in-depth understanding of the failure behavior of InP NWs, which will aid the design of efficient InP NWs-based devices.

  18. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    PubMed Central

    2010-01-01

    We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively. PMID:21076699

  19. Fabrication of Coaxial Si1- x Ge x Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    NASA Astrophysics Data System (ADS)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-10-01

    We report on bifurcate reactions on the surface of well-aligned Si1- x Ge x nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1- x Ge x nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1- x Ge x or SiO2/Si1- x Ge x coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  20. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    PubMed

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  1. 3D hierarchical assembly of ultrathin MnO2 nanoflakes on silicon nanowires for high performance micro-supercapacitors in Li- doped ionic liquid

    PubMed Central

    Dubal, Deepak P.; Aradilla, David; Bidan, Gérard; Gentile, Pascal; Schubert, Thomas J.S.; Wimberg, Jan; Sadki, Saïd; Gomez-Romero, Pedro

    2015-01-01

    Building of hierarchical core-shell hetero-structures is currently the subject of intensive research in the electrochemical field owing to its potential for making improved electrodes for high-performance micro-supercapacitors. Here we report a novel architecture design of hierarchical MnO2@silicon nanowires (MnO2@SiNWs) hetero-structures directly supported onto silicon wafer coupled with Li-ion doped 1-Methyl-1-propylpyrrolidinium bis(trifluromethylsulfonyl)imide (PMPyrrBTA) ionic liquids as electrolyte for micro-supercapacitors. A unique 3D mesoporous MnO2@SiNWs in Li-ion doped IL electrolyte can be cycled reversibly across a voltage of 2.2 V and exhibits a high areal capacitance of 13 mFcm−2. The high conductivity of the SiNWs arrays combined with the large surface area of ultrathin MnO2 nanoflakes are responsible for the remarkable performance of these MnO2@SiNWs hetero-structures which exhibit high energy density and excellent cycling stability. This combination of hybrid electrode and hybrid electrolyte opens up a novel avenue to design electrode materials for high-performance micro-supercapacitors. PMID:25985388

  2. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  3. Reversal modes in FeCoNi nanowire arrays: Correlation between magnetostatic interactions and nanowires length

    NASA Astrophysics Data System (ADS)

    Samanifar, S.; Almasi Kashi, M.; Ramazani, A.; Alikhani, M.

    2015-03-01

    FeCoNi nanowire arrays (175 nm in diameter and lengths ranging from 5 to 40 μm) were fabricated into nanopores of hard-anodized aluminum oxide templates using pulsed ac electrodeposition technique. Increasing the length had no considerable effect on the composition and crystalline characteristics of Fe47Co38Ni15 nanowires (NWs). By eliminating the dendrites formed at the bottom of the pores, we report a careful investigation on the effect of magnetostatic interactions on magnetic properties and the effect of nanowire length on reversal modes. Hysteresis loop measurements indicated that increasing the length decreases coercivity and squareness values. On the other hand, first-order reversal curve measurements show a linear correlation between the magnetostatic interactions and length of NWs. Comparing reversal modes of the NWs both experimentally and theoretically using angular dependence of coercivity, we find that when L≤22 μm, a vortex domain wall mode is only occurred. When L>22 μm, a non-monotonic behavior indicates a transition from the vortex to transverse domain wall propagation. As a result, a critical length was found above which the transition between the reversal modes is occurred due the enhanced interactions. The transition angle also shifts toward a lower angle as the length increases. Moreover, with increasing length from 22 to 31 μm, the single domain structure of NWs changes to a pseudo single domain state. A multidomain-like behavior is also found for the longest NWs length.

  4. Theoretical prediction of novel ultrafine nanowires formed by Si12C12 cage-like clusters

    NASA Astrophysics Data System (ADS)

    Yong, Yongliang; Song, Bin; He, Pimo

    2014-02-01

    Using density functional theory calculations, we predict that novel SiC ultrafine nanowires can be produced via the coalescence of stable Si12C12 clusters. For the isolated Si12C12 clusters, we find that the cage-like structure with a distinct segregation between Si and C atoms is energetically more favourable than the fullerene-like structure with alternating Si-C bonds. Via the coalescence of Si12C12 clusters, three novel stable nanowires have been characterised. The band structure reveals that these nanowires are semiconductors with narrow gap, indicating that they may be used as infrared detectors and thermoelectrics.

  5. Ten-gram scale SiC@SiO2 nanowires: high-yield synthesis towards industrialization, in situ growth mechanism and their peculiar photoluminescence and electromagnetic wave absorption properties.

    PubMed

    Li, Z J; Yu, H Y; Song, G Y; Zhao, J; Zhang, H; Zhang, M; Meng, A L; Li, Q D

    2017-02-01

    SiC@SiO 2 nanowires, as a functional nanocomposite, have attracted widespread attention due to their fascinating performance and broad application prospect. However, the low-cost, high yield preparation of large-scale SiC@SiO 2 nanowires is still a bottleneck, which hinders their industrial application. Herein, a carbothermal reduction strategy has been developed to synthesize SiC@SiO 2 nanowires, which breaks through the handicap of the traditional growth pattern that uses the aid of a substrate. Systematic characterization results illustrate that the yield of the as-obtained products greatly depends on the heating rate, and ten-gram scale SiC@SiO 2 nanowires (∼27.2 g) composed of a cubic β-SiC core and homogeneous amorphous SiO 2 coating are achieved under the optimum process parameters. The in situ mechanisms of expansion-insertion-growth and inhibition of expansion-package-obstruction are proposed to rationally interpret the growth process of SiC@SiO 2 nanowires and the effect of various heating rates, respectively. Furthermore, the SiC@SiO 2 nanowires display violet-blue photoluminescence and electromagnetic wave absorption properties. This study not only provides some beneficial suggestions for the commercial production of SiC@SiO 2 nanowires, but also reveals promising applications of SiC@SiO 2 nanowires in the optical and electromagnetic shielding fields. Moreover, the developed novel in situ growth mechanism enriches the growth theory of one-dimension nanomaterials and offers inspiration for their industrial-scale production.

  6. Electromagnetic Field Enhancement on Axially Heterostructured NWs: The Role of the Heterojunctions

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Souto, J.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-05-01

    Semiconductor nanowires are the building blocks of future nanoelectronic devices. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. We carried out experimental measurements through the micro-Raman spectroscopy of different group IV nanowires, both homogeneous Si nanowires and axially heterostructured SiGe/Si nanowires. These experimental measurements show an enhancement of the Raman signal in the vicinity of the heterojunction of SiGe/Si nanowires. The results are analysed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances, and the results are understood as a consequence of a finite change in the relative permittivity of the material at the SiGe/Si heterojunction. This effect opens a path to controlling interactions between light and matter at the nanoscale with direct applications in photonic nanodevices.

  7. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  8. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  9. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  10. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  11. Fabricating nanowire devices on diverse substrates by simple transfer-printing methods.

    PubMed

    Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2010-06-01

    The fabrication of nanowire (NW) devices on diverse substrates is necessary for applications such as flexible electronics, conformable sensors, and transparent solar cells. Although NWs have been fabricated on plastic and glass by lithographic methods, the choice of device substrates is severely limited by the lithographic process temperature and substrate properties. Here we report three new transfer-printing methods for fabricating NW devices on diverse substrates including polydimethylsiloxane, Petri dishes, Kapton tapes, thermal release tapes, and many types of adhesive tapes. These transfer-printing methods rely on the differences in adhesion to transfer NWs, metal films, and devices from weakly adhesive donor substrates to more strongly adhesive receiver substrates. Electrical characterization of fabricated NW devices shows that reliable ohmic contacts are formed between NWs and electrodes. Moreover, we demonstrated that Si NW devices fabricated by the transfer-printing methods are robust piezoresistive stress sensors and temperature sensors with reliable performance.

  12. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  13. Strong polarization-dependent terahertz modulation of aligned Ag nanowires on Si substrate.

    PubMed

    Lee, Gyuseok; Maeng, Inhee; Kang, Chul; Oh, Myoung-Kyu; Kee, Chul-Sik

    2018-05-14

    Optically tunable, strong polarization-dependent transmission of terahertz pulses through aligned Ag nanowires on a Si substrate is demonstrated. Terahertz pulses primarily pass through the Ag nanowires and the transmittance is weakly dependent on the angle between the direction of polarization of the terahertz pulse and the direction of nanowire alignment. However, the transmission of a terahertz pulse through optically excited materials strongly depends on the polarization direction. The extinction ratio increases as the power of the pumping laser increases. The enhanced polarization dependency is explained by the redistribution of photocarriers, which accelerates the sintering effect along the direction of alignment of the Ag nanowires. The photocarrier redistribution effect is examined by the enhancement of terahertz emission from the sample. Oblique metal nanowires on Si could be utilized for designing optically tunable terahertz polarization modulators.

  14. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  15. Effects of Defects on the Mechanical Properties of Kinked Silicon Nanowires.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; He, Yunbo; Wong, Ching-Ping

    2017-12-01

    Kinked silicon nanowires (KSiNWs) have many special properties that make them attractive for a number of applications. The mechanical properties of KSiNWs play important roles in the performance of sensors. In this work, the effects of defects on the mechanical properties of KSiNWs are studied using molecular dynamics simulations and indirectly validated by experiments. It is found that kinks are weak points in the nanowire (NW) because of inharmonious deformation, resulting in a smaller elastic modulus than that of straight NWs. In addition, surface defects have more significant effects on the mechanical properties of KSiNWs than internal defects. The effects of the width or the diameter of the defects are larger than those of the length of the defects. Overall, the elastic modulus of KSiNWs is not sensitive to defects; therefore, KSiNWs have a great potential as strain or stress sensors in special applications.

  16. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  17. Fabrication of gallium nitride nanowires by metal-assisted photochemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Miao-Rong; Jiang, Qing-Mei; Zhang, Shao-Hui; Wang, Zu-Gang; Hou, Fei; Pan, Ge-Bo

    2017-11-01

    Gallium nitride (GaN) nanowires (NWs) were fabricated by metal-assisted photochemical etching (MaPEtch). Gold nanoparticles (AuNPs) as metal catalyst were electrodeposited on the GaN substrate. SEM and HRTEM images show the surface of GaN NWs is smooth and clean without any impurity. SAED and FFT patterns demonstrate GaN NWs have single crystal structure, and the crystallographic orientation of GaN NWs is (0002) face. On the basis of the assumption of localized galvanic cells, combined with the energy levels and electrochemical potentials of reactants in this etching system, the generation, transfer and consumption of electron-hole pairs reveal the whole MaPEtch reaction process. Such easily fabricated GaN NWs have great potential for the assembly of GaN-based single-nanowire nanodevices.

  18. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  19. Hydrazine-Assisted Formation of Indium Phosphide (InP)-Based Nanowires and Core-Shell Composites.

    PubMed

    Patzke, Greta R; Kontic, Roman; Shiolashvili, Zeinab; Makhatadze, Nino; Jishiashvili, David

    2012-12-27

    Indium phosphide nanowires (InP NWs) are accessible at 440 °C from a novel vapor phase deposition approach from crystalline InP sources in hydrazine atmospheres containing 3 mol % H₂O. Uniform zinc blende (ZB) InP NWs with diameters around 20 nm and lengths up to several tens of micrometers are preferably deposited on Si substrates. InP particle sizes further increase with the deposition temperature. The straightforward protocol was extended on the one-step formation of new core-shell InP-Ga NWs from mixed InP/Ga source materials. Composite nanocables with diameters below 20 nm and shells of amorphous gallium oxide are obtained at low deposition temperatures around 350 °C. Furthermore, InP/Zn sources afford InP NWs with amorphous Zn/P/O-coatings at slightly higher temperatures (400 °C) from analogous setups. At 450 °C, the smooth outer layer of InP-Zn NWs is transformed into bead-shaped coatings. The novel combinations of the key semiconductor InP with isotropic insulator shell materials open up interesting application perspectives in nanoelectronics.

  20. Modification of SiO2 nanowires with metallic nanocrystals from supercritical CO2.

    PubMed

    Ye, Xiang-Rong; Zhang, Hai-Feng; Lin, Yuehe; Wang, Lai-Sheng; Wai, Chien M

    2004-01-01

    Through hydrogen reduction of metal precursors in supercritical CO2, Cu, and Pd, nanocrystals were deposited onto SiO2 nanowires to form different types of nanostructured materials, including nanocrystal-nanowire, spherical aggregation-nanowire, shell-nanowire composites, and "mesoporous" metals supported by the framework of nanowires. This supercritical fluid deposition technique is an attractive approach for modifying nanowires because of its generality and simplicity; the modified nanowires could be useful as catalysts and for further fabrication of multifunctional composites.

  1. Effects of silicon nanowire morphology on optical properties and hybrid solar cell performance

    NASA Astrophysics Data System (ADS)

    Syu, Hong-Jhang; Shiu, Shu-Chia; Hung, Yung-Jr; Lee, San-Liang; Lin, Ching-Fuh

    2012-10-01

    Silicon nanowire (SiNW) arrays are widespread applied on hybrid photovoltaic devices because SiNW arrays can substitute the pyramid texture and anti-reflection coating due to its strong light trapping. Also, SiNWs can be prepared through a cost-efficient process of metal-assisted chemical etching. However, though longer SiNW arrays have lower reflectance, the top of long SiNWs aggregate together to make junction synthesis difficult for SiNW/organic hybrid solar cell. To control and analyze the effect of SiNW array morphology on hybrid solar cells, here we change the metal deposition condition for metal-assisted chemical etching to obtain different SiNW array morphologies. The experiment was separated to two groups, by depositing metal, say, Ag, before etching (BE) or during etching (DE). For group BE, Ag was deposited on n-type Si (n-Si) wafers by thermal evaporation; then etched by H2O2 and HF. For group DE, n-Si was etched by Ag+ and HF directly. Ag was deposited on n-Si during etching process. Afterwards, residual Ag and SiO2 were removed by HNO3 and buffered HF, successively; then Ti and Ag were evaporated on the bottom of Si to be a cathode. Finally, SiNWs were stuck on the poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) that was spincoated on the ITO coated glass to form SiNW/organic heterojunction. The results show that group BE has reflectance lower than that in group DE in solar spectrum. However, group BE has smaller power conversion efficiency (PCE) of 8.65% and short-circuit current density (Jsc) of 24.94 mA/cm2 than group DE of PCE of 9.47% and Jsc of 26.81 mA/cm2.

  2. ZnO nanowires for tunable near-UV/blue LED

    NASA Astrophysics Data System (ADS)

    Pauporté, Thierry; Lupan, Oleg; Viana, Bruno

    2012-02-01

    Nanowires (NWs)-based light emitting diodes (LEDs) have drawn large interest due to many advantages compared to thin film based devices. Markedly improved performances are expected from nanostructured active layers for light emission. Nanowires can act as direct waveguides and favor light extraction without the use of lenses and reflectors. Moreover, the use of wires avoids the presence of grain boundaries and then the emission efficiency should be boosted by the absence of non-radiative recombinations at the joint defects. Electrochemical deposition technique was used for the preparation of ZnO-NWs based light emitters. Nanowires of high structural and optical quality have been epitaxially grown on p-GaN single crystalline films substrates. We have shown that the emission is directional with a wavelength that was tuned and red-shifted toward the visible region by doping with Cu in ZnO NWs.

  3. Enhancement of Si solar cell efficiency using ZnO nanowires with various diameters

    NASA Astrophysics Data System (ADS)

    Gholizadeh, A.; Reyhani, A.; Parvin, P.; Mortazavi, S. Z.; Mehrabi, M.

    2018-01-01

    Here, Zinc Oxide nanowires are synthesized using thermal chemical vapor deposition of a Zn granulate source and used to enhance a significant Si-solar cell efficiency with simple and low cost method. The nanowires are grown in various O2 flow rates. Those affect the shape, yield, structure and the quality of ZnO nanowires according to scanning electron microscopy and x-ray diffraction analyses. This delineates that the ZnO nanostructure is dependent on the synthesis conditions. The photoluminescence spectroscopy of ZnO indicates optical emission at the Ultra-Violet and blue-green regions whose intensity varies as a function of diameter of ZnO nano-wires. The optical property of ZnO layer is measured by UV-visible and diffuse reflection spectroscopy that demonstrate high absorbance at 280-550 nm. Furthermore, the photovoltaic characterization of ZnO nanowires is investigated based on the drop casting on Si-solar cell. The ZnO nanowires with various diameters demonstrate different effects on the efficiency of Si-solar cells. We have shown that the reduction of the spectral reflectance and down-shifting process as well as the reduction of photon trapping are essential parameters on the efficiency of Si-solar cells. However, the latter is dominated here. In fact, the trapped photons during the electron-hole generation are dominant due to lessening the absorption rate in ZnO nano-wires. The results indicate that the mean diameters reduction of ZnO nanowires is also essential to improve the fill factor. The external and internal quantum efficiency analyses attest the efficiency improvement over the blue region which is related to the key parameters above.

  4. Directed growth of horizontally aligned gallium nitride nanowires for nanoelectromechanical resonator arrays.

    PubMed

    Henry, Tania; Kim, Kyungkon; Ren, Zaiyuan; Yerino, Christopher; Han, Jung; Tang, Hong X

    2007-11-01

    We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.

  5. SiC Nanowires Synthesized by Rapidly Heating a Mixture of SiO and Arc-Discharge Plasma Pretreated Carbon Black.

    PubMed

    Wang, Feng-Lei; Zhang, Li-Ying; Zhang, Ya-Fei

    2008-11-22

    SiC nanowires have been synthesized at 1,600 degrees C by using a simple and low-cost method in a high-frequency induction furnace. The commercial SiO powder and the arc-discharge plasma pretreated carbon black were mixed and used as the source materials. The heating-up and reaction time is less than half an hour. It was found that most of the nanowires have core-shell SiC/SiO(2) nanostructures. The nucleation, precipitation, and growth processes were discussed in terms of the oxide-assisted cluster-solid mechanism.

  6. SiC Nanowires Synthesized by Rapidly Heating a Mixture of SiO and Arc-Discharge Plasma Pretreated Carbon Black

    PubMed Central

    2009-01-01

    SiC nanowires have been synthesized at 1,600 °C by using a simple and low-cost method in a high-frequency induction furnace. The commercial SiO powder and the arc-discharge plasma pretreated carbon black were mixed and used as the source materials. The heating-up and reaction time is less than half an hour. It was found that most of the nanowires have core-shell SiC/SiO2nanostructures. The nucleation, precipitation, and growth processes were discussed in terms of the oxide-assisted cluster-solid mechanism. PMID:20596456

  7. Mesoscopic Ni particles and nanowires by pulsed electrodeposition into porous Si

    NASA Astrophysics Data System (ADS)

    Michelakaki, E.; Valalaki, K.; G. Nassiopoulou, A.

    2013-04-01

    We report in this article on the formation of mesoscopic Ni particles and filling of continuous Ni nanowires into porous Si layers of thickness in the range of 0.5-4 μm with anisotropic vertical pores of average diameter in the range of 30-45 nm using pulsed electrodeposition from a Ni salt solution. The effect of pulse duration, number of pulses, and total process time on pore filling was investigated for porous Si with different porosities and porous Si layer thicknesses in the above thickness range. Scanning and transmission electron microscopy were used to characterize the samples. It was found that pore filling starts with Ni nucleation and nanoparticle formation at different points of the pore walls along the whole pore length and continues with nanoparticle coalescence to form continuous Ni nanowires that completely fill the pores. The mechanism involved in pore filling is particle nucleation and diffusion-controlled growth of Ni nanoparticles that coalesce to nanowires. From the beginning of the process, a metal film starts to form on the porous Si surface, and its thickness increases with increasing the process time. However, the presence of this film does not impede further pore filling and nanowire formation into the pores. This supports further the diffusion-controlled growth mechanism. Finally, it was demonstrated that full pore filling and continuous Ni nanowire formation were also achieved under direct current electrodeposition, and the results are quite similar to those obtained with pulsed electrodeposition when the same total deposition time is used in both cases.

  8. Hard template synthesis of metal nanowires

    NASA Astrophysics Data System (ADS)

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-11-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  9. Hard template synthesis of metal nanowires.

    PubMed

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  10. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  11. Strain and stability of ultrathin Ge layers in Si/Ge/Si axial heterojunction nanowires

    DOE PAGES

    Ross, Frances M.; Stach, Eric A.; Wen, Cheng -Yen; ...

    2015-02-05

    The abrupt heterointerfaces in the Si/Ge materials system presents useful possibilities for electronic device engineering because the band structure can be affected by strain induced by the lattice mismatch. In planar layers, heterointerfaces with abrupt composition changes are difficult to realize without introducing misfit dislocations. However, in catalytically grown nanowires, abrupt heterointerfaces can be fabricated by appropriate choice of the catalyst. Here we grow nanowires containing Si/Ge and Si/Ge/Si structures respectively with sub-1nm thick Ge "quantum wells" and we measure the interfacial strain fields using geometric phase analysis. Narrow Ge layers show radial strains of several percent, with a correspondingmore » dilation in the axial direction. Si/Ge interfaces show lattice rotation and curvature of the lattice planes. We conclude that high strains can be achieved, compared to what is possible in planar layers. In addition, we study the stability of these heterostructures under heating and electron beam irradiation. The strain and composition gradients are supposed to the cause of the instability for interdiffusion.« less

  12. Synthesis, fabrication and characterization of Ge/Si axial nanowire heterostructure tunnel FETs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Picraux, Samuel T; Dayeh, Shadi A

    2010-01-01

    Axial Ge/Si heterostructure nanowires allow energy band-edge engineering along the axis of the nanowire, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two advances in the area of heterostructure nanowires and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure nanowires with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these nanowires for high-on currents and suppressed ambipolar behavior. Initial prototype devices resulted in a current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5}more » I{sub on}/I{sub off} ratios. These results demonstrate the potential of such asymmetric heterostructures (both in the semiconductor channel and metal-semiconductor barrier heights) for low-power and high performance electronics.« less

  13. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  14. Ultralight, Recoverable, and High-Temperature-Resistant SiC Nanowire Aerogel.

    PubMed

    Su, Lei; Wang, Hongjie; Niu, Min; Fan, Xingyu; Ma, Mingbo; Shi, Zhongqi; Guo, Sheng-Wu

    2018-04-24

    Ultralight ceramic aerogels with the property combination of recoverable compressibility and excellent high-temperature stability are attractive for use in harsh environments. However, conventional ceramic aerogels are usually constructed by oxide ceramic nanoparticles, and their practical applications have always been limited by the brittle nature of ceramics and volume shrinkage at high temperature. Silicon carbide (SiC) nanowire offers the integrated properties of elasticity and flexibility of one-dimensional (1D) nanomaterials and superior high-temperature thermal and chemical stability of SiC ceramics, which makes it a promising building block for compressible ceramic nanowire aerogels (NWAs). Here, we report the fabrication and properties of a highly porous three-dimensional (3D) SiC NWA assembled by a large number of interweaving 3C-SiC nanowires of 20-50 nm diameter and tens to hundreds of micrometers in length. The SiC NWA possesses ultralow density (∼5 mg cm -3 ), excellent mechanical properties of large recoverable compression strain (>70%) and fatigue resistance, refractory property, oxidation and high-temperature resistance, and thermal insulating property (0.026 W m -1 K -1 at room temperature in N 2 ). When used as absorbents, the SiC NWAs exhibit an adsorption selectivity of low-viscosity organic solvents with high absorption capacity (130-237 g g -1 ). The successful fabrication of such an attractive material may provide promising perspectives to the design and fabrication of other compressible and multifunctional ceramic NWAs.

  15. Lithium effects on the mechanical and electronic properties of germanium nanowires

    NASA Astrophysics Data System (ADS)

    González-Macías, A.; Salazar, F.; Miranda, A.; Trejo-Baños, A.; Pérez, L. A.; Carvajal, E.; Cruz-Irisson, M.

    2018-04-01

    Semiconductor nanowire arrays promise rapid development of a new generation of lithium (Li) batteries because they can store more Li atoms than conventional crystals due to their large surface areas. During the charge-discharge process, the electrodes experience internal stresses that fatigue the material and limit the useful life of the battery. The theoretical study of electronic and mechanical properties of lithiated nanowire arrays allows the designing of electrode materials that could improve battery performance. In this work, we present a density functional theory study of the electronic band structure, formation energy, binding energy, and Young’s modulus (Y) of hydrogen passivated germanium nanowires (H-GeNWs) grown along the [111] and [001] crystallographic directions with surface and interstitial Li atoms. The results show that the germanium nanowires (GeNWs) with surface Li atoms maintain their semiconducting behavior but their energy gap size decreases when the Li concentration grows. In contrast, the GeNWs can have semiconductor or metallic behavior depending on the concentration of the interstitial Li atoms. On the other hand, Y is an indicator of the structural changes that GeNWs suffer due to the concentration of Li atoms. For surface Li atoms, Y stays almost constant, whereas for interstitial Li atoms, the Y values indicate important structural changes in the GeNWs.

  16. Hierarchical Si/ZnO trunk-branch nanostructure for photocurrent enhancement

    PubMed Central

    2014-01-01

    Hierarchical Si/ZnO trunk-branch nanostructures (NSs) have been synthesized by hot wire assisted chemical vapor deposition method for trunk Si nanowires (NWs) on indium tin oxide (ITO) substrate and followed by the vapor transport condensation (VTC) method for zinc oxide (ZnO) nanorods (NRs) which was laterally grown from each Si nanowires (NWs). A spin coating method has been used for zinc oxide (ZnO) seeding. This method is better compared with other group where they used sputtering method for the same process. The sputtering method only results in the growth of ZnO NRs on top of the Si trunk. Our method shows improvement by having the growth evenly distributed on the lateral sides and caps of the Si trunks, resulting in pine-leave-like NSs. Field emission scanning electron microscope image shows the hierarchical nanostructures resembling the shape of the leaves of pine trees. Single crystalline structure for the ZnO branch grown laterally from the crystalline Si trunk has been identified by using a lattice-resolved transmission electron microscope. A preliminary photoelectrochemical (PEC) cell testing has been setup to characterize the photocurrent of sole array of ZnO NR growth by both hydrothermal-grown (HTG) method and VTC method on ITO substrates. VTC-grown ZnO NRs showed greater photocurrent effect due to its better structural properties. The measured photocurrent was also compared with the array of hierarchical Si/ZnO trunk-branch NSs. The cell with the array of Si/ZnO trunk-branch NSs revealed four-fold magnitude enhancement in photocurrent density compared with the sole array of ZnO NRs obtain from VTC processes. PMID:25246872

  17. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  18. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, R. Rakesh, E-mail: rakesh.rajaboina@gmail.com; Department of Physics, Indian Institute of Science Education and Research, Bhopal 462066; Rao, K. Narasimha

    2014-04-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400more » °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices.« less

  19. Classical continuum theory limits to determine the size-dependency of mechanical properties of GaN NWs

    NASA Astrophysics Data System (ADS)

    Zamani Kouhpanji, Mohammad Reza; Behzadirad, Mahmoud; Busani, Tito

    2017-12-01

    We used the stable strain gradient theory including acceleration gradients to investigate the classical and nonclassical mechanical properties of gallium nitride (GaN) nanowires (NWs). We predicted the static length scales, Young's modulus, and shear modulus of the GaN NWs from the experimental data. Combining these results with atomic simulations, we also found the dynamic length scale of the GaN NWs. Young's modulus, shear modulus, static, and dynamic length scales were found to be 318 GPa, 131 GPa, 8 nm, and 8.9 nm, respectively, usable for demonstrating the static and dynamic behaviors of GaN NWs having diameters from a few nm to bulk dimensions. Furthermore, the experimental data were analyzed with classical continuum theory (CCT) and compared with the available literature to illustrate the size-dependency of the mechanical properties of GaN NWs. This practice resolves the previous published discrepancies that happened due to the limitations of CCT used for determining the mechanical properties of GaN NWs and their size-dependency.

  20. Seed-mediated synthesis of ultra-long copper nanowires and their application as transparent conducting electrodes

    NASA Astrophysics Data System (ADS)

    Kim, Hyunhong; Choi, Seong-Hyeon; Kim, Mijung; Park, Jang-Ung; Bae, Joonwon; Park, Jongnam

    2017-11-01

    Owing to a recent push toward one-dimensional nanomaterials, in this study, we report a seed-mediated synthetic strategy for copper nanowires (Cu NWs) production involving thermal decomposition of metal-surfactant complexes in an organic medium. Ultra-long Cu NWs with a high aspect ratio and uniform diameter were obtained by separating nucleation and growth steps. The underlying mechanism for nanowire formation was investigated, in addition, properties of the obtained Cu NWs were also characterized using diverse analysis techniques. The performance of resulting Cu NWs as transparent electrodes was demonstrated for potential application. This article can provide information on both new synthetic pathway and potential use of Cu NWs.

  1. Spontaneous and Selective Nanowelding of Silver Nanowires by Electrochemical Ostwald Ripening and High Electrostatic Potential at the Junctions for High-Performance Stretchable Transparent Electrodes.

    PubMed

    Lee, Hyo-Ju; Oh, Semi; Cho, Ki-Yeop; Jeong, Woo-Lim; Lee, Dong-Seon; Park, Seong-Ju

    2018-04-25

    Metal nanowires have been gaining increasing attention as the most promising stretchable transparent electrodes for emerging field of stretchable optoelectronic devices. Nanowelding technology is a major challenge in the fabrication of metal nanowire networks because the optoelectronic performances of metal nanowire networks are mostly limited by the high junction resistance between nanowires. We demonstrate the spontaneous and selective welding of Ag nanowires (AgNWs) by Ag solders via an electrochemical Ostwald ripening process and high electrostatic potential at the junctions of AgNWs. The AgNWs were welded by depositing Ag nanoparticles (AgNPs) on the conducting substrate and then exposing them to water at room temperature. The AgNPs were spontaneously dissolved in water to form Ag + ions, which were then reduced to single-crystal Ag solders selectively at the junctions of the AgNWs. Hence, the welded AgNWs showed higher optoelectronic and stretchable performance compared to that of as-formed AgNWs. These results indicate that electrochemical Ostwald ripening-based welding can be used as a promising method for high-performance metal nanowire electrodes in various next-generation devices such as stretchable solar cells, stretchable displays, organic light-emitting diodes, and skin sensors.

  2. Hard template synthesis of metal nanowires

    PubMed Central

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed. PMID:25453031

  3. 13.2% efficiency Si nanowire/PEDOT:PSS hybrid solar cell using a transfer-imprinted Au mesh electrode

    PubMed Central

    Park, Kwang-Tae; Kim, Han-Jung; Park, Min-Joon; Jeong, Jun-Ho; Lee, Jihye; Choi, Dae-Geun; Lee, Jung-Ho; Choi, Jun-Hyuk

    2015-01-01

    In recent years, inorganic/organic hybrid solar cell concept has received growing attention for alternative energy solution because of the potential for facile and low-cost fabrication and high efficiency. Here, we report highly efficient hybrid solar cells based on silicon nanowires (SiNWs) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) using transfer-imprinted metal mesh front electrodes. Such a structure increases the optical absorption and shortens the carrier transport distance, thus, it greatly increases the charge carrier collection efficiency. Compared with hybrid cells formed using indium tin oxide (ITO) electrodes, we find an increase in power conversion efficiency from 5.95% to 13.2%, which is attributed to improvements in both the electrical and optical properties of the Au mesh electrode. Our fabrication strategy for metal mesh electrode is suitable for the large-scale fabrication of flexible transparent electrodes, paving the way towards low-cost, high-efficiency, flexible solar cells. PMID:26174964

  4. Multi-branched Cu2O nanowires for photocatalytic degradation of methyl orange

    NASA Astrophysics Data System (ADS)

    Yu, Chunxin; Shu, Yun; Zhou, Xiaowei; Ren, Yang; Liu, Zhu

    2018-03-01

    Multi-branched cuprous oxide nanowires (Cu2O NWs) were prepared by one-step hydrothermal method of a facile process. The architecture of these Cu2O NWs was examined by scanning electron microscopy, and the resulting crystal nanowire consists of the trunk growing along [100] plane and the branch growing along [110] plane. Photocatalytic degradation of methyl orange (MO) in the experiment indicates that pure Cu2O NWs prepared at 150 °C have a higher photocatalytic activity (90% MO were degraded within 20 min without the presence of H2O2) compared with the samples obtained at other temperatures. In the photoelectrochemical test, pure Cu2O NWs had outstanding photoelectric response, which corresponds to the catalytic performance. The superior photocatalytic performance can be attributed to the absence of grain boundaries between the small branches and the nanowire trunk, which is conducive to the transport of photo-generated carriers, and the reduction of Cu impurities to reduce the number of recombination centers.

  5. Optical regulation of protein adsorption and cell adhesion by photoresponsive GaN nanowires.

    PubMed

    Li, Jingying; Han, Qiusen; Zhang, Ying; Zhang, Wei; Dong, Mingdong; Besenbacher, Flemming; Yang, Rong; Wang, Chen

    2013-10-09

    Interfacing nanowires with living cells is attracting more and more interest due to the potential applications, such as cell culture engineering and drug delivery. We report on the feasibility of using photoresponsive semiconductor gallium nitride (GaN) nanowires (NWs) for regulating the behaviors of biomolecules and cells at the nano/biointerface. The GaN NWs have been fabricated by a facile chemical vapor deposition method. The superhydrophobicity to superhydrophilicity transition of the NWs is achieved by UV illumination. Bovine serum albumin adsorption could be modulated by photoresponsive GaN NWs. Tunable cell detachment and adhesion are also observed. The mechanism of the NW surface responsible for modulating both of protein adsorption and cell adhesion is discussed. These observations of the modulation effects on protein adsorption and cell adhesion by GaN NWs could provide a novel approach toward the regulation of the behaviors of biomolecules and cells at the nano/biointerface, which may be of considerable importance in the development of high-performance semiconductor nanowire-based biomedical devices for cell culture engineering, bioseparation, and diagnostics.

  6. One-dimensional Si/Ge nanowires and their heterostructures for multifunctional applications—a review

    NASA Astrophysics Data System (ADS)

    Ray, Samit K.; Katiyar, Ajit K.; Raychaudhuri, Arup K.

    2017-03-01

    Remarkable progress has been made in the field of one-dimensional semiconductor nanostructures for electronic and photonic devices. Group-IV semiconductors and their heterostructures have dominated the years of success in microelectronic industry. However their use in photonic devices is limited since they exhibit poor optical activity due to indirect band gap nature of Si and Ge. Reducing their dimensions below a characteristic length scale of various fundamental parameters like exciton Bohr radius, phonon mean free path, critical size of magnetic domains, exciton diffusion length etc result in the significant modification of bulk properties. In particular, light emission from Si/Ge nanowires due to quantum confinement, strain induced band structure modification and impurity doping may lead to the integration of photonic components with mature silicon CMOS technology in near future. Several promising applications based on Si and Ge nanowires have already been well established and studied, while others are now at the early demonstration stage. The control over various forms of energy and carrier transport through the unconstrained dimension makes Si and Ge nanowires a promising platform to manufacture advanced solid-state devices. This review presents the progress of the research with emphasis on their potential application of Si/Ge nanowires and their heterostructures for electronic, photonic, sensing and energy devices.

  7. Preparation and characterization of CdS/Si coaxial nanowires

    NASA Astrophysics Data System (ADS)

    Fu, X. L.; Li, L. H.; Tang, W. H.

    2006-04-01

    CdS/Si coaxial nanowires were fabricated via a simple one-step thermal evaporation of CdS powder in mass scale. Their crystallinities, general morphologies and detailed microstructures were characterized by using X-ray diffraction, scanning electron microscope, transmission electron microscope and Raman spectra. The CdS core crystallizes in a hexagonal wurtzite structure with lattice constants of a=0.4140 nm and c=0.6719 nm, and the Si shell is amorphous. Five Raman peaks from the CdS core were observed. They are 1LO at 305 cm -1, 2LO at 601 cm -1, A 1-TO at 212 cm -1, E 1-TO at 234 cm -1, and E 2 at 252 cm -1. Photoluminescence measurements show that the nanowires have two emission bands around 510 and 590 nm, which originate from the intrinsic transitions of CdS cores and the amorphous Si shells, respectively.

  8. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  9. CdS-Nanowires Flexible Photo-detector with Ag-Nanowires Electrode Based on Non-transfer Process

    PubMed Central

    Pei, Yanli; Pei, Ruihan; Liang, Xiaoci; Wang, Yuhao; Liu, Ling; Chen, Haibiao; Liang, Jun

    2016-01-01

    In this study, UV-visible flexible resistivity-type photo-detectors were demonstrated with CdS-nanowires (NWs) percolation network channel and Ag-NWs percolation network electrode. The devices were fabricated on Mixed Cellulose Esters (MCE) membrane using a lithographic filtration method combined with a facile non-transfer process. The photo-detectors demonstrated strong adhesion, fast response time, fast decay time, and high photo sensitivity. The high performance could be attributed to the high quality single crystalline CdS-NWs, encapsulation of NWs in MCE matrix and excellent interconnection of the NWs. Furthermore, the sensing performance was maintained even the device was bent at an angle of 90°. This research may pave the way for the facile fabrication of flexible photo-detectors with high performances. PMID:26899726

  10. High conductive and scalable Ag nanowires flexible transparent electrode by nanowelding with physical methods

    NASA Astrophysics Data System (ADS)

    He, W. W.; Yan, X. H.; Long, Y. F.; Liang, Y. M.; Pan, C.; Zhao, J. L.; Liu, Q. X.

    2017-09-01

    Transparent electrodes (TEs) are very important for electronic devices. At present, ITO is gaining the largest market share but will be reduced. Ag nanowires (AgNWs) TEs is acknowledged as one of the most potential alternative to ITO. However, AgNWs TEs still have electrical problems because of the low contact between the AgNWs. In this paper, we report three physics methods to increase the conductivity of AgNWs TEs by nanowelding the contact of nanowires. For heat-resistant materials, 200 °C heat-nanowelding can help to reduce the sheet resistance by 96.7%. For pressure resistant materials, 20MPa pressure-nanowelding can help to increase the conductivity by 98.7%. And the transmittance (>90%) remains constant during the above process. Yet, both of these methods cannot improve the adhesion between nanowires and the substrates. Luckily, tight adhesion can be obtained by overcoating a PEDOT: PSS lalyer on AgNWs film which can reduce the sheet resistance by 87.8%. This means that things are usually not perfect, and they have their own advantages and lay the foundation for the popularization and application of AgNWs TEs. In a word, these three nano-welding methods are all suit for manufacture on a large scale for high conductive AgNWs TEs.

  11. Hydrazine-Assisted Formation of Indium Phosphide (InP)-Based Nanowires and Core-Shell Composites

    PubMed Central

    Patzke, Greta R.; Kontic, Roman; Shiolashvili, Zeinab; Makhatadze, Nino; Jishiashvili, David

    2012-01-01

    Indium phosphide nanowires (InP NWs) are accessible at 440 °C from a novel vapor phase deposition approach from crystalline InP sources in hydrazine atmospheres containing 3 mol % H2O. Uniform zinc blende (ZB) InP NWs with diameters around 20 nm and lengths up to several tens of micrometers are preferably deposited on Si substrates. InP particle sizes further increase with the deposition temperature. The straightforward protocol was extended on the one-step formation of new core-shell InP–Ga NWs from mixed InP/Ga source materials. Composite nanocables with diameters below 20 nm and shells of amorphous gallium oxide are obtained at low deposition temperatures around 350 °C. Furthermore, InP/Zn sources afford InP NWs with amorphous Zn/P/O-coatings at slightly higher temperatures (400 °C) from analogous setups. At 450 °C, the smooth outer layer of InP-Zn NWs is transformed into bead-shaped coatings. The novel combinations of the key semiconductor InP with isotropic insulator shell materials open up interesting application perspectives in nanoelectronics. PMID:28809296

  12. Carrier thermalization dynamics in single zincblende and wurtzite InP Nanowires.

    PubMed

    Wang, Yuda; Jackson, Howard E; Smith, Leigh M; Burgess, Tim; Paiman, Suriati; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-12-10

    Using transient Rayleigh scattering (TRS) measurements, we obtain photoexcited carrier thermalization dynamics for both zincblende (ZB) and wurtzite (WZ) InP single nanowires (NW) with picosecond resolution. A phenomenological fitting model based on direct band-to-band transition theory is developed to extract the electron-hole-plasma density and temperature as a function of time from TRS measurements of single nanowires, which have complex valence band structures. We find that the thermalization dynamics of hot carriers depends strongly on material (GaAs NW vs InP NW) and less strongly on crystal structure (ZB vs WZ). The thermalization dynamics of ZB and WZ InP NWs are similar. But a comparison of the thermalization dynamics in ZB and WZ InP NWs with ZB GaAs NWs reveals more than an order of magnitude slower relaxation for the InP NWs. We interpret these results as reflecting their distinctive phonon band structures that lead to different hot phonon effects. Knowledge of hot carrier thermalization dynamics is an essential component for effective incorporation of nanowire materials into electronic devices.

  13. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  14. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    PubMed

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  15. In(x)Ga(₁-x)As nanowires on silicon: one-dimensional heterogeneous epitaxy, bandgap engineering, and photovoltaics.

    PubMed

    Shin, Jae Cheol; Kim, Kyou Hyun; Yu, Ki Jun; Hu, Hefei; Yin, Leijun; Ning, Cun-Zheng; Rogers, John A; Zuo, Jian-Min; Li, Xiuling

    2011-11-09

    We report on the one-dimensional (1D) heteroepitaxial growth of In(x)Ga(1-x)As (x = 0.2-1) nanowires (NWs) on silicon (Si) substrates over almost the entire composition range using metalorganic chemical vapor deposition (MOCVD) without catalysts or masks. The epitaxial growth takes place spontaneously producing uniform, nontapered, high aspect ratio NW arrays with a density exceeding 1 × 10(8)/cm(2). NW diameter (∼30-250 nm) is inversely proportional to the lattice mismatch between In(x)Ga(1-x)As and Si (∼4-11%), and can be further tuned by MOCVD growth condition. Remarkably, no dislocations have been found in all composition In(x)Ga(1-x)As NWs, even though massive stacking faults and twin planes are present. Indium rich NWs show more zinc-blende and Ga-rich NWs exhibit dominantly wurtzite polytype, as confirmed by scanning transmission electron microscopy (STEM) and photoluminescence spectra. Solar cells fabricated using an n-type In(0.3)Ga(0.7)As NW array on a p-type Si(111) substrate with a ∼ 2.2% area coverage, operates at an open circuit voltage, V(oc), and a short circuit current density, J(sc), of 0.37 V and 12.9 mA/cm(2), respectively. This work represents the first systematic report on direct 1D heteroepitaxy of ternary In(x)Ga(1-x)As NWs on silicon substrate in a wide composition/bandgap range that can be used for wafer-scale monolithic heterogeneous integration for high performance photovoltaics.

  16. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  17. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  18. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  19. High-performance silicon nanowire bipolar phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  20. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  1. Nanowire Aptasensors for Electrochemical Detection of Cell-Secreted Cytokines.

    PubMed

    Liu, Ying; Rahimian, Ali; Krylyuk, Sergiy; Vu, Tam; Crulhas, Bruno; Stybayeva, Gulnaz; Imanbekova, Meruyert; Shin, Dong-Sik; Davydov, Albert; Revzin, Alexander

    2017-11-22

    Cytokines are small proteins secreted by immune cells in response to pathogens/infections; therefore, these proteins can be used in diagnosing infectious diseases. For example, release of a cytokine interferon (IFN)-γ from T-cells is used for blood-based diagnosis of tuberculosis (TB). Our lab has previously developed an atpamer-based electrochemical biosensor for rapid and sensitive detection of IFN-γ. In this study, we explored the use of silicon nanowires (NWs) as a way to create nanostructured electrodes with enhanced sensitivity for IFN-γ. Si NWs were covered with gold and were further functionalized with thiolated aptamers specific for IFN-γ. Aptamer molecules were designed to form a hairpin and in addition to terminal thiol groups contained redox reporter molecules methylene blue. Binding of analyte to aptamer-modified NWs (termed here nanowire aptasensors) inhibited electron transfer from redox reporters to the electrode and caused electrochemical redox signal to decrease. In a series of experiments we demonstrate that NW aptasensors responded 3× faster and were 2× more sensitive to IFN-γ compared to standard flat electrodes. Most significantly, NW aptasensors allowed detection of IFN-γ from as few as 150 T-cells/mL while ELISA did not pick up signal from the same number of cells. One of the challenges faced by ELISA-based TB diagnostics is poor performance in patients whose T-cell numbers are low, typically HIV patients. Therefore, NW aptasensors developed here may be used in the future for more sensitive monitoring of IFN-γ responses in patients coinfected with HIV/TB.

  2. Nanowire field-effect transistors for gas sensor applications

    NASA Astrophysics Data System (ADS)

    Constantinou, Marios

    Sensing BTEX (Benzene, Ethylbenzene, Toluene, Xylene) pollutants is of utmost importance to reduce health risk and ensure public safety. The lack of sensitivity and selectivity of the current gas sensors and the limited number of available technologies in the field of BTEX-sensing raises the demand for the development of high-performance gas sensors for BTEX applications. The scope of this thesis is the fabrication and characterisation of high-quality field-effect transistors (FETs), with functionalised silicon nanowires (SiNWs), for the selective sensing of benzene vs. other BTEX gases. This research addresses three main challenges in SiNW FET-sensor device development: i) controllable and reproducible assembly of high-quality SiNWs for FET sensor devices using the method of dielectrophoresis (DEP), ii) almost complete elimination of harmful hysteresis effect in the SiNW FET current-voltage characteristics induced by surface states using DMF solvent, iii) selective sensing of benzene with up to ppb range of sensitivity using calix[4]arene-derivatives. It is experimentally demonstrated that frequency-controlled DEP is a powerful tool for the selection and collection of semiconducting SiNWs with advanced electrical and morphological properties, from a poly-disperse as-synthesised NWs. The DEP assembly method also leads to a controllable and reproducible fabrication of high-quality NW-based FETs. The results highlight the superiority of DEP, performed at high signal frequencies (5-20 MHz) to selectively assemble only high-quality NWs which can respond to such high DEP frequencies. The SiNW FETs, with NWs collected at high DEP frequencies, have high mobility (≈50 cm2 V-1 s-1), low sub-threshold-swing (≈1.26 V/decade), high on-current (up to 3 mA) and high on/off ratio (106-107). The DEP NW selection is also demonstrated using an industrially scalable method, to allow establishing of NW response characteristics to different DEP frequencies in a very short time

  3. Growth and optical properties of CMOS-compatible silicon nanowires for photonic devices

    NASA Astrophysics Data System (ADS)

    Guichard, Alex Richard

    Silicon (Si) is the dominant semiconductor material in both the microelectronic and photovoltaic industries. Despite its poor optical properties, Si is simply too abundant and useful to be completely abandoned in either industry. Since the initial discovery of efficient room temperature photoluminescence (PL) from porous Si and the following discoveries of PL and time-resolved optical gain from Si nanocrystals (Si-nc) in SiO2, many groups have studied the feasibility of making Si-based, CMOS-compatible electroluminescent devices and electrically pumped lasers. These studies have shown that for Si-ne sizes below about 10 nm, PL can be attributed to radiative recombination of confined excitons and quantum efficiencies can reach 90%. PL peak energies are blue-shifted from the bulk Si band edge of 1.1 eV due to the quantum confinement effect and PL decay lifetimes are on mus timescales. However, many unanswered questions still exist about both the ease of carrier injection and various non-radiative and loss mechanisms that are present. A potential alternative material system to porous Si and Si-nc is Si nanowires (SiNWs). In this thesis, I examine the optical properties of SiNWs with diameters in the range of 3-30 nm fabricated by a number of compound metal oxide semiconductor (CMOS) compatible fabrication techniques including Chemical Vapor Deposition on metal nanoparticle coated substrates, catalytic wet etching of bulk Si and top-down electron-beam lithographic patterning. Using thermal oxidation and etching, we can increase the degree of confinement in the SiNWs. I demonstrate PL peaked in the visible and near-infrared (NIR) wavelength ranges that is tunable by controlling the crystalline SiNW core diameter, which is measured with dark field and high-resolution transmission electron microscopy. PL decay lifetimes of the SiNWs are on the order of 50 mus after proper surface passivation, which suggest that the PL is indeed from confined carriers in the SiNW cores

  4. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  5. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  6. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  7. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  8. Titanium dioxide@polypyrrole core-shell nanowires for all solid-state flexible supercapacitors

    NASA Astrophysics Data System (ADS)

    Yu, Minghao; Zeng, Yinxiang; Zhang, Chong; Lu, Xihong; Zeng, Chenghui; Yao, Chenzhong; Yang, Yangyi; Tong, Yexiang

    2013-10-01

    Herein, we developed a facile two-step process to synthesize TiO2@PPy core-shell nanowires (NWs) on carbon cloth and reported their improved electrochemical performance for flexible supercapacitors (SCs). The fabricated solid-state SC device based on TiO2@PPy core-shell NWs not only has excellent flexibility, but also exhibits remarkable electrochemical performance.Herein, we developed a facile two-step process to synthesize TiO2@PPy core-shell nanowires (NWs) on carbon cloth and reported their improved electrochemical performance for flexible supercapacitors (SCs). The fabricated solid-state SC device based on TiO2@PPy core-shell NWs not only has excellent flexibility, but also exhibits remarkable electrochemical performance. Electronic supplementary information (ESI) available: Experimental details, XRD pattern, FT-IR absorption spectrum and CV curves of TiO2@PPy NWs, and SEM images of the PPy. See DOI: 10.1039/c3nr03578f

  9. Optically efficient InAsSb nanowires for silicon-based mid-wavelength infrared optoelectronics.

    PubMed

    Zhuang, Q D; Alradhi, H; Jin, Z M; Chen, X R; Shao, J; Chen, X; Sanchez, Ana M; Cao, Y C; Liu, J Y; Yates, P; Durose, K; Jin, C J

    2017-03-10

    InAsSb nanowires (NWs) with a high Sb content have potential in the fabrication of advanced silicon-based optoelectronics such as infrared photondetectors/emitters and highly sensitive phototransistors, as well as in the generation of renewable electricity. However, producing optically efficient InAsSb NWs with a high Sb content remains a challenge, and optical emission is limited to 4.0 μm due to the quality of the nanowires. Here, we report, for the first time, the success of high-quality and optically efficient InAsSb NWs enabling silicon-based optoelectronics operating in entirely mid-wavelength infrared. Pure zinc-blende InAsSb NWs were realized with efficient photoluminescence emission. We obtained room-temperature photoluminescence emission in InAs NWs and successfully extended the emission wavelength in InAsSb NWs to 5.1 μm. The realization of this optically efficient InAsSb NW material paves the way to realizing next-generation devices, combining advances in III-V semiconductors and silicon.

  10. Ultrahigh-Speed Electrically Injected 1.55 micrometer Quantum Dot Microtube and Nanowire Lasers on Si

    DTIC Science & Technology

    2015-08-30

    Ultrahigh-Speed Electrically Injected 1.55 um Quantum Dot Microtube and Nanowire Lasers on Si In this report, we describe the progress made in rolled...up InP-based tube lasers and in the growth and characterization of III-nitride nanowire structures on Si. We report on the demonstration of...injected AlGaN nanowire lasers that can operate in the UV-AII (315-340 nm), UV-B (280-315nm), and UV-C (200-280 nm). The views, opinions and/or findings

  11. Fabrication of vertical nanowire resonators for aerosol exposure assessment

    NASA Astrophysics Data System (ADS)

    Merzsch, Stephan; Wasisto, Hutomo Suryo; Stranz, Andrej; Hinze, Peter; Weimann, Thomas; Peiner, Erwin; Waag, Andreas

    2013-05-01

    Vertical silicon nanowire (SiNW) resonators are designed and fabricated in order to assess exposure to aerosol nanoparticles (NPs). To realize SiNW arrays, nanolithography and inductively coupled plasma (ICP) deep reactive ion etching (DRIE) at cryogenic temperature are utilized in a top-down fabrication of SiNW arrays which have high aspect ratios (i.e., up to 34). For nanolithography process, a resist film thickness of 350 nm is applied in a vacuum contact mode to serve as a mask. A pattern including various diameters and distances for creating pillars is used (i.e., 400 nm up to 5 μm). In dry etching process, the etch rate is set high of 1.5 μm/min to avoid underetching. The etch profiles of Si wires can be controlled aiming to have either perpendicularly, negatively or positively profiled sidewalls by adjusting the etching parameters (e.g., temperature and oxygen content). Moreover, to further miniaturize the wire, multiple sacrificial thermal oxidations and subsequent oxide stripping are used yielding SiNW arrays of 650 nm in diameter and 40 μm in length. In the resonant frequency test, a piezoelectric shear actuator is integrated with the SiNWs inside a scanning electron microscope (SEM) chamber. The observation of the SiNW deflections are performed and viewed from the topside of the SiNWs to reduce the measurement redundancy. Having a high deflection of ~10 μm during its resonant frequency of 452 kHz and a low mass of 31 pg, the proposed SiNW is potential for assisting the development of a portable aerosol resonant sensor.

  12. Facet-selective nucleation and conformal epitaxy of Ge shells on Si nanowires

    DOE PAGES

    Nguyen, Binh -Minh; Swartzentruber, Brian; Ro, Yun Goo; ...

    2015-10-08

    Knowledge of nanoscale heteroepitaxy is continually evolving as advances in material synthesis reveal new mechanisms that have not been theoretically predicted and are different than what is known about planar structures. In addition to a wide range of potential applications, core/shell nanowire structures offer a useful template to investigate heteroepitaxy at the atomistic scale. We show that the growth of a Ge shell on a Si core can be tuned from the theoretically predicted island growth mode to a conformal, crystalline, and smooth shell by careful adjustment of growth parameters in a narrow growth window that has not been exploredmore » before. In the latter growth mode, Ge adatoms preferentially nucleate islands on the {113} facets of the Si core, which outgrow over the {220} facets. Islands on the low-energy {111} facets appear to have a nucleation delay compared to the {113} islands; however, they eventually coalesce to form a crystalline conformal shell. As a result, synthesis of epitaxial and conformal Si/Ge/Si core/multishell structures enables us to fabricate unique cylindrical ring nanowire field-effect transistors, which we demonstrate to have steeper on/off characteristics than conventional core/shell nanowire transistors.« less

  13. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  14. Step-gate polysilicon nanowires field effect transistor compatible with CMOS technology for label-free DNA biosensor.

    PubMed

    Wenga, G; Jacques, E; Salaün, A-C; Rogel, R; Pichon, L; Geneste, F

    2013-02-15

    Currently, detection of DNA hybridization using fluorescence-based detection technique requires expensive optical systems and complex bioinformatics tools. Hence, the development of new low cost devices that enable direct and highly sensitive detection stimulates a lot of research efforts. Particularly, devices based on silicon nanowires are emerging as ultrasensitive electrical sensors for the direct detection of biological species thanks to their high surface to volume ratio. In this study, we propose innovative devices using step-gate polycrystalline silicon nanowire FET (poly-Si NW FETs), achieved with simple and low cost fabrication process, and used as ultrasensitive electronic sensor for DNA hybridization. The poly-SiNWs are synthesized using the sidewall spacer formation technique. The detailed fabrication procedure for a step-gate NWFET sensor is described in this paper. No-complementary and complementary DNA sequences were clearly discriminated and detection limit to 1 fM range is observed. This first result using this nano-device is promising for the development of low cost and ultrasensitive polysilicon nanowires based DNA sensors compatible with the CMOS technology. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. In Situ Integration of Ultrathin PtCu Nanowires with Reduced Graphene Oxide Nanosheets for Efficient Electrocatalytic Oxygen Reduction.

    PubMed

    Yan, Xiaoxiao; Chen, Yifan; Deng, Sihui; Yang, Yifan; Huang, Zhenna; Ge, Cunwang; Xu, Lin; Sun, Dongmei; Fu, Gengtao; Tang, Yawen

    2017-11-27

    Ultrathin Pt-based nanowires are considered as promising electrocatalysts owing to their high atomic utilization efficiency and structural robustness. Moreover, integration of Pt-based nanowires with graphene oxide (GO) could further increase the electrocatalytic performance, yet remains challenging to date. Herein, for the first time we demonstrate the in situ synthesis of ultrathin PtCu nanowires grown over reduced GO (PtCu-NWs/rGO) by a one-pot hydrothermal approach with the aid of amine-terminated poly(N-isopropyl acrylamide) (PNIPAM-NH 2 ). The judicious selection of PNIPAM-NH 2 facilitates the in situ nucleation and anisotropic growth of nanowires on the rGO surface and oriented attachment mechanism accounts for the formation of PtCu ultrathin nanowires. Owing to the synergy between PtCu NWs and rGO support, the PtCu-NWs/rGO outperforms the rGO supported PtCu nanoparticles (PtCu-NPs/rGO), PtCu-NWs, and commercial Pt/C toward the oxygen reduction reaction (ORR) with higher activity and better stability, making it a promising cathodic electrocatalyst for both fuel cells and metal-air cells. Moreover, the present synthetic strategy could inspire the future design of other metal alloy nanowires/carbon hybrid catalysts. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Nonpolar p-GaN/n-Si heterojunction diode characteristics: a comparison between ensemble and single nanowire devices

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, Sandip; Tyagi, A. K.

    2015-10-01

    The electrical and photodiode characteristics of ensemble and single p-GaN nanowire and n-Si heterojunction devices were studied. Ideality factor of the single nanowire p-GaN/n-Si device was found to be about three times lower compared to that of the ensemble nanowire device. Apart from the deep-level traps in p-GaN nanowires, defect states due to inhomogeneity in Mg dopants in the ensemble nanowire device are attributed to the origin of the high ideality factor. Photovoltaic mode of the ensemble nanowire device showed an improvement in the fill-factors up to 60% over the single nanowire device with fill-factors up to 30%. Responsivity of the single nanowire device in the photoconducting mode was found to be enhanced by five orders, at 470 nm. The enhanced photoresponse of the single nanowire device also confirms the photoconduction due to defect states in p-GaN nanowires.

  17. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    PubMed Central

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  18. Thermal conductivity of bulk and nanowire Mg₂Si xSn 1–x alloys from first principles

    DOE PAGES

    Li, Wu; Lindsay, L.; Broido, D. A.; ...

    2012-11-29

    The lattice thermal conductivity (κ) of the thermoelectric materials, Mg₂Si, Mg₂Sn, and their alloys, are calculated for bulk and nanowires, without adjustable parameters. We find good agreement with bulk experimental results. For large nanowire diameters, size effects are stronger for the alloy than for the pure compounds. For example, in 200 nm diameter nanowires κ is lower than its bulk value by 30%, 20%, and 20% for Mg₂Si₀.₆Sn₀.₄, Mg₂Si, and Mg₂Sn, respectively. For nanowires less than 20 nm thick, the relative decrease surpasses 50%, and it becomes larger in the pure compounds than in the alloy. At room temperature, κmore » of Mg₂Si xSn 1–x is less sensitive to nanostructuring size effects than Si xGe 1–x, but more sensitive than PbTe xSe 1–x. This suggests that further improvement of Mg₂Si xSn 1–x as a nontoxic thermoelectric may be possible.« less

  19. Recyclable patterning of silver nanowire percolated network for fabrication of flexible transparent electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Byungwook; Kim, Youngmin; Han, Chul Jong; Oh, Min Suk; Kim, Jong-Woong

    2018-01-01

    Recent studies have revealed that silver nanowires (AgNWs) are a promising material for highly flexible transparent electrodes. Here we introduce a novel photoinduced recyclable approach to AgNW patterning to overcome the issue of loss of material during fabrication of AgNW patterns, which is a leading factor in the high fabrication costs of AgNW-based electrodes. Our patterning scheme involves the selective irradiation of an AgNW/polymer composite with high-intensity pulsed light, followed by immersion of the sample in a liquid and an ultrasonication treatment. The nanowires that detach during sonication could be recycled, and the recycled AgNWs achieved comparable performance to that of pristine AgNWs. The recycled AgNWs were also superior to commercial indium tin oxide films and other competing materials. We successfully demonstrated a high performance transparent heater by employing the recyclable patterning method and recycled AgNWs.

  20. High aspect ratio silicon nanowires control fibroblast adhesion and cytoskeleton organization

    NASA Astrophysics Data System (ADS)

    Andolfi, Laura; Murello, Anna; Cassese, Damiano; Ban, Jelena; Dal Zilio, Simone; Lazzarino, Marco

    2017-04-01

    Cell-cell and cell-matrix interactions are essential to the survival and proliferation of most cells, and are responsible for triggering a wide range of biochemical pathways. More recently, the biomechanical role of those interactions was highlighted, showing, for instance, that adhesion forces are essential for cytoskeleton organization. Silicon nanowires (Si NWs) with their small size, high aspect ratio and anisotropic mechanical response represent a useful model to investigate the forces involved in the adhesion processes and their role in cellular development. In this work we explored and quantified, by single cell force spectroscopy (SCFS), the interaction of mouse embryonic fibroblasts with a flexible forest of Si NWs. We observed that the cell adhesion forces are comparable to those found on collagen and bare glass coverslip, analogously the membrane tether extraction forces are similar to that on collagen but stronger than that on bare flat glass. Cell survival did not depend significantly on the substrate, although a reduced proliferation after 36 h was observed. On the contrary both cell morphology and cytoskeleton organization revealed striking differences. The cell morphology on Si-NW was characterized by a large number of filopodia and a significant decrease of the cell mobility. The cytoskeleton organization was characterized by the absence of actin fibers, which were instead dominant on collagen and flat glass support. Such findings suggest that the mechanical properties of disordered Si NWs, and in particular their strong asymmetry, play a major role in the adhesion, morphology and cytoskeleton organization processes. Indeed, while adhesion measurements by SCFS provide out-of-plane forces values consistent with those measured on conventional substrates, weaker in-plane forces hinder proper cytoskeleton organization and migration processes.

  1. High aspect ratio silicon nanowires control fibroblast adhesion and cytoskeleton organization.

    PubMed

    Andolfi, Laura; Murello, Anna; Cassese, Damiano; Ban, Jelena; Dal Zilio, Simone; Lazzarino, Marco

    2017-04-18

    Cell-cell and cell-matrix interactions are essential to the survival and proliferation of most cells, and are responsible for triggering a wide range of biochemical pathways. More recently, the biomechanical role of those interactions was highlighted, showing, for instance, that adhesion forces are essential for cytoskeleton organization. Silicon nanowires (Si NWs) with their small size, high aspect ratio and anisotropic mechanical response represent a useful model to investigate the forces involved in the adhesion processes and their role in cellular development. In this work we explored and quantified, by single cell force spectroscopy (SCFS), the interaction of mouse embryonic fibroblasts with a flexible forest of Si NWs. We observed that the cell adhesion forces are comparable to those found on collagen and bare glass coverslip, analogously the membrane tether extraction forces are similar to that on collagen but stronger than that on bare flat glass. Cell survival did not depend significantly on the substrate, although a reduced proliferation after 36 h was observed. On the contrary both cell morphology and cytoskeleton organization revealed striking differences. The cell morphology on Si-NW was characterized by a large number of filopodia and a significant decrease of the cell mobility. The cytoskeleton organization was characterized by the absence of actin fibers, which were instead dominant on collagen and flat glass support. Such findings suggest that the mechanical properties of disordered Si NWs, and in particular their strong asymmetry, play a major role in the adhesion, morphology and cytoskeleton organization processes. Indeed, while adhesion measurements by SCFS provide out-of-plane forces values consistent with those measured on conventional substrates, weaker in-plane forces hinder proper cytoskeleton organization and migration processes.

  2. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    NASA Astrophysics Data System (ADS)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  3. Hydrothermal synthesis and characterization of Si and Sr co-substituted hydroxyapatite nanowires using strontium containing calcium silicate as precursors.

    PubMed

    Zhang, Na; Zhai, Dong; Chen, Lei; Zou, Zhaoyong; Lin, Kaili; Chang, Jiang

    2014-04-01

    In the absence of any organic surfactants and solvents, the silicon (Si) and strontium (Sr) co-substituted hydroxyapatite [Ca10(PO4)6(OH)2, Si/Sr-HAp] nanowires were synthesized via hydrothermal treatment of the Sr-containing calcium silicate (Sr-CS) powders as the precursors in trisodium phosphate (Na3PO4) aqueous solution. The morphology, phase, chemical compositions, lattice constants and the degradability of the products were characterized. The Si/Sr-HAp nanowires with diameter of about 60nm and up to 2μm in length were obtained after hydrothermal treatment of the Sr-CS precursors. The Sr and Si substitution amount of the HAp nanowires could be well regulated by facile tailoring the Sr substitution level of the precursors and the reaction ratio of the precursor/solution, respectively. The SiO4 tetrahedra and Sr(2+) ions occupied the crystal sites of the HAp, and the lattice constants increased apparently with the increase of the substitution amount. EDS mapping also suggested the uniform distribution of Si and Sr in the synthetic nanowires. Moreover, the Si/Sr-substitution apparently improved the degradability of the HAp materials. Our study suggested that the precursor transformation method provided a facile approach to synthesize the Si/Sr co-substituted HAp nanowires with controllable substitution amount, and the synthetic Si/Sr-HAp nanowires might be used as bioactive materials for hard tissue regeneration applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  4. Highly Stable Bonding of Thiol Monolayers to Hydrogen-Terminated Si via Supercritical Carbon Dioxide: Toward a Super Hydrophobic and Bioresistant Surface.

    PubMed

    Bhartia, Bhavesh; Puniredd, Sreenivasa Reddy; Jayaraman, Sundaramurthy; Gandhimathi, Chinnasamy; Sharma, Mohit; Kuo, Yen-Chien; Chen, Chia-Hao; Reddy, Venugopal Jayarama; Troadec, Cedric; Srinivasan, Madapusi Palavedu

    2016-09-21

    Oxide-free silicon chemistry has been widely studied using wet-chemistry methods, but for emerging applications such as molecular electronics on silicon, nanowire-based sensors, and biochips, these methods may not be suitable as they can give rise to defects due to surface contamination, residual solvents, which in turn can affect the grafted monolayer devices for practical applications. Therefore, there is a need for a cleaner, reproducible, scalable, and environmentally benign monolayer grafting process. In this work, monolayers of alkylthiols were deposited on oxide-free semiconductor surfaces using supercritical carbon dioxide (SCCO2) as a carrier fluid owing to its favorable physical properties. The identity of grafted monolayers was monitored with Fourier transform infrared (FTIR) spectroscopy, high-resolution X-ray photoelectron spectroscopy (HRXPS), XPS, atomic force microscopy (AFM), contact angle measurements, and ellipsometry. Monolayers on oxide-free silicon were able to passivate the surface for more than 50 days (10 times than the conventional methods) without any oxide formation in ambient atmosphere. Application of the SCCO2 process was further extended by depositing alkylthiol monolayers on fragile and brittle 1D silicon nanowires (SiNWs) and 2D germanium substrates. With the recent interest in SiNWs for biological applications, the thiol-passivated oxide-free silicon nanowire surfaces were also studied for their biological response. Alkylthiol-functionalized SiNWs showed a significant decrease in cell proliferation owing to their superhydrophobicity combined with the rough surface morphology. Furthermore, tribological studies showed a sharp decrease in the coefficient of friction, which was found to be dependent on the alkyl chain length and surface bond. These studies can be used for the development of cost-effective and highly stable monolayers for practical applications such as solar cells, biosensors, molecular electronics, micro- and nano

  5. Understanding the role of Si doping on surface charge and optical properties: Photoluminescence study of intrinsic and Si-doped InN nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, S.; Mi, Z.; Kibria, M. G.; Li, Q.; Wang, G. T.

    2012-06-01

    In the present work, the photoluminescence (PL) characteristics of intrinsic and Si-doped InN nanowires are studied in detail. For intrinsic InN nanowires, the emission is due to band-to-band carrier recombination with the peak energy at ˜0.64 eV (at 300 K) and may involve free-exciton emission at low temperatures. The PL spectra exhibit a strong dependence on optical excitation power and temperature, which can be well characterized by the presence of very low residual electron density and the absence or a negligible level of surface electron accumulation. In comparison, the emission of Si-doped InN nanowires is characterized by the presence of two distinct peaks located at ˜0.65 and ˜0.73-0.75 eV (at 300 K). Detailed studies further suggest that these low-energy and high-energy peaks can be ascribed to band-to-band carrier recombination in the relatively low-doped nanowire bulk region and Mahan exciton emission in the high-doped nanowire near-surface region, respectively; this is a natural consequence of dopant surface segregation. The resulting surface electron accumulation and Fermi-level pinning, due to the enhanced surface doping, are confirmed by angle-resolved x-ray photoelectron spectroscopy measurements on Si-doped InN nanowires, which is in direct contrast to the absence or a negligible level of surface electron accumulation in intrinsic InN nanowires. This work elucidates the role of charge-carrier concentration and distribution on the optical properties of InN nanowires.

  6. Insights into semiconductor nanowire conductivity using electrodeposition

    NASA Astrophysics Data System (ADS)

    Liu, C.; Salehzadeh, O.; Poole, P. J.; Watkins, S. P.; Kavanagh, K. L.

    2012-10-01

    Copper (Cu) and iron (Fe) electrical contacts to gallium arsenide (GaAs) and indium arsenide (InAs) nanowires (NWs) have been fabricated via electrodeposition. For undoped or low carbon-doped (1017/cm-3), p-type GaAs NWs, Cu or Fe nucleate and grow only on the gold catalyst at the NW tip, avoiding the sidewalls. Metal growth is limited by the Au contact resistance due to thick sidewall depletion layers. For InAs NWs and heavier-doped, core-shell (undoped core-C-doped shell) GaAs NWs, metal nucleation and growth occurs on the sidewalls as well as on the gold catalyst limited now by the ion electrolyte diffusivity.

  7. Effect of Si-doping on InAs nanowire transport and morphology

    NASA Astrophysics Data System (ADS)

    Wirths, S.; Weis, K.; Winden, A.; Sladek, K.; Volk, C.; Alagha, S.; Weirich, T. E.; von der Ahe, M.; Hardtdegen, H.; Lüth, H.; Demarina, N.; Grützmacher, D.; Schäpers, Th.

    2011-09-01

    The effect of Si-doping on the morphology, structure, and transport properties of nanowires was investigated. The nanowires were deposited by selective-area metal organic vapor phase epitaxy in an N2 ambient. It is observed that doping systematically affects the nanowire morphology but not the structure of the nanowires. However, the transport properties of the wires are greatly affected. Room-temperature four-terminal measurements show that with an increasing dopant supply the conductivity monotonously increases. For the highest doping level the conductivity is higher by a factor of 25 compared to only intrinsically doped reference nanowires. By means of back-gate field-effect transistor measurements it was confirmed that the doping results in an increased carrier concentration. Temperature dependent resistance measurements reveal, for lower doping concentrations, a thermally activated semiconductor-type increase of the conductivity. In contrast, the nanowires with the highest doping concentration show a metal-type decrease of the resistivity with decreasing temperature.

  8. Solution-processed assembly of ultrathin transparent conductive cellulose nanopaper embedding AgNWs

    NASA Astrophysics Data System (ADS)

    Song, Yuanyuan; Jiang, Yaoquan; Shi, Liyi; Cao, Shaomei; Feng, Xin; Miao, Miao; Fang, Jianhui

    2015-08-01

    Natural biomass based cellulose nanopaper is becoming a promising transparent substrate to supersede traditional petroleum based polymer films in realizing future flexible paper-electronics. Here, ultrathin, highly transparent, outstanding conductive hybrid nanopaper with excellent mechanical flexibility was synthesized by the assembly of nanofibrillated cellulose (NFC) and silver nanowires (AgNWs) using a pressured extrusion paper-making technique. The hybrid nanopaper with a thickness of 4.5 μm has a good combination of transparent conductive performance and mechanical stability using bamboo/hemp NFC and AgNWs cross-linked by hydroxypropylmethyl cellulose (HPMC). The heterogeneous fibrous structure of BNFC/HNFC/AgNWs endows a uniform distribution and an enhanced forward light scattering, resulting in high electrical conductivity and optical transmittance. The hybrid nanopaper with an optimal weight ratio of BNFC/HNFC to AgNWs shows outstanding synergistic properties with a transmittance of 86.41% at 550 nm and a sheet resistance of 1.90 ohm sq-1, equal to the electronic conductivity, which is about 500 S cm-1. The BNFC/HNFC/AgNW hybrid nanopaper maintains a stable electrical conductivity after the peeling test and bending at 135° for 1000 cycles, indicating remarkably strong adhesion and mechanical flexibility. Of importance here is that the high-performance and low-cost hybrid nanopaper shows promising potential for electronics application in solar cells, flexible displays and other high-technology products.Natural biomass based cellulose nanopaper is becoming a promising transparent substrate to supersede traditional petroleum based polymer films in realizing future flexible paper-electronics. Here, ultrathin, highly transparent, outstanding conductive hybrid nanopaper with excellent mechanical flexibility was synthesized by the assembly of nanofibrillated cellulose (NFC) and silver nanowires (AgNWs) using a pressured extrusion paper-making technique. The

  9. An analysis of the growth of silver catalyzed In{sub x}Ga{sub 1−x}As nanowires on Si (100) by metal organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarkar, K.; Banerji, P., E-mail: pallab@matsc.iitkgp.ernet.in; Palit, M.

    2016-08-28

    A model is proposed here to understand the nucleation of III–V semiconductor nanowires (NW). Whereas the classical nucleation theory is not adequately sufficient in explaining the evolution of the shape of the NWs under different chemical environment such as flow rate or partial pressure of the precursors, the effect of adsorption and desorption mediated growth, and diffusion limited growth are taken into account to explain the morphology and the crystal structure of In{sub x}Ga{sub 1−x}As nanowires (NW) on Silicon (100) substrates grown by a metalorganic chemical vapor deposition technique. It is found that the monolayer nucleus that originates at themore » triple phase line covers the entire nucleus-substrate (NS) region at a specific level of supersaturation and there are cases when the monolayer covers a certain fraction of the NS interface. When the monolayer covers the total NS interface, NWs grow with perfect cylindrical morphology and whenever a fraction of the interface is covered by the nucleus, the NWs become curved as observed from high resolution transmission electron microscopy images. The supersaturation, i.e., the chemical potential is found to be governed by the concentration of precursors into the molten silver which in the present case is taken as a catalyst. Our study provides new insights into the growth of ternary NWs which will be helpful in understanding the behavior of growth of different semiconducting NWs.« less

  10. Highly sensitive wearable strain sensor based on silver nanowires and nanoparticles.

    PubMed

    Shengbo, Sang; Lihua, Liu; Aoqun, Jian; Qianqian, Duan; Jianlong, Ji; Qiang, Zhang; Wendong, Zhang

    2018-06-22

    Here, we propose a highly sensitive and stretchable strain sensor based on silver nanoparticles and nanowires (Ag NPs and NWs), advancing the rapid development of electronic skin. To improve the sensitivity of strain sensors based on silver nanowires (Ag NWs), Ag NPs and NWs were added to polydimethylsiloxane (PDMS) as an aid filler. Silver nanoparticles (Ag NPs) increase the conductive paths for electrons, leading to the low resistance of the resulting sensor (14.9 Ω). The strain sensor based on Ag NPs and NWs showed strong piezoresistivity with a tunable gauge factor (GF) at 3766, and a change in resistance as the strain linearly increased from 0% to 28.1%. The high GF demonstrates the irreplaceable role of Ag NPs in the sensor. Moreover, the applicability of our high-performance strain sensor has been demonstrated by its ability to sense movements caused by human talking, finger bending, wrist raising and walking.

  11. Highly sensitive wearable strain sensor based on silver nanowires and nanoparticles

    NASA Astrophysics Data System (ADS)

    Shengbo, Sang; Lihua, Liu; Aoqun, Jian; Qianqian, Duan; Jianlong, Ji; Qiang, Zhang; Wendong, Zhang

    2018-06-01

    Here, we propose a highly sensitive and stretchable strain sensor based on silver nanoparticles and nanowires (Ag NPs and NWs), advancing the rapid development of electronic skin. To improve the sensitivity of strain sensors based on silver nanowires (Ag NWs), Ag NPs and NWs were added to polydimethylsiloxane (PDMS) as an aid filler. Silver nanoparticles (Ag NPs) increase the conductive paths for electrons, leading to the low resistance of the resulting sensor (14.9 Ω). The strain sensor based on Ag NPs and NWs showed strong piezoresistivity with a tunable gauge factor (GF) at 3766, and a change in resistance as the strain linearly increased from 0% to 28.1%. The high GF demonstrates the irreplaceable role of Ag NPs in the sensor. Moreover, the applicability of our high-performance strain sensor has been demonstrated by its ability to sense movements caused by human talking, finger bending, wrist raising and walking.

  12. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  13. Functionalized ZnO nanowires for microcantilever biosensors with enhanced binding capability.

    PubMed

    Stassi, Stefano; Chiadò, Alessandro; Cauda, Valentina; Palmara, Gianluca; Canavese, Giancarlo; Laurenti, Marco; Ricciardi, Carlo

    2017-04-01

    An efficient way to increase the binding capability of microcantilever biosensors is here demonstrated by growing zinc oxide nanowires (ZnO NWs) on their active surface. A comprehensive evaluation of the chemical compatibility of ZnO NWs brought to the definition of an innovative functionalization method able to guarantee the proper immobilization of biomolecules on the nanostructured surface. A noteworthy higher amount of grafted molecules was evidenced with colorimetric assays on ZnO NWs-coated devices, in comparison with functionalized and activated silicon flat samples. ZnO NWs grown on silicon microcantilever arrays and activated with the proposed immobilization strategy enhanced the sensor binding capability (and thus the dynamic range) of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices. Graphical Abstract An efficient way to increase the binding capability of microcantilever biosensors is represented by growing zinc oxide nanowires (ZnO NWs) on their active surface. ZnO NWs grown on silicon microcantilever arrays and activated with an innovative immobilization strategy enhanced the sensor binding capability of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices.

  14. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g-1.

    PubMed

    Kim, Dongheun; Li, Nan; Sheehan, Chris J; Yoo, Jinkyoung

    2018-04-26

    Si/Ge core/shell nanowire heterostructures have been expected to provide high energy and power densities for lithium ion battery anodes due to the large capacity of Si and the high electrical and ionic conductivities of Ge. Although the battery anode performances of Si/Ge core/shell nanowire heterostructures have been characterized, the degradation of Si/Ge core/shell nanowire heterostructures has not been thoroughly investigated. Here we report the compositional and structural changes of the Si/Ge core/shell nanowire heterostructure over cycling of lithiation and delithiation at different charging rates. The Si/Ge core/shell nanowire heterostructure holds the core and shell structure at a charging rate of 0.8 A g-1 up to 50 cycles. On the other hand, compositional intermixing and loss of Si occur at a charging rate of 20 A g-1 within 50 cycles. The operation condition-dependent degradation provides a new aspect of materials research for the development of high performance lithium ion battery anodes with a long cycle life.

  15. Metallic Nanowire Interconnections for Integrated Circuit Fabrication

    NASA Technical Reports Server (NTRS)

    Ng, Hou Tee (Inventor); Li, Jun (Inventor); Meyyappan, Meyya (Inventor)

    2007-01-01

    A method for fabricating an electrical interconnect between two or more electrical components. A conductive layer is provided on a substarte and a thin, patterned catalyst array is deposited on an exposed surface of the conductive layer. A gas or vapor of a metallic precursor of a metal nanowire (MeNW) is provided around the catalyst array, and MeNWs grow between the conductive layer and the catalyst array. The catalyst array and a portion of each of the MeNWs are removed to provide exposed ends of the MeNWs.

  16. Micromagnetic evaluation of the dissipated heat in cylindrical magnetic nanowires

    NASA Astrophysics Data System (ADS)

    Fernandez-Roldan, Jose Angel; Serantes, David; del Real, Rafael P.; Vazquez, Manuel; Chubykalo-Fesenko, Oksana

    2018-05-01

    Magnetic nanowires (NWs) are promising candidates for heat generation under AC-field application due to their large shape anisotropy. They may be used for catalysis, hyperthermia, or water purification treatments. In the present work, we theoretically evaluate the heat dissipated by a single magnetic nanowire, originated from the domain wall (DW) dynamics under the action of an AC-field. We compare the Permalloy NWs (which demagnetize via the transverse wall propagation) with the Co fcc NWs whose reversal mode is via a vortex domain wall. The average hysteresis loop areas—which are proportional to the Specific Absorption Rate (SAR)—as a function of the field frequency have a pronounced maximum in the range 200 MHz-1 GHz. This maximum frequency is smaller in Permalloy than that in Co and depends on the nanowire length. A simple model related to the nucleation and propagation time and DW velocity (higher for the vortex than for the transverse domain wall) is proposed to explain the non-monotonic SAR dependence on the frequency.

  17. A silicon carbide nanowire field effect transistor for DNA detection

    NASA Astrophysics Data System (ADS)

    Fradetal, L.; Bano, E.; Attolini, G.; Rossi, F.; Stambouli, V.

    2016-06-01

    This work reports on the label-free electrical detection of DNA molecules for the first time, using silicon carbide (SiC) as a novel material for the realization of nanowire field effect transistors (NWFETs). SiC is a promising semiconductor for this application due to its specific characteristics such as chemical inertness and biocompatibility. Non-intentionally n-doped SiC NWs are first grown using a bottom-up vapor-liquid-solid (VLS) mechanism, leading to the NWs exhibiting needle-shaped morphology, with a length of approximately 2 μm and a diameter ranging from 25 to 60 nm. Then, the SiC NWFETs are fabricated and functionalized with DNA molecule probes via covalent coupling using an amino-terminated organosilane. The drain current versus drain voltage (I d-V d) characteristics obtained after the DNA grafting and hybridization are reported from the comparative and simultaneous measurements carried out on the SiC NWFETs, used either as sensors or references. As a representative result, the current of the sensor is lowered by 22% after probe DNA grafting and by 7% after target DNA hybridization, while the current of the reference does not vary by more than ±0.6%. The current decrease confirms the field effect induced by the negative charges of the DNA molecules. Moreover, the selectivity, reproducibility, reversibility and stability of the studied devices are emphasized by de-hybridization, non-complementary hybridization and re-hybridization experiments. This first proof of concept opens the way for future developments using SiC-NW-based sensors.

  18. Structural, electrical, and optical characterization of coalescent p-n GaN nanowires grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolkovsky, Vl.; Zytkiewicz, Z. R.; Sobanska, M.

    2015-12-14

    The electrical, structural, and optical properties of coalescent p-n GaN nanowires (NWs) grown by molecular beam epitaxy on Si (111) substrate are investigated. From photoluminescence measurements the full width at half maximum of bound exciton peaks AX and DA is found as 1.3 and 1.2 meV, respectively. These values are lower than those reported previously in the literature. The current-voltage characteristics show the rectification ratio of about 10{sup 2} and the leakage current of about 10{sup −4} A/cm{sup 2} at room temperature. We demonstrate that the thermionic mechanism is not dominant in these samples and spatial inhomogeneties and tunneling processes through amore » ∼2 nm thick SiN{sub x} layer between GaN and Si could be responsible for deviation from the ideal diode behavior. The free carrier concentration in GaN NWs determined by capacitance-voltage measurements is about 4 × 10{sup 15 }cm{sup −3}. Two deep levels (H190 and E250) are found in the structures. We attribute H190 to an extended defect located at the interface between the substrate and the SiN{sub x} interlayer or near the sidewalls at the bottom of the NWs, whereas E250 is tentatively assigned to a gallium-vacancy- or nitrogen interstitials-related defect.« less

  19. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Kotekar-Patil, D.; Nguyen, B.-M.; Yoo, J.; Dayeh, S. A.; Frolov, S. M.

    2017-09-01

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry-Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1-3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  20. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotekar-Patil, D.; Nguyen, B-M; Yoo, J.

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry–Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1–3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  1. Quasiballistic quantum transport through Ge/Si core/shell nanowires

    DOE PAGES

    Kotekar-Patil, D.; Nguyen, B-M; Yoo, J.; ...

    2017-09-04

    We study signatures of ballistic quantum transport of holes through Ge/Si core/shell nanowires at low temperatures. We observe Fabry–Pérot interference patterns as well as conductance plateaus at integer multiples of 2e 2/h at zero magnetic field. Magnetic field evolution of these plateaus reveals relatively large effective Landé g-factors. Ballistic effects are observed in nanowires with silicon shell thickness of 1–3 nm, but not in bare germanium wires. These findings inform the future development of spin and topological quantum devices which rely on ballistic sub-band-resolved transport.

  2. Self-assembled growth of GaN nanowires on amorphous Al x O y : from nucleation to the formation of dense nanowire ensembles.

    PubMed

    Sobanska, M; Fernández-Garrido, S; Zytkiewicz, Z R; Tchutchulashvili, G; Gieraltowska, S; Brandt, O; Geelhaar, L

    2016-08-12

    We present a comprehensive description of the self-assembled nucleation and growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy on amorphous Al x O y buffers (a-Al x O y ) prepared by atomic layer deposition. The results are compared with those obtained on nitridated Si(111). Using line-of-sight quadrupole mass spectrometry, we analyze in situ the incorporation of Ga starting from the incubation and nucleation stages till the formation of the final nanowire ensemble and observe qualitatively the same time dependence for the two types of substrates. However, on a-Al x O y the incubation time is shorter and the nucleation faster than on nitridated Si. Moreover, on a-Al x O y we observe a novel effect of decrease in incorporated Ga flux for long growth durations which we explain by coalescence of NWs leading to reduction of the GaN surface area where Ga may reside. Dedicated samples are used to analyze the evolution of surface morphology. In particular, no GaN nuclei are detected when growth is interrupted during the incubation stage. Moreover, for a-Al x O y , the same shape transition from spherical cap-shaped GaN crystallites to the NW-like geometry is found as it is known for nitridated Si. However, while the critical radius for this transition is only slightly larger for a-Al x O y than for nitridated Si, the critical height is more than six times larger for a-Al x O y . Finally, we observe that in fully developed NW ensembles, the substrate no longer influences growth kinetics and the same N-limited axial growth rate is measured on both substrates. We conclude that the same nucleation and growth processes take place on a-Al x O y as on nitridated Si and that these processes are of a general nature. Quantitatively, nucleation proceeds somewhat differently, which indicates the influence of the substrate, but once shadowing limits growth processes to the upper part of the NW ensemble, they are not affected anymore by the type of substrate.

  3. One-dimensional nanostructured materials for lithium-ion battery and supercapacitor electrodes

    NASA Astrophysics Data System (ADS)

    Chan, Candace Kay

    The need for improved electrochemical storage devices has necessitated research on new and advanced electrode materials. One-dimensional nanomaterials such as nanowires, nanotubes, and nanoribbons, can provide a unique opportunity to engineer electrochemical devices to have improved electronic and ionic conductivity as well as electrochemical and structural transformations. Silicon and germanium nanowires (NWs) were studied as negative electrode materials for lithiumion batteries because of their ability to alloy with large amounts of lithium, leading to 4-10 times higher specific capacities than the graphite standard. These nanowires could be grown vertically off of metallic current collector substrates using the gold-catalyzed vapor-liquid-solid synthesis. Electrochemical measurements of the SiNWs showed that capacities greater than 3,500 mAh/g could be obtained for tens of cycles, while hundreds of cycles could be obtained at lower capacities. As opposed to bulk Si, the SiNWs were observed to maintain their morphology during cycling and did not pulverize due to the large volume changes. Detailed TEM and XRD characterization showed that the SiNWs became amorphous during the first lithiation (charge) and formed a two-phase region between crystalline Si and amorphous Li xSi. Afterwards, the SiNWs remained amorphous and subsequent reaction was through a single-phase cycling of amorphous Si. The good cycling behavior compared to bulk and micron-sized Si particles was attributed to the nanowire morphology and electrode design. The surface chemistry and solid-electrolyte interphase (SEI) were studied using XPS as a function of charge and discharge potential. The common reduction productions expected in the electrolyte (1 M LiPF6 in 1:1 EC/DEC) were observed, with the main component being Li2CO3. The morphology of the SEI was found to change at different potentials, indicating a dynamic process involving deposition, dissolution, and re-deposition on the SiNWs. Longterm

  4. Mechanical behavior enhancement of ZnO nanowire by embedding different nanowires

    NASA Astrophysics Data System (ADS)

    Vazinishayan, Ali; Yang, Shuming; Lambada, Dasaradha Rao; Wang, Yiming

    2018-06-01

    In this work, we employed commercial finite element modeling (FEM) software package ABAQUS to analyze mechanical properties of ZnO nanowire before and after embedding with different kinds of nanowires, having different materials and cross-section models such as Au (circular), Ag (pentagonal) and Si (rectangular) using three point bending technique. The length and diameter of the ZnO nanowire were measured to be 12,280 nm and 103.2 nm, respectively. In addition, Au, Ag and Si nanowires were considered to have the length of 12,280 nm and the diameter of 27 nm. It was found that after embedding Si nanowire with rectangular cross-section into the ZnO nanowire, the distribution of Von Misses stresses criterion, displacement and strain were decreased than the other nanowires embedded. The highest stiffness, the elastic deformation and the high strength against brittle failure have been made by Si nanowire comparison to the Au and Ag nanowires, respectively.

  5. Charge instability in double quantum dots in Ge/Si core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Zarassi, Azarin; Su, Zhaoen; Schwenderling, Jens; Frolov, Sergey M.; Hocevar, Moïra; Nguyen, Binh-Minh; Yoo, Jinkyoung; Dayeh, Shadi A.

    Controlling dephasing times are of great challenge in the studies of spin qubit. Reported long spin coherence time and predicted strong spin-orbit interaction of holes in Ge/Si core/shell nanowires, as well as their weak coupling to very few nuclear spins of these group IV semiconductors, persuade electrical spin control. We have established Pauli spin blockade in gate-tunable quantum dots formed in these nanowires. The g-factor has been measured and evidence of spin-orbit interaction has been observed in the presence of magnetic field. However, electrical control of spins requires considerable stability in the double dot configuration, and imperfectly these dots suffer from poor stability. We report on fabrication modifications on Ge/Si core/shell nanowires, as well as measurement techniques to suppress the charge instabilities and ease the way to study spin-orbit coupling and resolve electric dipole spin resonance.

  6. Single nanowire extinction spectroscopy.

    PubMed

    Giblin, Jay; Vietmeyer, Felix; McDonald, Matthew P; Kuno, Masaru

    2011-08-10

    Here we show the first direct extinction spectra of single one-dimensional (1D) semiconductor nanostructures obtained at room temperature utilizing a spatial modulation approach. (1) For these materials, ensemble averaging in conventional extinction spectroscopy has limited our understanding of the interplay between carrier confinement and their electrostatic interactions. (2-4) By probing individual CdSe nanowires (NWs), we have identified and assigned size-dependent exciton transitions occurring across the visible. In turn, we have revealed the existence of room temperature 1D excitons in the narrowest NWs.

  7. Synthesis and properties of ultra-long InP nanowires on glass.

    PubMed

    Dhaka, Veer; Pale, Ville; Khayrudinov, Vladislav; Kakko, Joona-Pekko; Haggren, Tuomas; Jiang, Hua; Kauppinen, Esko; Lipsanen, Harri

    2016-12-16

    We report on the synthesis of Au-catalyzed InP nanowires (NWs) on low-cost glass substrates. Ultra-dense and ultra-long (up to ∼250 μm) InP NWs, with an exceptionally high growth rate of ∼25 μm min -1 , were grown directly on glass using metal organic vapor phase epitaxy (MOVPE). Structural properties of InP NWs grown on glass were similar to the ones grown typically on Si substrates showing many structural twin faults but the NWs on glass always exhibited a stronger photoluminescence (PL) intensity at room temperature. The PL measurements of NWs grown on glass reveal two additional prominent impurity related emission peaks at low temperature (10 K). In particular, the strongest unusual emission peak with an activation energy of 23.8 ± 2 meV was observed at 928 nm. Different possibilities including the role of native defects (phosphorus and/or indium vacancies) are discussed but most likely the origin of this PL peak is related to the impurity incorporation from the glass substrate. Furthermore, despite the presence of suspected impurities, the NWs on glass show outstanding light absorption in a wide spectral range (60%-95% for λ = 300-1600 nm). The optical properties and the NW growth mechanism on glass is discussed qualitatively. We attribute the exceptionally high growth rate mostly to the atmospheric pressure growth conditions of our MOVPE reactor and stronger PL intensity on glass due to the impurity doping. Overall, the III-V NWs grown on glass are similar to the ones grown on semiconductor substrates but offer additional advantages such as low-cost and light transparency.

  8. A Novel Bimetallic NiMo Carbide Nanowire Array for Efficient Hydrogen Evolution.

    PubMed

    Guo, Lixia; Wang, Jianying; Teng, Xue; Liu, Yangyang; He, Xiaoming; Chen, Zuofeng

    2018-06-12

    Design and fabrication of noble metal-free hydrogen evolution electrocatalysts with high activity is significant to future renewable energy systems. In this work, self-supported NiMo carbide nanowires have been developed on carbon cloth (Ni3Mo3C@NPC NWs/CC; NPC is N,P-doped carbon) through an electropolymerization-assisted procedure. During the synthesis process, NiMoO4 nanowires were first grown on CC through a hydrothermal reaction which is free of any polymer binder like Nafion. The as-prepared NiMoO4 NWs/CC was then coated by a layer of polypyrole (PPy) by electropolymerization that serves as carbon source for the subsequent conversion to Ni3Mo3C@NPC NWs/CC by carbothermal reduction. The experimental results indicate that the judicious choices of the amount of coated PPy and the pyrolysis temperature are essential for obtaining pure phase and nanowire array structure of Ni3Mo3C@NPC NWs/CC. Benefitting from the pure phase of bimetallic carbide, the unique architecture of nanowire array and the self-supported merit, the optimized Ni3Mo3C@NPC NWs/CC electrode exhibits excellent HER performance in both acidic and alkaline media. It requires low overpotentials of 161 mV and 215 mV to afford a high current density of 100 mA cm-2 toward the HER in acidic and alkaline media, respectively, and the catalytic activity is maintained for at least 48 h, which makes it among the best HER electrocatalysts based on metallic carbides yet reported. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Effect of cobalt doping on the mechanical properties of ZnO nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vahtrus, Mikk; Šutka, Andris

    In this work, we investigate the influence of doping on the mechanical properties of ZnO nanowires (NWs) by comparing the mechanical properties of pure and Co-doped ZnO NWs grown in similar conditions and having the same crystallographic orientation [0001]. The mechanical characterization included three-point bending tests made with atomic force microscopy and cantilever beam bending tests performed inside scanning electron microscopy. It was found that the Young's modulus of ZnO NWs containing 5% of Co was approximately a third lower than that of the pure ZnO NWs. Bending strength values were comparable for both materials and in both cases weremore » close to theoretical strength indicating high quality of NWs. Dependence of mechanical properties on NW diameter was found for both doped and undoped ZnO NWs. - Highlights: •Effect of Co doping on the mechanical properties of ZnO nanowires is studied. •Co substitutes Zn atoms in ZnO crystal lattice. •Co addition affects crystal lattice parameters. •Co addition results in significantly decreased Young's modulus of ZnO. •Bending strength for doped and undoped wires is close to the theoretical strength.« less

  10. Thermal conductivity of hexagonal Si and hexagonal Si nanowires from first-principles

    NASA Astrophysics Data System (ADS)

    Raya-Moreno, Martí; Aramberri, Hugo; Seijas-Bellido, Juan Antonio; Cartoixà, Xavier; Rurali, Riccardo

    2017-07-01

    We calculate the thermal conductivity, κ, of the recently synthesized hexagonal diamond (lonsdaleite) Si using first-principles calculations and solving the Boltzmann Transport Equation. We find values of κ which are around 40% lower than in the common cubic diamond polytype of Si. The trend is similar for [111] Si nanowires, with reductions of the thermal conductivity that are even larger than in the bulk in some diameter range. The Raman active modes are identified, and the role of mid-frequency optical phonons that arise as a consequence of the reduced symmetry of the hexagonal lattice is discussed. We also show briefly that popular classic potentials used in molecular dynamics might not be suited to describe hexagonal polytypes, discussing the case of the Tersoff potential.

  11. Template-Assisted Scalable Nanowire Networks

    NASA Astrophysics Data System (ADS)

    Friedl, Martin; Cerveny, Kris; Weigele, Pirmin; Tütüncüoglu, Gozde; Martí-Sánchez, Sara; Huang, Chunyi; Patlatiuk, Taras; Potts, Heidi; Sun, Zhiyuan; Hill, Megan O.; Güniat, Lucas; Kim, Wonjong; Zamani, Mahdi; Dubrovskii, Vladimir G.; Arbiol, Jordi; Lauhon, Lincoln J.; Zumbühl, Dominik M.; Fontcuberta i Morral, Anna

    2018-04-01

    Topological qubits based on Majorana fermions have the potential to revolutionize the emerging field of quantum computing by making information processing significantly more robust to decoherence. Nanowires (NWs) are a promising medium for hosting these kinds of qubits, though branched NWs are needed to perform qubit manipulations. Here we report gold-free templated growth of III-V NWs by molecular beam epitaxy using an approach that enables patternable and highly regular branched NW arrays on a far greater scale than what has been reported thus far. Our approach relies on the lattice-mismatched growth of InAs on top of defect-free GaAs nanomembranes (NMs) yielding laterally-oriented, low-defect InAs and InGaAs NWs whose shapes are determined by surface and strain energy minimization. By controlling NM width and growth time, we demonstrate the formation of compositionally graded NWs with cross-sections less than 50 nm. Scaling the NWs below 20 nm leads to the formation of homogenous InGaAs NWs which exhibit phase-coherent, quasi-1D quantum transport as shown by magnetoconductance measurements. These results are an important advance towards scalable topological quantum computing.

  12. Optimization of dielectric matrix for ZnO nanowire based nanogenerators

    NASA Astrophysics Data System (ADS)

    Kannan, Santhosh; Parmar, Mitesh; Tao, Ran; Ardila, Gustavo; Mouis, Mireille

    2016-11-01

    This paper reports the role of selection of suitable dielectric layer in nanogenerator (NG) structure and its influence on the output performance. The basic NG structure is a composite material integrating hydrothermally grown vertical piezoelectric zinc oxide (ZnO) nanowires (NWs) into a dielectric matrix. To accomplish this study, three materials - poly methyl methacrylate (PMMA), silicon nitride (Si3N4) and aluminium oxide (Al2O3) are selected, processed and used as matrix dielectric in NGs. Scanning electron microscopy (SEM) analysis shows the well-aligned NWs with a diameter of 200±50 nm and length of 3.5±0.3 μm. This was followed by dielectric material deposition as a matrix material. After fabricating NG devices, the output generated voltage under manual and automatic bending were recorded, observed and analyzed for the selection of the best dielectric material to obtain an optimum output. The maximum peak-to-peak open-circuit voltage output for PMMA, Si3N4 and Al2O3 under manual bending was recorded as approximately 880 mV, 1.2 V and 2.1 V respectively. These preliminary results confirm the predicted effect of using more rigid dielectrics as matrix material for the NGs. The generated voltage is increased by about 70% using Si3N4 or Al2O3, instead of a less rigid material as PMMA.

  13. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lian, Suoyuan; School of Chemical Engineering and Materials, Dalian Polytechnic University, Dalian 116034; Tsang, Chi Him A.

    Graphical abstract: H-SiNWs can catalyze hydroxylation of benzene and degradation of methyl red under visible light irradiation. Highlights: Black-Right-Pointing-Pointer Hydrogen-terminated silicon nanowires were active photocatalyst in the hydroxylation of benzene under light. Black-Right-Pointing-Pointer Hydrogen-terminated silicon nanowires were also effective in the decomposition of methyl red dye. Black-Right-Pointing-Pointer The Si/SiO{sub x} core-shell structure is the main reason of the obtained high selectivity during the hydroxylation. -- Abstract: Hydrogen-terminated silicon nanowires (H-SiNWs) were used as heterogeneous photocatalysts for the hydroxylation of benzene and for the decomposition of methyl red under visible light irradiation. The above reactions were monitored by GC-MS and UV-Vismore » spectrophotometry, respectively, which shows 100% selectivity for the transformation of benzene to phenol. A complete decomposition of a 2 Multiplication-Sign 10{sup -4} M methyl red solution was achieved within 30 min. The high selectivity for the hydroxylation of benzene and the photodecomposition demonstrate the catalytic activity of ultrafine H-SiNWs during nanocatalysis.« less

  14. Copper Nanowires: A Substitute for Noble Metals to Enhance Photocatalytic H2 Generation.

    PubMed

    Xiao, Shuning; Liu, Peijue; Zhu, Wei; Li, Guisheng; Zhang, Dieqing; Li, Hexing

    2015-08-12

    Microwave-assisted hydrothermal approach was developed as a general strategy to decorate copper nanowires (CuNWs) with nanorods (NRs) or nanoparticles (NPs) of metal oxides, metal sulfides, and metal organic frameworks (MOFs). The microwave irradiation induced local "super hot" dots generated on the CuNWs surface, which initiated the adsorption and chemical reactions of the metal ions, accompanied by the growth and assembly of NPs building blocks along the metal nanowires' surfaces. This solution-processed approach enables the NRs (NPs) @CuNWs hybrid structure to exhibit three unique characteristics: (1) high coverage density of NRs (NPs) per NWs with the morphology of NRs (NPs) directly growing from the CuNWs core, (2) intimate contact between CuNWs and NRs (NPs), and (3) flexible choices of material composition. Such hybrid structures also increased light absorption by light scattering. In general, the TiO2/CuNWs showed excellent photocatalytic activity for H2 generation. The corresponding hydrogen production rate is 5104 μmol h(-1) g(-1) with an apparent quantum yield (AQY) of 17.2%, a remarkably high AQY among the noble-metal free TiO2 photocatalysts. Such performance may be associated with the favorable geometry of the hybrid system, which is characterized by a large contact area between the photoactive materials (TiO2) and the H2 evolution cocatalyst (Cu), the fast and short diffusion paths of photogenerated electrons transferring from the TiO2 to the CuNWs. This study not only shows a possibility for the utilization of low cost copper nanowires as a substitute for noble metals in enhanced solar photocatalytic H2 generation but also exhibits a general strategy for fabricating other highly active H2 production photocatalysts by a facile microwave-assisted solution approach.

  15. Magnetic-optical bifunctional CoPt3/Co multilayered nanowire arrays

    NASA Astrophysics Data System (ADS)

    Su, Yi-Kun; Yan, Zhi-Long; Wu, Xi-Ming; Liu, Huan; Ren, Xiao; Yang, Hai-Tao

    2015-10-01

    CoPt3/Co multilayered nanowire (NW) arrays are synthesized by pulsed electrodeposition into nanoporous anodic aluminum oxide (AAO) templates. The electrochemistry deposition parameters are determined by cyclic voltammetry to realize the well control of the ratio of Co to Pt and the length of every segment. The x-ray diffraction (XRD) patterns show that both Co and CoPt3 NWs exhibit face-centered cubic (fcc) structures. In the UV-visible absorption spectra, CoPt3/Co NW arrays show a red-shift with respect to pure CoPt3NWs. Compared with the pure Co nanowire arrays, the CoPt3/Co multilayered nanowire arrays show a weak shape anisotropy and well-modulated magnetic properties. CoPt3/Co multilayered nanowires are highly encouraging that new families of bimetallic nanosystems may be developed to meet the needs of nanomaterials in emerging multifunctional nanotechnologies. Project supported by the National Natural Science Foundation of China (Grant Nos. 51472165, 51471185, and 11274370).

  16. Polarization Dependence of Surface Enhanced Raman Scattering on a Single Dielectric Nanowire

    DTIC Science & Technology

    2012-01-01

    original work is properly cited. Our measurements of surface enhanced Raman scattering (SERS) on Ga2O3 dielectric nanowires (NWs) core/silver composites...process has been widely discussed [15–21]. In this work, a highly effective SERS composite of dielectric Ga2O3 NWs core/silver was employed to investigate...self-assembled monolayer of active SERS molecules on the NWs may affect the SERS enhancement as well. 2. Experimental Details Random Ga2O3 NWs were

  17. Self-Cleaning Anticondensing Glass via Supersonic Spraying of Silver Nanowires, Silica, and Polystyrene Nanoparticles.

    PubMed

    Lee, Jong-Gun; An, Seongpil; Kim, Tae-Gun; Kim, Min-Woo; Jo, Hong-Seok; Swihart, Mark T; Yarin, Alexander L; Yoon, Sam S

    2017-10-11

    We have sequentially deposited layers of silver nanowires (AgNWs), silicon dioxide (SiO 2 ) nanoparticles, and polystyrene (PS) nanoparticles on uncoated glass by a rapid low-cost supersonic spraying method to create antifrosting, anticondensation, and self-cleaning glass. The conductive silver nanowire network embedded in the coating allows electrical heating of the glass surface. Supersonic spraying is a single-step coating technique that does not require vacuum. The fabricated multifunctional glass was characterized by X-ray diffraction analysis (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), ultraviolet-visible spectroscopy, and transmission electron microscopy (TEM). The thermal insulation and antifrosting performance were demonstrated using infrared thermal imaging. The reliability of the electrical heating function was tested through extensive cycling. This transparent multifunctional coating holds great promise for use in various smart window designs.

  18. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  19. Nickel-copper oxide nanowires for highly sensitive sensing of glucose

    NASA Astrophysics Data System (ADS)

    Bai, Xiaofang; Chen, Wei; Song, Yanfang; Zhang, Jiazhou; Ge, Ruipeng; Wei, Wei; Jiao, Zheng; Sun, Yuhan

    2017-10-01

    Accurate determination of glucose is of considerable importance in diverse fields such as clinical diagnostics, biotechnology, and food industry. A low-cost and easy to scale-up approach has been developed for the preparation of nickel-copper oxide nanowires (Ni-CuO NWs) with hierarchical structures comprising porous NiO substrate and CuO nanowires. The successfully prepared Ni-CuO NWs were exploited as non-enzymatic electrochemical sensing probes for the reliable detection of glucose. Electrochemical measurements such as cyclic voltammetry (CV) and chronoamperometry (CA) illustrated that the Ni-CuO NWs exhibited excellent electrochemical performance toward glucose oxidation with a superior sensitivity of 5610.6 μA mM-1 cm-2, a low detection limit of 0.07 μM, a wide linear range from 0.2 to 3.0 mM, and a good selectivity. This was attributed to the synergetic effect of the hierarchical structures and active Ni(OH)2 surface species in Ni-CuO NWs. The rational design of the metal oxide composites provided an efficient strategy for the fabrication of electrochemical non-enzymatic sensors.

  20. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  1. High Piezoelectric Conversion Properties of Axial InGaN/GaN Nanowires.

    PubMed

    Jegenyes, Nikoletta; Morassi, Martina; Chrétien, Pascal; Travers, Laurent; Lu, Lu; Julien, Francois H; Tchernycheva, Maria; Houzé, Frédéric; Gogneau, Noelle

    2018-05-25

    We demonstrate for the first time the efficient mechanical-electrical conversion properties of InGaN/GaN nanowires (NWs). Using an atomic force microscope equipped with a modified Resiscope module, we analyse the piezoelectric energy generation of GaN NWs and demonstrate an important enhancement when integrating in their volume a thick In-rich InGaN insertion. The piezoelectric response of InGaN/GaN NWs can be tuned as a function of the InGaN insertion thickness and position in the NW volume. The energy harvesting is favoured by the presence of a PtSi/GaN Schottky diode which allows to efficiently collect the piezo-charges generated by InGaN/GaN NWs. Average output voltages up to 330 ± 70 mV and a maximum value of 470 mV per NW has been measured for nanostructures integrating 70 nm-thick InGaN insertion capped with a thin GaN top layer. This latter value establishes an increase of about 35% of the piezo-conversion capacity in comparison with binary p-doped GaN NWs. Based on the measured output signals, we estimate that one layer of dense InGaN/GaN-based NW can generate a maximum output power density of about 3.3 W/cm². These results settle the new state-of-the-art for piezo-generation from GaN-based NWs and offer a promising perspective for extending the performances of the piezoelectric sources.

  2. Copper silicide/silicon nanowire heterostructures: in situ TEM observation of growth behaviors and electron transport properties.

    PubMed

    Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Huang, Yu-Ting; Hu, Jung-Chih; Chen, Lien-Tai; Hsin, Cheng-Lun; Wu, Wen-Wei

    2013-06-07

    Copper silicide has been studied in the applications of electronic devices and catalysts. In this study, Cu3Si/Si nanowire heterostructures were fabricated through solid state reaction in an in situ transmission electron microscope (TEM). The dynamic diffusion of the copper atoms in the growth process and the formation mechanism are characterized. We found that two dimensional stacking faults (SF) may retard the growth of Cu3Si. Due to the evidence of the block of edge-nucleation (heterogeneous) by the surface oxide, center-nucleation (homogeneous) is suggested to dominate the silicidation. Furthermore, the electrical transport properties of various silicon channel length with Cu3Si/Si heterostructure interfaces and metallic Cu3Si NWs have been investigated. The observations not only provided an alternative pathway to explore the formation mechanisms and interface properties of Cu3Si/Si, but also suggested the potential application of Cu3Si at nanoscale for future processing in nanotechnology.

  3. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires

    PubMed Central

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V.; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-01

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices. PMID:26751282

  4. Spatial potential ripples of azimuthal surface modes in topological insulator Bi2Te3 nanowires.

    PubMed

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V; Alvaro, Raquel; Gooth, Johannes; Salmeron, Miquel; Martin-Gonzalez, Marisol

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi2Te3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density of states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.

  5. Spatial potential ripples of azimuthal surface modes in topological insulator Bi 2Te 3 nanowires

    DOE PAGES

    Muñoz Rojo, Miguel; Zhang, Yingjie; Manzano, Cristina V.; ...

    2016-01-11

    Topological insulators (TI) nanowires (NW) are an emerging class of structures, promising both novel quantum effects and potential applications in low-power electronics, thermoelectrics and spintronics. However, investigating the electronic states of TI NWs is complicated, due to their small lateral size, especially at room temperature. Here, we perform scanning probe based nanoscale imaging to resolve the local surface potential landscapes of Bi 2Te 3 nanowires (NWs) at 300 K. We found equipotential rings around the NWs perimeter that we attribute to azimuthal 1D modes. Along the NW axis, these modes are altered, forming potential ripples in the local density ofmore » states, due to intrinsic disturbances. Potential mapping of electrically biased NWs enabled us to accurately determine their conductivity which was found to increase with the decrease of NW diameter, consistent with surface dominated transport. Finally, our results demonstrate that TI NWs can pave the way to both exotic quantum states and novel electronic devices.« less

  6. Copper Nanowires and Their Applications for Flexible, Transparent Conducting Films: A Review

    PubMed Central

    Nam, Vu Binh; Lee, Daeho

    2016-01-01

    Cu nanowires (NWs) are attracting considerable attention as alternatives to Ag NWs for next-generation transparent conductors, replacing indium tin oxide (ITO) and micro metal grids. Cu NWs hold great promise for low-cost fabrication via a solution-processed route and show preponderant optical, electrical, and mechanical properties. In this study, we report a summary of recent advances in research on Cu NWs, covering the optoelectronic properties, synthesis routes, deposition methods to fabricate flexible transparent conducting films, and their potential applications. This review also examines the approaches on protecting Cu NWs from oxidation in air environments. PMID:28344304

  7. Self-limited growth of the CaF nanowire on the Si(5 5 12)-2 × 1 template

    NASA Astrophysics Data System (ADS)

    Kim, Hidong; Duvjir, Ganbat; Dugerjav, Otgonbayar; Li, Huiting; Motlak, Moaaed; Arvisbaatar, Amarmunkh; Seo, Jae M.

    2012-10-01

    The atomic structure and interfacial bonding of the ordered-and-isolated CaF nanowires on Si(5 5 12)-2 × 1 have been disclosed by scanning tunneling microscopy and synchrotron photoemission spectroscopy. Initially, CaF molecules dissociated from thermally deposited CaF2 molecules are adsorbed preferentially on the chain structures of Si(5 5 12)-2 × 1 held at 500 °C. With increasing CaF2 deposition amount, one-dimensional (1D) CaF nanowires composed of (113) and (111) facets are formed. The line density of these CaF nanowires increases as a function of deposition amount. Finally, at a submonolayer coverage, the surface is saturated with these 1D nanowires except for the (225) subunit, while the original period of Si(5 5 12)-2 × 1, 5.35 nm, is preserved. It has been deduced by the present studies that, owing to these preferential adsorption of CaF and facet-dependent growth of a CaF layer within a unit periodic length of Si(5 5 12)-2 × 1, such a self-limited growth of the CaF nanowire with a high aspect ratio becomes possible.

  8. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    NASA Astrophysics Data System (ADS)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  9. High performance Ω-gated Ge nanowire MOSFET with quasi-metallic source/drain contacts.

    PubMed

    Burchhart, T; Zeiner, C; Hyun, Y J; Lugstein, A; Hochleitner, G; Bertagnolli, E

    2010-10-29

    Ge nanowires (NWs) about 2 µm long and 35 nm in diameter are grown heteroepitaxially on Si(111) substrates in a hot wall low-pressure chemical vapor deposition (LP-CVD) system using Au as a catalyst and GeH(4) as precursor. Individual NWs are contacted to Cu pads via e-beam lithography, thermal evaporation and lift-off techniques. Self-aligned and atomically sharp quasi-metallic copper-germanide source/drain contacts are achieved by a thermal activated phase formation process. The Cu(3)Ge segments emerge from the Cu contact pads through axial diffusion of Cu which was controlled in situ by SEM, thus the active channel length of the MOSFET is adjusted without any restrictions from a lithographic process. Finally the conductivity of the channel is enhanced by Ga(+) implantation leading to a high performance Ω-gated Ge-NW MOSFET with saturation currents of a few microamperes.

  10. Comparison of Ti-Based Coatings on Silicon Nanowires for Phosphopeptide Enrichment and Their Laser Assisted Desorption/Ionization Mass Spectrometry Detection

    PubMed Central

    Kurylo, Ievgen; Hamdi, Abderrahmane; Addad, Ahmed; Coffinier, Yannick

    2017-01-01

    We created different TiO2-based coatings on silicon nanowires (SiNWs) by using either thermal metallization or atomic layer deposition (ALD). The fabricated surfaces were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), and reflectivity measurements. Surfaces with different TiO2 based coating thicknesses were then used for phosphopeptide enrichment and subsequent detection by laser desorption/ionization mass spectrometry (LDI-MS). Results showed that the best enrichment and LDI-MS detection were obtained using the silicon nanowires covered with 10 nm of oxidized Ti deposited by means of thermal evaporation. This sample was also able to perform phosphopeptide enrichment and MS detection from serum. PMID:28914806

  11. Nanowire Optoelectronics

    NASA Astrophysics Data System (ADS)

    Wang, Zhihuan; Nabet, Bahram

    2015-12-01

    Semiconductor nanowires have been used in a variety of passive and active optoelectronic devices including waveguides, photodetectors, solar cells, light-emitting diodes (LEDs), lasers, sensors, and optical antennas. We review the optical properties of these nanowires in terms of absorption, guiding, and radiation of light, which may be termed light management. Analysis of the interaction of light with long cylindrical/hexagonal structures with subwavelength diameters identifies radial resonant modes, such as Leaky Mode Resonances, or Whispering Gallery modes. The two-dimensional treatment should incorporate axial variations in "volumetric modes,"which have so far been presented in terms of Fabry-Perot (FP), and helical resonance modes. We report on finite-difference timedomain (FDTD) simulations with the aim of identifying the dependence of these modes on geometry (length, width), tapering, shape (cylindrical, hexagonal), core-shell versus core-only, and dielectric cores with semiconductor shells. This demonstrates how nanowires (NWs) form excellent optical cavities without the need for top and bottommirrors. However, optically equivalent structures such as hexagonal and cylindrical wires can have very different optoelectronic properties meaning that light management alone does not sufficiently describe the observed enhancement in upward (absorption) and downward transitions (emission) of light inNWs; rather, the electronic transition rates should be considered. We discuss this "rate management" scheme showing its strong dimensional dependence, making a case for photonic integrated circuits (PICs) that can take advantage of the confluence of the desirable optical and electronic properties of these nanostructures.

  12. Helical coil buckling mechanism for a stiff nanowire on an elastomeric substrate

    NASA Astrophysics Data System (ADS)

    Chen, Youlong; Liu, Yilun; Yan, Yuan; Zhu, Yong; Chen, Xi

    2016-10-01

    When a stiff nanowire is deposited on a compliant soft substrate, it may buckle into a helical coil form when the system is compressed. Using theoretical and finite element method (FEM) analyses, the detailed three-dimensional coil buckling mechanism for a silicon nanowire (SiNW) on a polydimethylsiloxane (PDMS) substrate is studied. A continuum mechanics approach based on the minimization of the strain energy in the SiNW and elastomeric substrate is developed. Due to the helical buckling, the bending strain in SiNW is significantly reduced and the maximum local strain is almost uniformly distributed along SiNW. Based on the theoretical model, the energy landscape for different buckling modes of SiNW on PDMS substrate is given, which shows that both the in-plane and out-of-plane buckling modes have the local minimum potential energy, whereas the helical buckling model has the global minimum potential energy. Furthermore, the helical buckling spacing and amplitudes are deduced, taking into account the influences of the elastic properties and dimensions of SiNWs. These features are verified by systematic FEM simulations and parallel experiments. As the effective compressive strain in elastomeric substrate increases, the buckling profile evolves from a vertical ellipse to a lateral ellipse, and then approaches to a circle when the effective compressive strain is larger than 30%. The study may shed useful insights on the design and optimization of high-performance stretchable electronics and 3D complex nano-structures.

  13. Characterization of planar pn heterojunction diodes constructed with Cu2O nanoparticle films and single ZnO nanowires.

    PubMed

    Kwak, Kiyeol; Cho, Kyoungah; Kim, Sangsig

    2013-05-01

    In this study, we fabricate planar pn heterojunction diodes composed of Cu2O nanoparticle (NP) films and single ZnO nanowires (NWs) on SiO2 (300 nm)/Si substrates and investigate their characteristics in the dark and under the illumination of white light and 325 nm wavelength light. The diode at bias voltages of +/- 1 V shows rectification ratios of 10 (in the dark) and 34 (under the illumination of white light). On the other hand, the diode exposed to the 325 nm wavelength light exhibits Ohmic characteristics which are associated with efficient photocurrent generation in both the Cu2O NP film and the single ZnO NW.

  14. Solution-processed assembly of ultrathin transparent conductive cellulose nanopaper embedding AgNWs.

    PubMed

    Song, Yuanyuan; Jiang, Yaoquan; Shi, Liyi; Cao, Shaomei; Feng, Xin; Miao, Miao; Fang, Jianhui

    2015-08-28

    Natural biomass based cellulose nanopaper is becoming a promising transparent substrate to supersede traditional petroleum based polymer films in realizing future flexible paper-electronics. Here, ultrathin, highly transparent, outstanding conductive hybrid nanopaper with excellent mechanical flexibility was synthesized by the assembly of nanofibrillated cellulose (NFC) and silver nanowires (AgNWs) using a pressured extrusion paper-making technique. The hybrid nanopaper with a thickness of 4.5 μm has a good combination of transparent conductive performance and mechanical stability using bamboo/hemp NFC and AgNWs cross-linked by hydroxypropylmethyl cellulose (HPMC). The heterogeneous fibrous structure of BNFC/HNFC/AgNWs endows a uniform distribution and an enhanced forward light scattering, resulting in high electrical conductivity and optical transmittance. The hybrid nanopaper with an optimal weight ratio of BNFC/HNFC to AgNWs shows outstanding synergistic properties with a transmittance of 86.41% at 550 nm and a sheet resistance of 1.90 ohm sq(-1), equal to the electronic conductivity, which is about 500 S cm(-1). The BNFC/HNFC/AgNW hybrid nanopaper maintains a stable electrical conductivity after the peeling test and bending at 135° for 1000 cycles, indicating remarkably strong adhesion and mechanical flexibility. Of importance here is that the high-performance and low-cost hybrid nanopaper shows promising potential for electronics application in solar cells, flexible displays and other high-technology products.

  15. Fabrication and Performance Study on Individual Zno Nanowires Based Bioelectrode

    NASA Astrophysics Data System (ADS)

    Zhao, Yanguang; Yan, Xiaoqin; Kang, Zhuo; Lin, Pei

    2012-08-01

    One-dimensional zinc oxide nanowires (ZnO NWs) have unique advantages for use in biosensors as follows: oxide stable surface, excellent biosafety, high specific surface area, high isoelectric point (IEP = 9.5). In this work, we have prepared a kind of electrochemical bioelectrode based on individual ZnO NWs. Here, ZnO NWs with high quality were successfully synthesized by CVD method, which were characterized by scanning electron microscopy, X-ray diffraction and photoluminescence. Then the Raman spectra and electrical characterization demonstrated the adsorption of uricase on ZnO wires. At last, a series of electrochemical measurements were carried out by using an electrochemical workstation with a conventional three-electrode system to obtain the cyclic voltammetry characteristics of the bioelectrodes. The excellent performance of the fabricated bioelectrode implies the potential application for single ZnO nanowire to construct electrochemical biosensor for the detection of uric acid.

  16. Improvement of efficiency in graphene/gallium nitride nanowire on Silicon photoelectrode for overall water splitting

    NASA Astrophysics Data System (ADS)

    Bae, Hyojung; Rho, Hokyun; Min, Jung-Wook; Lee, Yong-Tak; Lee, Sang Hyun; Fujii, Katsushi; Lee, Hyo-Jong; Ha, Jun-Seok

    2017-11-01

    Gallium nitride (GaN) nanowires are one of the most promising photoelectrode materials due to their high stability in acidic and basic electrolytes, and tunable band edge potentials. In this study, GaN nanowire arrays (GaN NWs) were prepared by molecular beam epitaxy (MBE); their large surface area enhanced the solar to hydrogen conversion efficiency. More significantly, graphene was grown by chemical vapor deposition (CVD), which enhanced the electron transfer between NWs for water splitting and protected the GaN NW surface. Structural characterizations of the prepared composite were performed using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The photocurrent density of Gr/GaN NWs exhibited a two-fold increase over pristine GaN NWs and sustained water splitting up to 70 min. These improvements may accelerate possible applications for hydrogen generation with high solar to hydrogen conversion efficiency.

  17. Mode tunable p-type Si nanowire transistor based zero drive load logic inverter.

    PubMed

    Moon, Kyeong-Ju; Lee, Tae-Il; Lee, Sang-Hoon; Han, Young-Uk; Ham, Moon-Ho; Myoung, Jae-Min

    2012-07-25

    A design platform for a zero drive load logic inverter consisting of p-channel Si nanowire based transistors, which controlled their operating mode through an implantation into a gate dielectric layer was demonstrated. As a result, a nanowire based class D inverter having a 4.6 gain value at V(DD) of -20 V was successfully fabricated on a substrate.

  18. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  19. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  20. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  1. Substantial enhancement of energy storage capability in polymer nanocomposites by encapsulation of BaTiO3 NWs with variable shell thickness.

    PubMed

    Wang, Guanyao; Huang, Yanhui; Wang, Yuxin; Jiang, Pingkai; Huang, Xingyi

    2017-08-09

    Dielectric polymer nanocomposites have received keen interest due to their potential application in energy storage. Nevertheless, the large contrast in dielectric constant between the polymer and nanofillers usually results in a significant decrease of breakdown strength of the nanocomposites, which is unfavorable for enhancing energy storage capability. Herein, BaTiO 3 nanowires (NWs) encapsulated by TiO 2 shells of variable thickness were utilized to fabricate dielectric polymer nanocomposites. Compared with nanocomposites with bare BaTiO 3 NWs, significantly enhanced energy storage capability was achieved for nanocomposites with TiO 2 encapsulated BaTiO 3 NWs. For instance, an ultrahigh energy density of 9.53 J cm -3 at 440 MV m -1 could be obtained for nanocomposites comprising core-shell structured nanowires, much higher than that of nanocomposites with 5 wt% raw ones (5.60 J cm -3 at 360 MV m -1 ). The discharged energy density of the proposed nanocomposites with 5 wt% mTiO 2 @BaTiO 3 -1 NWs at 440 MV m -1 seems to rival or exceed those of some previously reported nanocomposites (mostly comprising core-shell structured nanofillers). More notably, this study revealed that the energy storage capability of the nanocomposites can be tailored by the TiO 2 shell thickness. Finite element simulations were employed to analyze the electric field distribution in the nanocomposites. The enhanced energy storage capability should be mainly attributed to the smoother gradient of dielectric constant between the nanofillers and polymer matrix, which alleviated the electric field concentration and leakage current in the polymer matrix. The methods and results herein offer a feasible approach to construct high-energy-density polymer nanocomposites with core-shell structured nanowires.

  2. Preparation of smooth, flexible and stable silver nanowires- polyurethane composite transparent conductive films by transfer method

    NASA Astrophysics Data System (ADS)

    Bai, Shengchi; Wang, Haifeng; Yang, Hui; Zhang, He; Guo, Xingzhong

    2018-02-01

    Silver nanowires (AgNWs)-polyurethane (PU) composite transparent conductive films were fabricated via transfer method using AgNWs conductive inks and polyurethane as starting materials, and the effects of post-treatments including heat treatment, NaCl solution bath and HCl solution bath for AgNWs film on the sheet resistance and transmittance of the composite films were respectively investigated in detail. AgNWs networks are uniformly embedded in the PU layer to improve the adhesion and reduce the surface roughness of AgNWs-PU composite films. Heat treatment can melt and weld the nanowires, and NaCl and HCl solution baths promote the dissolution and re-deposition of silver and the dissolving of the polymer, both which form conduction pathways and improve contact of AgNWs for reducing the sheet resistance. Smooth and flexible AgNWs-PU composite film with a transmittance of 85% and a sheet resistance of 15 Ω · sq‑1 is obtained after treated in 0.5 wt% HCl solution bath for 60 s, and the optoelectronic properties of the resultant composite film can maintain after 1000 cycles of bending and 100 days.

  3. Anomalous junctions characterized by Raman spectroscopy in Si{sub x}Ge{sub 1−x} nanowires with axially degraded components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Minggang, E-mail: xiamg@mail.xjtu.edu.cn; Department of Optical Information Science and Technology, School of Science, Xi'an Jiaotong University, 710049; Han, Jinyun

    2014-09-08

    The characterization of junctions in nanowires by high-resolution transmission electron microscopy with spherical aberration correction is tricky and tedious. Many disadvantages also exist, including rigorous sample preparation and structural damage inflicted by high-energy electrons. In this work, we present a simple, low-cost, and non-destructive Raman spectroscopy method of characterizing anomalous junctions in nanowires with axially degraded components. The Raman spectra of Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are studied in detail using a confocal micro-Raman spectrometer. Three Raman peaks (ν{sub Si–Si} = 490 cm{sup −1}, ν{sub Si–Ge} = 400 cm{sup −1}, and ν{sub Ge–Ge} = 284 cm{sup −1}) up-shift with increased Si content. This up-shift originates inmore » the bond compression induced by a confined effect on the radial direction of nanowire. The anomalous junctions in Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are then observed by Raman spectroscopy and verified by transmission electron microscopy energy-dispersive X-ray spectroscopy. The anomalous junctions of Si{sub x}Ge{sub 1−x} nanowires with axially degraded components are due to the vortex flow of inlet SiH{sub 4} and GeH{sub 4} gas in their synthesis. The anomalous junctions can be used as raw materials for fabricating devices with special functions.« less

  4. C@SiNW/TiO2 Core-Shell Nanoarrays with Sandwiched Carbon Passivation Layer as High Efficiency Photoelectrode for Water Splitting

    PubMed Central

    Devarapalli, Rami Reddy; Debgupta, Joyashish; Pillai, Vijayamohanan K.; Shelke, Manjusha V.

    2014-01-01

    One-dimensional heterostructure nanoarrays are efficiently promising as high performance electrodes for photo electrochemical (PEC) water splitting applications, wherein it is highly desirable for the electrode to have a broad light absorption, efficient charge separation and redox properties as well as defect free surface with high area suitable for fast interfacial charge transfer. We present highly active and unique photoelectrode for solar H2 production, consisting of silicon nanowires (SiNWs)/TiO2 core-shell structures. SiNWs are passivated to reduce defect sites and protected against oxidation in air or water by forming very thin carbon layer sandwiched between SiNW and TiO2 surfaces. This carbon layer decreases recombination rates and also enhances the interfacial charge transfer between the silicon and TiO2. A systematic investigation of the role of SiNW length and TiO2 thickness on photocurrent reveals enhanced photocurrent density up to 5.97 mA/cm2 at 1.0 V vs.NHE by using C@SiNW/TiO2 nanoarrays with photo electrochemical efficiency of 1.17%. PMID:24810865

  5. Growth and characterization of gold catalyzed SiGe nanowires and alternative metal-catalyzed Si nanowires

    PubMed Central

    2011-01-01

    The growth of semiconductor (SC) nanowires (NW) by CVD using Au-catalyzed VLS process has been widely studied over the past few years. Among others SC, it is possible to grow pure Si or SiGe NW thanks to these techniques. Nevertheless, Au could deteriorate the electric properties of SC and the use of other metal catalysts will be mandatory if NW are to be designed for innovating electronic. First, this article's focus will be on SiGe NW's growth using Au catalyst. The authors managed to grow SiGe NW between 350 and 400°C. Ge concentration (x) in Si1-xGex NW has been successfully varied by modifying the gas flow ratio: R = GeH4/(SiH4 + GeH4). Characterization (by Raman spectroscopy and XRD) revealed concentrations varying from 0.2 to 0.46 on NW grown at 375°C, with R varying from 0.05 to 0.15. Second, the results of Si NW growths by CVD using alternatives catalysts such as platinum-, palladium- and nickel-silicides are presented. This study, carried out on a LPCVD furnace, aimed at defining Si NW growth conditions when using such catalysts. Since the growth temperatures investigated are lower than the eutectic temperatures of these Si-metal alloys, VSS growth is expected and observed. Different temperatures and HCl flow rates have been tested with the aim of minimizing 2D growth which induces an important tapering of the NW. Finally, mechanical characterization of single NW has been carried out using an AFM method developed at the LTM. It consists in measuring the deflection of an AFM tip while performing approach-retract curves at various positions along the length of a cantilevered NW. This approach allows the measurement of as-grown single NW's Young modulus and spring constant, and alleviates uncertainties inherent in single point measurement. PMID:21711709

  6. Scalable alignment of CdS nanowires based on efficient roll-on transfer technique.

    PubMed

    Yan, Shancheng; Shi, Yi; Xiao, Zhongdang; Wang, Junzhuan; Hu, Dong; Xul, Xin; Lu, Tao; Liu, Aili; Gao, Fan

    2013-06-01

    A roll-on transfer strategy is developed to enable large-scale and uniform assembly of CdS nanowires on various rigid and flexible substrate materials. In this method, the CdS nanowires were synthesized by the hydrothermal method. The dispersed CdS NWs could be firstly aligned and selectively deposited at the micro/nanochannels between aligned nanofibers on the surface of the donor roller as a result of evaporation-induced flow and capillary action, and then the directional and aligned transfer of the CdS NWs from the donor roller to a receiver substrate via roll-on transfer technique. Finally, a device structures consisting of the nanowire channel and two metal electrodes was fabricated. The electrical property of this device was observed.

  7. On the structural and electronic properties of Ir-silicide nanowires on Si(001) surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fatima,; Hossain, Sehtab; Mohottige, Rasika

    Iridium (Ir) modified Silicon (Si) (001) surface is studied with Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Density Functional Theory (DFT). A model for Ir-silicide nanowires based on STM images and ab-initio calculations is proposed. According to our model, the Ir adatom is on the top of the substrate dimer row and directly binds to the dimer atoms. I-V curves measured at 77 K shows that the nanowires are metallic. DFT calculations confirm strong metallic nature of the nanowires.

  8. Simple synthetic route to manganese-containing nanowires with the spinel crystal structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Lei; Zhang, Yan; Hudak, Bethany M.

    This report describes a new route to synthesize single-crystalline manganese-containing spinel nanowires (NWs) by a two-step hydrothermal and solid-state synthesis. Interestingly, a nanowire or nanorod morphology is maintained during conversion from MnO{sub 2}/MnOOH to CuMn{sub 2}O{sub 4}/Mg{sub 2}MnO{sub 4}, despite the massive structural rearrangement this must involve. Linear sweep voltammetry (LSV) curves of the products give preliminary demonstration that CuMn{sub 2}O{sub 4} NWs are catalytically active towards the oxygen evolution reaction (OER) in alkaline solution, exhibiting five times the magnitude of current density found with pure carbon black. - Highlights: • Synthesis of single-crystalline manganese-containing spinel nanowires. • Binary oxidemore » nanowire converted to ternary oxide wire through solid state reaction. • Approach to structure conversion with shape retention could be generally applicable. • Copper and Manganese display multiple oxidation states with potential for catalysis. • CuMn{sub 2}O{sub 4} nanowires show promise as catalysts for the oxygen evolution reaction.« less

  9. Inactivation, Clearance, and Functional Effects of Lung-Instilled Short and Long Silver Nanowires in Rats

    PubMed Central

    2017-01-01

    There is a potential for silver nanowires (AgNWs) to be inhaled, but there is little information on their health effects and their chemical transformation inside the lungs in vivo. We studied the effects of short (S-AgNWs; 1.5 μm) and long (L-AgNWs; 10 μm) nanowires instilled into the lungs of Sprague–Dawley rats. S- and L-AgNWs were phagocytosed and degraded by macrophages; there was no frustrated phagocytosis. Interestingly, both AgNWs were internalized in alveolar epithelial cells, with precipitation of Ag2S on their surface as secondary Ag2S nanoparticles. Quantitative serial block face three-dimensional scanning electron microscopy showed a small, but significant, reduction of NW lengths inside alveolar epithelial cells. AgNWs were also present in the lung subpleural space where L-AgNWs exposure resulted in more Ag+ve macrophages situated within the pleura and subpleural alveoli, compared with the S-AgNWs exposure. For both AgNWs, there was lung inflammation at day 1, disappearing by day 21, but in bronchoalveolar lavage fluid (BALF), L-AgNWs caused a delayed neutrophilic and macrophagic inflammation, while S-AgNWs caused only acute transient neutrophilia. Surfactant protein D (SP-D) levels in BALF increased after S- and L-AgNWs exposure at day 7. L-AgNWs induced MIP-1α and S-AgNWs induced IL-18 at day 1. Large airway bronchial responsiveness to acetylcholine increased following L-AgNWs, but not S-AgNWs, exposure. The attenuated response to AgNW instillation may be due to silver inactivation after precipitation of Ag2S with limited dissolution. Our findings have important consequences for the safety of silver-based technologies to human health. PMID:28221763

  10. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    NASA Astrophysics Data System (ADS)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  11. Kinetics of self-induced nucleation and optical properties of GaN nanowires grown by plasma-assisted molecular beam epitaxy on amorphous Al{sub x}O{sub y}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobanska, M., E-mail: sobanska@ifpan.edu.pl; Zytkiewicz, Z. R.; Klosek, K.

    Nucleation kinetics of GaN nanowires (NWs) by molecular beam epitaxy on amorphous Al{sub x}O{sub y} buffers deposited at low temperature by atomic layer deposition is analyzed. We found that the growth processes on a-Al{sub x}O{sub y} are very similar to those observed on standard Si(111) substrates, although the presence of the buffer significantly enhances nucleation rate of GaN NWs, which we attribute to a microstructure of the buffer. The nucleation rate was studied vs. the growth temperature in the range of 720–790 °C, which allowed determination of nucleation energy of the NWs on a-Al{sub x}O{sub y} equal to 6 eV. Thismore » value is smaller than 10.2 eV we found under the same conditions on nitridized Si(111) substrates. Optical properties of GaN NWs on a-Al{sub x}O{sub y} are analyzed as a function of the growth temperature and compared with those on Si(111) substrates. A significant increase of photoluminescence intensity and much longer PL decay times, close to those on silicon substrates, are found for NWs grown at the highest temperature proving their high quality. The samples grown at high temperature have very narrow PL lines. This allowed observation that positions of donor-bound exciton PL line in the NWs grown on a-Al{sub x}O{sub y} are regularly lower than in samples grown directly on silicon suggesting that oxygen, instead of silicon, is the dominant donor. Moreover, PL spectra suggest that total concentration of donors in GaN NWs grown on a-Al{sub x}O{sub y} is lower than in those grown under similar conditions on bare Si. This shows that the a-Al{sub x}O{sub y} buffer efficiently acts as a barrier preventing uptake of silicon from the substrate to GaN.« less

  12. Correlation between friction and thickness of vanadium-pentoxide nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Taekyeong

    2015-11-01

    We investigated the correlation between friction and thickness of vanadium-pentoxide nanowires (V2O5 NWs) by using friction/atomic force microscopy (FFM/AFM). We observed that the friction signal generally increased with thickness in the FFM/AFM image of the V2O5 NWs. We constructed a two-dimensional (2D) correlation distribution of the frictional force and the thickness of the V2O5 NWs and found that they are strongly correlated; i.e., thicker NWs had higher friction. We also generated a histogram for the correlation factors obtained from each distribution and found that the most probable factor is ~0.45. Furthermore, we found that the adhesion force between the tip and the V2O5 NWs was about -3 nN, and that the friction increased with increasing applied load for different thicknesses of V2O5 NWs. Our results provide an understanding of tribological and nanomechanical studies of various one-dimensional NWs for future fundamental research.

  13. Spatial buckling analysis of current-carrying nanowires in the presence of a longitudinal magnetic field accounting for both surface and nonlocal effects

    NASA Astrophysics Data System (ADS)

    Foroutan, Shahin; Haghshenas, Amin; Hashemian, Mohammad; Eftekhari, S. Ali; Toghraie, Davood

    2018-03-01

    In this paper, three-dimensional buckling behavior of nanowires was investigated based on Eringen's Nonlocal Elasticity Theory. The electric current-carrying nanowires were affected by a longitudinal magnetic field based upon the Lorentz force. The nanowires (NWs) were modeled based on Timoshenko beam theory and the Gurtin-Murdoch's surface elasticity theory. Generalized Differential Quadrature (GDQ) method was used to solve the governing equations of the NWs. Two sets of boundary conditions namely simple-simple and clamped-clamped were applied and the obtained results were discussed. Results demonstrated the effect of electric current, magnetic field, small-scale parameter, slenderness ratio, and nanowires diameter on the critical compressive buckling load of nanowires. As a key result, increasing the small-scale parameter decreased the critical load. By the same token, increasing the electric current, magnetic field, and slenderness ratio resulted in a decrease in the critical load. As the slenderness ratio increased, the effect of nonlocal theory decreased. In contrast, by expanding the NWs diameter, the nonlocal effect increased. Moreover, in the present article, the critical values of the magnetic field of strength and slenderness ratio were revealed, and the roles of the magnetic field, slenderness ratio, and NWs diameter on higher buckling loads were discussed.

  14. Deformation mechanisms of bent Si nanowires governed by the sign and magnitude of strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lihua, E-mail: wlh@bjut.edu.cn, E-mail: xdhan@bjut.edu.cn, E-mail: j.zou@uq.edu.au; Materials Engineering, The University of Queensland, Brisbane, QLD 4072; Kong, Deli

    2016-04-11

    In this study, the deformation mechanisms of bent Si nanowires are investigated at the atomic scale with bending strain up to 12.8%. The sign and magnitude of the applied strain are found to govern their deformation mechanisms, in which the dislocation types (full or partial dislocations) can be affected by the sign (tensile or compressive) and magnitude of the applied strain. In the early stages of bending, plastic deformation is controlled by 60° full dislocations. As the bending increases, Lomer dislocations can be frequently observed. When the strain increases to a significant level, 90° partial dislocations induced from the tensilemore » surfaces of the bent nanowires are observed. This study provides a deeper understanding of the effect of the sign and magnitude of the bending strain on the deformation mechanisms in bent Si nanowires.« less

  15. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  16. Gunn-Hilsum Effect in Mechanically Strained Silicon Nanowires: Tunable Negative Differential Resistance.

    PubMed

    Shiri, Daryoush; Verma, Amit; Nekovei, Reza; Isacsson, Andreas; Selvakumar, C R; Anantram, M P

    2018-04-19

    Gunn (or Gunn-Hilsum) Effect and its associated negative differential resistivity (NDR) emanates from transfer of electrons between two different energy subbands. This effect was observed in semiconductors like GaAs which has a direct bandgap of very low effective mass and an indirect subband of high effective mass which lies ~300 meV above the former. In contrast to GaAs, bulk silicon has a very high energy spacing (~1 eV) which renders the initiation of transfer-induced NDR unobservable. Using Density Functional Theory (DFT), semi-empirical 10 orbital (sp 3 d 5 s * ) Tight Binding and Ensemble Monte Carlo (EMC) methods we show for the first time that (a) Gunn Effect can be induced in silicon nanowires (SiNW) with diameters of 3.1 nm under +3% strain and an electric field of 5000 V/cm, (b) the onset of NDR in the I-V characteristics is reversibly adjustable by strain and (c) strain modulates the resistivity by a factor 2.3 for SiNWs of normal I-V characteristics i.e. those without NDR. These observations are promising for applications of SiNWs in electromechanical sensors and adjustable microwave oscillators. It is noteworthy that the observed NDC is different in principle from Esaki-Diode and Resonant Tunneling Diodes (RTD) in which NDR originates from tunneling effect.

  17. Universal behavior of surface-dangling bonds in hydrogen-terminated Si, Ge, and Si/Ge nanowires.

    NASA Astrophysics Data System (ADS)

    Nunes, Ricardo; Kagimura, Ricardo; Chacham, Hélio

    2007-03-01

    We report an ab initio study of the electronic properties of surface dangling bond (SDB) states in hydrogen-terminated Si, Ge, and Si/Ge nanowires with diameters between 1 and 2 nm. We find that the charge transition levels ɛ(+/-) of SDB states are deep in the bandgap for Si wires, and shallow (near the valence band edge) for Ge wires. In both Si and Ge wires, the SDB states are localized. We also find that the SDB ɛ(+/-) levels behave as a ``universal" energy reference level among Si, Ge, and Si/Ge wires within a precision of 0.1 eV. By computing the average bewteen the electron affinity and ionization energy in the atomi limit of several atoms from the III, IV and V columns, we conjecture that the universality is a periodic-table atomic property.

  18. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm andmore » 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.« less

  19. Visible electroluminescence from a ZnO nanowires/p-GaN heterojunction light emitting diode.

    PubMed

    Baratto, C; Kumar, R; Comini, E; Faglia, G; Sberveglieri, G

    2015-07-27

    In the current paper we apply catalyst assisted vapour phase growth technique to grow ZnO nanowires (ZnO nws) on p-GaN thin film obtaining EL emission in reverse bias regime. ZnO based LED represents a promising alternative to III-nitride LEDs, as in free devices: the potential is in near-UV emission and visible emission. For ZnO, the use of nanowires ensures good crystallinity of the ZnO, and improved light extraction from the interface when the nanowires are vertically aligned. We prepared ZnO nanowires in a tubular furnace on GaN templates and characterized the p-n ZnO nws/GaN heterojunction for LED applications. SEM microscopy was used to study the growth of nanowires and device preparation. Photoluminescence (PL) and Electroluminescence (EL) spectroscopies were used to characterize the heterojunction, showing that good quality of PL emission is observed from nanowires and visible emission from the junction can be obtained from the region near ZnO contact, starting from onset bias of 6V.

  20. In situ study on surface roughening in radiation-resistant Ag nanowires

    NASA Astrophysics Data System (ADS)

    Shang, Z.; Li, Jin; Fan, C.; Chen, Y.; Li, Q.; Wang, H.; Shen, T. D.; Zhang, X.

    2018-05-01

    Metallic materials subjected to heavy ion irradiation experience significant radiation damage. Free surface is a type of effective defect sinks to improve the radiation resistance in metallic materials. However, the radiation resistance of metallic nanowires (NWs) is largely unknown. Here we show, via in situ Kr ion irradiations in a transmission electron microscope, Ag NWs exhibited much better radiation resistance than coarse-grained Ag. Irradiation-induced prominent surface roughening in Ag NWs provides direct evidence for interaction between defect clusters and free surface. Diameter dependent variation of the surface roughness in irradiated Ag NWs has also been observed. This study provides insight on mechanisms of enhanced radiation resistance via free surfaces in metallic NWs.

  1. In situ study on surface roughening in radiation-resistant Ag nanowires.

    PubMed

    Shang, Z; Li, Jin; Fan, C; Chen, Y; Li, Q; Wang, H; Shen, T D; Zhang, X

    2018-05-25

    Metallic materials subjected to heavy ion irradiation experience significant radiation damage. Free surface is a type of effective defect sinks to improve the radiation resistance in metallic materials. However, the radiation resistance of metallic nanowires (NWs) is largely unknown. Here we show, via in situ Kr ion irradiations in a transmission electron microscope, Ag NWs exhibited much better radiation resistance than coarse-grained Ag. Irradiation-induced prominent surface roughening in Ag NWs provides direct evidence for interaction between defect clusters and free surface. Diameter dependent variation of the surface roughness in irradiated Ag NWs has also been observed. This study provides insight on mechanisms of enhanced radiation resistance via free surfaces in metallic NWs.

  2. Controlling the width of self-assembled dysprosium silicide nanowires on the Si(001) surface.

    PubMed

    Cui, Y; Chung, J; Nogami, J

    2012-02-01

    We present STM data that show that it is possible to use a metal induced 2 × 7 reconstruction of Si(001) to narrow the width distribution of Dy silicide nanowires. This behavior is distinct from the effect of the 7 × 7 reconstruction on the Si(111) surface, where the 7 × 7 serves as a static template and the deposited metal avoids the unit cell boundaries on the substrate. In this case, the 2 × 7 is a dynamic template, and the nanowires nucleate at anti-phase boundaries between 2 × 7 reconstruction domains.

  3. Sn-doped β-Ga2O3 nanowires deposited by radio frequency powder sputtering

    NASA Astrophysics Data System (ADS)

    Lee, Su Yong; Kang, Hyon Chol

    2018-01-01

    We report the synthesis and characterization of Sn-doped β-Ga2O3 nanowires (NWs) deposited using radio frequency powder sputtering. The growth sequence of Sn-doped β-Ga2O3 NWs is similar to that of the undoped β-Ga2O3 NWs. Self-assembled Ga clusters act as seeds for initiating the growth of Sn-doped β-Ga2O3 NWs through a vapor-liquid-solid process, while Sn atoms are incorporated into the trunk of NWs uniformly. Different from the straight shape of undoped NWs, the conical shape of NWs is observed, which is attributed to the change in supersaturation conditions and the diffusion of the catalyst tip and reaction species.

  4. High-performance silicon nanowire field-effect transistor with silicided contacts

    NASA Astrophysics Data System (ADS)

    Rosaz, G.; Salem, B.; Pauc, N.; Gentile, P.; Potié, A.; Solanki, A.; Baron, T.

    2011-08-01

    Undoped silicon nanowire (Si NW) field-effect transistors (FETs) with a back-gate configuration have been fabricated and characterized. A thick (200 nm) Si3N4 layer was used as a gate insulator and a p++ silicon substrate as a back gate. Si NWs have been grown by the chemical vapour deposition method using the vapour-liquid-solid mechanism and gold as a catalyst. Metallic contacts have been deposited using Ni/Al (80 nm/120 nm) and characterized before and after an optimized annealing step at 400 °C, which resulted in a great decrease in the contact resistance due to the newly formed nickel silicide/Si interface at source and drain. These optimized devices show a good hole mobility of around 200 cm2 V-1 s-1, in the same range as the bulk material, with a good ON current density of about 28 kA cm-2. Finally, hysteretic behaviour of NW channel conductance is discussed to explain the importance of NW surface passivation.

  5. Nanocomposites with increased energy density through high aspect ratio PZT nanowires.

    PubMed

    Tang, Haixiong; Lin, Yirong; Andrews, Clark; Sodano, Henry A

    2011-01-07

    High energy storage plays an important role in the modern electric industry. Herein, we investigated the role of filler aspect ratio in nanocomposites for energy storage. Nanocomposites were synthesized using lead zirconate titanate (PZT) with two different aspect ratio (nanowires, nanorods) fillers at various volume fractions dispersed in a polyvinylidene fluoride (PVDF) matrix. The permittivity constants of composites containing nanowires (NWs) were higher than those with nanorods (NRs) at the same inclusion volume fraction. It was also indicated that the high frequency loss tangent of samples with PZT nanowires was smaller than for those with nanorods, demonstrating the high electrical energy storage efficiency of the PZT NW nanocomposite. The high aspect ratio PZT NWs showed a 77.8% increase in energy density over the lower aspect ratio PZT NRs, under an electric field of 15 kV mm(-1) and 50% volume fraction. The breakdown strength was found to decrease with the increasing volume fraction of PZT NWs, but to only change slightly from a volume fraction of around 20%-50%. The maximum calculated energy density of nanocomposites is as high as 1.158 J cm(-3) at 50% PZT NWs in PVDF. Since the breakdown strength is lower compared to a PVDF copolymer such as poly(vinylidene fluoride-tertrifluoroethylene-terchlorotrifluoroethylene) P(VDF-TreEE-CTFE) and poly(vinylidene fluoride-co-hexafluoropropylene) P(VDF-HFP), the energy density of the nanocomposite could be significantly increased through the use of PZT NWs and a polymer with greater breakdown strength. These results indicate that higher aspect ratio fillers show promising potential to improve the energy density of nanocomposites, leading to the development of advanced capacitors with high energy density.

  6. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  7. Boron doped graphene wrapped silver nanowires as an efficient electrocatalyst for molecular oxygen reduction

    NASA Astrophysics Data System (ADS)

    Nair, Anju K.; Thazhe Veettil, Vineesh; Kalarikkal, Nandakumar; Thomas, Sabu; Kala, M. S.; Sahajwalla, Veena; Joshi, Rakesh K.; Alwarappan, Subbiah

    2016-12-01

    Metal nanowires exhibit unusually high catalytic activity towards oxygen reduction reaction (ORR) due to their inherent electronic structures. However, controllable synthesis of stable nanowires still remains as a daunting challenge. Herein, we report the in situ synthesis of silver nanowires (AgNWs) over boron doped graphene sheets (BG) and demonstrated its efficient electrocatalytic activity towards ORR for the first time. The electrocatalytic ORR efficacy of BG-AgNW is studied using various voltammetric techniques. The BG wrapped AgNWs shows excellent ORR activity, with very high onset potential and current density and it followed four electron transfer mechanism with high methanol tolerance and stability towards ORR. The results are comparable to the commercially available 20% Pt/C in terms of performance.

  8. Boron doped graphene wrapped silver nanowires as an efficient electrocatalyst for molecular oxygen reduction

    PubMed Central

    Nair, Anju K.; Thazhe veettil, Vineesh; Kalarikkal, Nandakumar; Thomas, Sabu; Kala, M. S.; Sahajwalla, Veena; Joshi, Rakesh K.; Alwarappan, Subbiah

    2016-01-01

    Metal nanowires exhibit unusually high catalytic activity towards oxygen reduction reaction (ORR) due to their inherent electronic structures. However, controllable synthesis of stable nanowires still remains as a daunting challenge. Herein, we report the in situ synthesis of silver nanowires (AgNWs) over boron doped graphene sheets (BG) and demonstrated its efficient electrocatalytic activity towards ORR for the first time. The electrocatalytic ORR efficacy of BG-AgNW is studied using various voltammetric techniques. The BG wrapped AgNWs shows excellent ORR activity, with very high onset potential and current density and it followed four electron transfer mechanism with high methanol tolerance and stability towards ORR. The results are comparable to the commercially available 20% Pt/C in terms of performance. PMID:27941954

  9. A novel nonenzymatic amperometric hydrogen peroxide sensor based on CuO@Cu2O nanowires embedded into poly(vinyl alcohol).

    PubMed

    Chirizzi, Daniela; Guascito, Maria Rachele; Filippo, Emanuela; Tepore, Antonio

    2016-01-15

    A new, very simple, rapid and inexpensive nonenzymatic amperometric sensor for hydrogen peroxide (H2O2) detection is proposed. It is based on the immobilization of cupric/cuprous oxide core shell nanowires (CuO@Cu2O-NWs) in a poly(vinyl alcohol) (PVA) matrix directly drop casted on a glassy carbon electrode surface to make a CuO@Cu2O core shell like NWs PVA embedded (CuO@Cu2O-NWs/PVA) sensor. CuO nanowires with mean diameters of 120-170nm and length in the range 2-5μm were grown by a simple catalyst-free thermal oxidation process based on resistive heating of pure copper wires at ambient conditions. The oxidation process of the copper wire surface led to the formation of a three layered structure: a thick Cu2O bottom layer, a CuO thin intermediate layer and CuO nanowires. CuO nanowires were carefully scratched from Cu2O layer with a sharp knife, dispersed into ethanol and sonicated. Then, the NWs were embedded in PVA matrix. The morphological and spectroscopic characterization of synthesized CuO-NWs and CuO@Cu2O-NWs/PVA were performed by transmission electron microscopy (TEM), selected area diffraction pattern (SAD), scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analysis. Moreover a complete electrochemical characterization of these new CuO@Cu2O-NWs/PVA modified glassy carbon electrodes was performed by Cyclic Voltammetry (CV) and Cronoamperometry (CA) in phosphate buffer (pH=7; I=0.2) to investigate the sensing properties of this material against H2O2. The electrochemical performances of proposed sensors as high sensitivity, fast response, reproducibility and selectivity make them suitable for the quantitative determination of hydrogen peroxide substrate in batch analysis. Copyright © 2015 Elsevier B.V. All rights reserved.

  10. Method for Synthesizing Metal Nanowires in Anodic Alumina Membranes Using Solid State Reduction

    NASA Technical Reports Server (NTRS)

    Martinez-Inesta, Maria M (Inventor); Feliciano, Jennie (Inventor); Quinones-Fontalvo, Leonel (Inventor)

    2016-01-01

    The invention proposes a novel method for the fabrication of regular arrays of MNWs using solid-state reduction (SSR). Using this method copper (Cu), silver (Ag), and palladium (Pd) nanowire (NWs) arrays were synthesized using anodic alumina membranes (AAMs) as templates. Depending on the metal loading used the NWs reached different diameters.

  11. Titanium dioxide@polypyrrole core-shell nanowires for all solid-state flexible supercapacitors.

    PubMed

    Yu, Minghao; Zeng, Yinxiang; Zhang, Chong; Lu, Xihong; Zeng, Chenghui; Yao, Chenzhong; Yang, Yangyi; Tong, Yexiang

    2013-11-21

    Herein, we developed a facile two-step process to synthesize TiO2@PPy core-shell nanowires (NWs) on carbon cloth and reported their improved electrochemical performance for flexible supercapacitors (SCs). The fabricated solid-state SC device based on TiO2@PPy core-shell NWs not only has excellent flexibility, but also exhibits remarkable electrochemical performance.

  12. Vertically Aligned and Interconnected SiC Nanowire Networks Leading to Significantly Enhanced Thermal Conductivity of Polymer Composites.

    PubMed

    Yao, Yimin; Zhu, Xiaodong; Zeng, Xiaoliang; Sun, Rong; Xu, Jian-Bin; Wong, Ching-Ping

    2018-03-21

    Efficient heat removal via thermal management materials has become one of the most critical challenges in the development of modern microelectronic devices. However, previously reported polymer composites exhibit limited enhancement of thermal conductivity, even when highly loaded with thermally conductive fillers, because of the lack of efficient heat transfer pathways. Herein, we report vertically aligned and interconnected SiC nanowire (SiCNW) networks as efficient fillers for polymer composites, achieving significantly enhanced thermal conductivity. The SiCNW networks are produced by freeze-casting nanowire aqueous suspensions followed by thermal sintering to consolidate the nanowire junctions, exhibiting a hierarchical architecture in which honeycomb-like SiCNW layers are aligned. The composite obtained by infiltrating SiCNW networks with epoxy resin, at a relatively low SiCNW loading of 2.17 vol %, represents a high through-plane thermal conductivity (1.67 W m -1 K -1 ) compared to the pure matrix, which is equivalent to a significant enhancement of 406.6% per 1 vol % loading. The orderly SiCNW network which can act as a macroscopic expressway for phonon transport is believed to be the main contributor for the excellent thermal performance. This strategy provides insights for the design of high-performance composites with potential to be used in advanced thermal management materials.

  13. Segmented nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2013-03-05

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  14. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires

    PubMed Central

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-01-01

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased. PMID:27556534

  15. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires.

    PubMed

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-08-24

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased.

  16. Spin wave filtering and guiding in Permalloy/iron nanowires

    NASA Astrophysics Data System (ADS)

    Silvani, R.; Kostylev, M.; Adeyeye, A. O.; Gubbiotti, G.

    2018-03-01

    We have investigated the spin wave filtering and guiding properties of periodic array of single (Permalloy and Fe) and bi-layer (Py/Fe) nanowires (NWs) by means of Brillouin light scattering measurements and micromagnetic simulations. For all the nanowire arrays, the thickness of the layers is 10 nm while all NWs have the same width of 340 nm and edge-to-edge separation of 100 nm. Spin wave dispersion has been measured in the Damon-Eshbach configuration for wave vector either parallel or perpendicular to the nanowire length. This study reveals the filtering property of the spin waves when the wave vector is perpendicular to the NW length, with frequency ranges where the spin wave propagation is permitted separated by frequency band gaps, and the guiding property of NW when the wave vector is oriented parallel to the NW, with spin wave modes propagating in parallel channels in the central and edge regions of the NW. The measured dispersions were well reproduced by micromagnetic simulations, which also deliver the spatial profiles for the modes at zero wave vector. To reproduce the dispersion of the modes localized close to the NW edges, uniaxial anisotropy has been introduced. In the case of Permalloy/iron NWs, the obtained results have been compared with those for a 20 nm thick effective NW having average magnetic properties of the two materials.

  17. Fabrication of ordered NiO coated Si nanowire array films as electrodes for a high performance lithium ion battery.

    PubMed

    Qiu, M C; Yang, L W; Qi, X; Li, Jun; Zhong, J X

    2010-12-01

    Highly ordered NiO coated Si nanowire array films are fabricated as electrodes for a high performance lithium ion battery via depositing Ni on electroless-etched Si nanowires and subsequently annealing. The structures and morphologies of as-prepared films are characterized by X-ray diffraction, scanning electron microscopy, and transmission electron microscopy. When the potential window versus lithium was controlled, the coated NiO can be selected to be electrochemically active to store and release Li+ ions, while highly conductive crystalline Si cores function as nothing more than a stable mechanical support and an efficient electrical conducting pathway. The hybrid nanowire array films exhibit superior cyclic stability and reversible capacity compared to that of NiO nanostructured films. Owing to the ease of large-scale fabrication and superior electrochemical performance, these hybrid nanowire array films will be promising anode materials for high performance lithium-ion batteries.

  18. Synthesis of monoclinic potassium niobate nanowires that are stable at room temperature.

    PubMed

    Kim, Seungwook; Lee, Ju-Hyuck; Lee, Jaeyeon; Kim, Sang-Woo; Kim, Myung Hwa; Park, Sungnam; Chung, Haegeun; Kim, Yong-Il; Kim, Woong

    2013-01-09

    We report the synthesis of KNbO(3) nanowires (NWs) with a monoclinic phase, a phase not observed in bulk KNbO(3) materials. The monoclinic NWs can be synthesized via a hydrothermal method using metallic Nb as a precursor. The NWs are metastable, and thermal treatment at ∼450 °C changed the monoclinic phase into the orthorhombic phase, which is the most stable phase of KNbO(3) at room temperature. Furthermore, we fabricated energy-harvesting nanogenerators by vertically aligning the NWs on SrTiO(3) substrates. The monoclinic NWs showed significantly better energy conversion characteristics than orthorhombic NWs. Moreover, the frequency-doubling efficiency of the monoclinic NWs was ∼3 times higher than that of orthorhombic NWs. This work may contribute to the synthesis of materials with new crystalline structures and hence improve the properties of the materials for various applications.

  19. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  20. Applying contact to individual silicon nanowires using a dielectrophoresis (DEP)-based technique

    NASA Astrophysics Data System (ADS)

    Leiterer, Christian; Broenstrup, Gerald; Jahr, Norbert; Urban, Matthias; Arnold, Cornelia; Christiansen, Silke; Fritzsche, Wolfgang

    2013-05-01

    One major challenge for the technological use of nanostructures is the control of their electrical and optoelectronic properties. For that purpose, extensive research into the electrical characterization and therefore a fast and reliable way of contacting these structures are needed. Here, we report on a new, dielectrophoresis (DEP)-based technique, which enables to apply sufficient and reliable contact to individual nanostructures, like semiconducting nanowires (NW), easily and without the need for lithography. The DEP contacting technique presented in this article can be done without high-tech equipment and monitored in situ with an optical microscope. In the presented experiments, individual SiNWs are trapped and subsequently welded between two photolithographically pre-patterned electrodes by applying varying AC voltages to the electrodes. To proof the quality of these contacts, I-V curves, photoresponse and photoconductivity of a single SiNW were measured. Furthermore, the measured photoconductivity in dependence on the wavelength of illuminated light and was compared with calculations predicting the absorption spectra of an individual SiNW.

  1. Epitaxial-Growth-Induced Junction Welding of Silver Nanowire Network Electrodes.

    PubMed

    Kang, Hyungseok; Song, Sol-Ji; Sul, Young Eun; An, Byeong-Seon; Yin, Zhenxing; Choi, Yongsuk; Pu, Lyongsun; Yang, Cheol-Woong; Kim, Youn Sang; Cho, Sung Min; Kim, Jung-Gu; Cho, Jeong Ho

    2018-05-22

    In this study, we developed a roll-to-roll Ag electroplating process for metallic nanowire electrodes using a galvanostatic mode. Electroplating is a low-cost and facile method for deposition of metal onto a target surface with precise control of both the composition and the thickness. Metallic nanowire networks [silver nanowires (AgNWs) and copper nanowires (CuNWs)] coated onto a polyethylene terephthalate (PET) film were immersed directly in an electroplating bath containing AgNO 3 . Solvated silver ions (Ag + ions) were deposited onto the nanowire surface through application of a constant current via an external circuit between the nanowire networks (cathode) and a Ag plate (anode). The amount of electroplated Ag was systematically controlled by changing both the applied current density and the electroplating time, which enabled precise control of the sheet resistance and optical transmittance of the metallic nanowire networks. The optimized Ag-electroplated AgNW (Ag-AgNW) films exhibited a sheet resistance of ∼19 Ω/sq at an optical transmittance of 90% (550 nm). A transmission electron microscopy study confirmed that Ag grew epitaxially on the AgNW surface, but a polycrystalline Ag structure was formed on the CuNW surface. The Ag-electroplated metallic nanowire electrodes were successfully applied to various electronic devices such as organic light-emitting diodes, triboelectric nanogenerators, and a resistive touch panel. The proposed roll-to-roll Ag electroplating process provides a simple, low-cost, and scalable method for the fabrication of enhanced transparent conductive electrode materials for next-generation electronic devices.

  2. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  3. Friction measurements on InAs NWs by AFM manipulation

    NASA Astrophysics Data System (ADS)

    Pettersson, Hakan; Conache, Gabriela; Gray, Struan; Bordag, Michael; Ribayrol, Aline; Froberg, Linus; Samuelson, Lars; Montelius, Lars

    2008-03-01

    We discuss a new approach to measure the friction force between elastically deformed nanowires and a surface. The wires are bent, using an AFM, into an equilibrium shape determined by elastic restoring forces within the wire and friction between the wire and the surface. From measurements of the radius of curvature of the bent wires, elasticity theory allows the friction force per unit length to be calculated. We have studied friction properties of InAs nanowires deposited on SiO2, silanized SiO2 and Si3N4 substrates. The wires were typically from 0.5 to a few microns long, with diameters varying between 20 and 80 nm. Manipulation is done in a `Retrace Lift' mode, where feedback is turned off for the reverse scan and the tip follows a nominal path. The effective manipulation force during the reverse scan can be changed by varying an offset in the height of the tip over the surface. We will report on interesting static- and sliding friction experiments with nanowires on the different substrates, including how the friction force per unit length varies with the diameter of the wires.

  4. Vapor-liquid-solid epitaxial growth of Si 1-xGe x alloy nanowires. Composition dependence on precursor reactivity and morphology control for vertical forests

    DOE PAGES

    Choi, S. G.; Manandhar, P.; Picraux, S. T.

    2015-07-07

    The growth of high-density group IV alloy nanowire forests is critical for exploiting their unique functionalities in many applications. Here, the compositional dependence on precursor reactivity and optimized conditions for vertical growth are studied for Si 1- x Ge x alloy nanowires grown by the vapor-liquid-solid method. The nanowire composition versus gas partial-pressure ratio for germane-silane and germane-disilane precursor combinations is obtained at 350°C over a wide composition range (0.05 ≤ x ≤ 0.98) and a generalized model to predict composition for alloy nanowires is developed based on the relative precursor partial pressures and reactivity ratio. In combination with germane,more » silane provides more precise compositional control at high Ge concentrations (x > 0.7), whereas disilane greatly increases the Si concentration for a given gas ratio and enables more precise alloy compositional control at small Ge concentrations (x < 0.3). Vertically oriented, non-kinking nanowire forest growth on Si (111) substrates is then discussed for silane/germane over a wide range of compositions, with temperature and precursor partial pressure optimized by monitoring the nanowire growth front using in-situ optical reflectance. For high Ge compositions (x ≈ 0.9), a “two-step” growth approach with nucleation at higher temperatures results in nanowires with high-density and uniform vertical orientation. Furthermore, increasing Si content (x ≈ 0.8), the optimal growth window is shifted to higher temperatures, which minimizes nanowire kinking morphologies. For Si-rich Si 1- x Ge x alloys (x ≈ 0.25), vertical nanowire growth is enhanced by single-step, higher-temperature growth at reduced pressures.« less

  5. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  6. In situ passivation of GaAsP nanowires.

    PubMed

    Himwas, C; Collin, S; Rale, P; Chauvin, N; Patriarche, G; Oehler, F; Julien, F H; Travers, L; Harmand, J-C; Tchernycheva, M

    2017-12-08

    We report on the structural and optical properties of GaAsP nanowires (NWs) grown by molecular-beam epitaxy. By adjusting the alloy composition in the NWs, the transition energy was tuned to the optimal value required for tandem III-V/silicon solar cells. We discovered that an unintentional shell was also formed during the GaAsP NW growth. The NW surface was passivated by an in situ deposition of a radial Ga(As)P shell. Different shell compositions and thicknesses were investigated. We demonstrate that the optimal passivation conditions for GaAsP NWs (with a gap of 1.78 eV) are obtained with a 5 nm thick GaP shell. This passivation enhances the luminescence intensity of the NWs by 2 orders of magnitude and yields a longer luminescence decay. The luminescence dynamics changes from single exponential decay with a 4 ps characteristic time in non-passivated NWs to a bi-exponential decay with characteristic times of 85 and 540 ps in NWs with GaP shell passivation.

  7. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  8. Double heterojunction nanowire photocatalysts for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Tongying, P.; Vietmeyer, F.; Aleksiuk, D.; Ferraudi, G. J.; Krylova, G.; Kuno, M.

    2014-03-01

    Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core/shell nanowires and their Pt nanoparticle-decorated counterparts. Femtosecond transient differential absorption measurements reveal how semiconductor/semiconductor and metal/semiconductor heterojunctions affect the charge separation and hydrogen generation efficiencies of these hybrid photocatalysts. In turn, we unravel the role of surface passivation, charge separation at semiconductor interfaces and charge transfer to metal co-catalysts in determining photocatalytic H2 generation efficiencies. This allows us to rationalize why Pt nanoparticle decorated CdSe/CdS NWs, a double heterojunction system, performs best with H2 generation rates of ~434.29 +/- 27.40 μmol h-1 g-1 under UV/Visible irradiation. In particular, we conclude that the CdS shell of this double heterojunction system serves two purposes. The first is to passivate CdSe NW surface defects, leading to long-lived charges at the CdSe/CdS interface capable of carrying out reduction chemistries. Upon photoexcitation, we also find that CdS selectively injects charges into Pt NPs, enabling simultaneous reduction chemistries at the Pt NP/solvent interface. Pt nanoparticle decorated CdSe/CdS NWs thus enable reduction chemistries at not one, but rather two interfaces, taking advantage of each junction's optimal catalytic activities.Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core

  9. Generation of parabolic similaritons in tapered silicon photonic wires: comparison of pulse dynamics at telecom and mid-infrared wavelengths.

    PubMed

    Lavdas, Spyros; Driscoll, Jeffrey B; Jiang, Hongyi; Grote, Richard R; Osgood, Richard M; Panoiu, Nicolae C

    2013-10-01

    We study the generation of parabolic self-similar optical pulses in tapered Si photonic nanowires (Si-PhNWs) at both telecom (λ=1.55 μm) and mid-infrared (λ=2.2 μm) wavelengths. Our computational study is based on a rigorous theoretical model, which fully describes the influence of linear and nonlinear optical effects on pulse propagation in Si-PhNWs with arbitrarily varying width. Numerical simulations demonstrate that, in the normal dispersion regime, optical pulses evolve naturally into parabolic pulses upon propagation in millimeter-long tapered Si-PhNWs, with the efficiency of this pulse-reshaping process being strongly dependent on the spectral and pulse parameter regime in which the device operates, as well as the particular shape of the Si-PhNWs.

  10. Silica Nanowires: Growth, Integration, and Sensing Applications

    PubMed Central

    Kaushik, Ajeet; Kumar, Rajesh; Huey, Eric; Bhansali, Shekhar; Nair, Narayana; Nanir, Madhavan

    2014-01-01

    This review (with 129 refs.) gives an overview on how the integration of silica nanowires (NWs) into micro-scale devices has resulted, in recent years, in simple yet robust nano-instrumentation with improved performance in targeted application areas such as sensing. This has been achieved by the use of appropriate techniques such as di-electrophoresis and direct vapor-liquid-growth phenomena, to restrict the growth of NWs to site-specific locations. This also has eliminated the need for post-growth processing and enables nanostructures to be placed on pre-patterned substrates. Various kinds of NWs have been investigated to determine how their physical and chemical properties can be tuned for integration into sensing structures. NWs integrated onto interdigitated micro-electrodes have been applied to the determination of gases and biomarkers. The technique of directly growing NWs eliminates the need for their physical transfer and thus preserves their structure and performance, and further reduces the costs of fabrication. The biocompatibility of NWs also has been studied with respect to possible biological applications. This review addresses the challenges in growth and integration of NWs to understand related mechanism on biological contact or gas exposure and sensing performance for personalized health and environmental monitoring. PMID:25382871

  11. Implicit versus explicit momentum relaxation time solution for semiconductor nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marin, E. G., E-mail: egmarin@ugr.es; Ruiz, F. G., E-mail: franruiz@ugr.es; Godoy, A., E-mail: agodoy@ugr.es

    2015-07-14

    We discuss the necessity of the exact implicit Momentum Relaxation Time (MRT) solution of the Boltzmann transport equation in order to achieve reliable carrier mobility results in semiconductor nanowires. Firstly, the implicit solution for a 1D electron gas with a isotropic bandstructure is presented resulting in the formulation of a simple matrix system. Using this solution as a reference, the explicit approach is demonstrated to be inaccurate for the calculation of inelastic anisotropic mechanisms such as polar optical phonons, characteristic of III-V materials. Its validity for elastic and isotropic mechanisms is also evaluated. Finally, the implications of the MRT explicitmore » approach inaccuracies on the total mobility of Si and III-V NWs are studied.« less

  12. Comparing Hall Effect and Field Effect Measurements on the Same Single Nanowire.

    PubMed

    Hultin, Olof; Otnes, Gaute; Borgström, Magnus T; Björk, Mikael; Samuelson, Lars; Storm, Kristian

    2016-01-13

    We compare and discuss the two most commonly used electrical characterization techniques for nanowires (NWs). In a novel single-NW device, we combine Hall effect and back-gated and top-gated field effect measurements and quantify the carrier concentrations in a series of sulfur-doped InP NWs. The carrier concentrations from Hall effect and field effect measurements are found to correlate well when using the analysis methods described in this work. This shows that NWs can be accurately characterized with available electrical methods, an important result toward better understanding of semiconductor NW doping.

  13. Stress effects on the initial lithiation of crystalline silicon nanowires: Reactive molecular dynamics simulations using ReaxFF

    DOE PAGES

    Ostadhossein, Alireza; Cubuk, Ekin D.; Tritsaris, Georgios A.; ...

    2014-12-18

    Silicon (Si) has been recognized as a promising anode material for the next-generation high-capacity lithium (Li)-ion batteries because of its high theoretical energy density. Recent in situ transmission electron microscopy (TEM) revealed that the electrochemical lithiation of crystalline Si nanowires (c-SiNWs) proceeds by the migration of the interface between the lithiated Si (LixSi) shell and the pristine unlithiated core, accompanied by solid-state amorphization. The underlying atomic mechanisms of Li insertion into c-Si remain poorly understood. In this research, we perform molecular dynamics (MD) simulations using the reactive force field (ReaxFF) to characterize the lithiation process of c-SiNWs. Our calculations showmore » that ReaxFF can accurately reproduce the energy barriers of Li migration from DFT calculations in both crystalline (c-Si) and amorphous Si (a-Si). The ReaxFF-based MD simulations reveal that Li insertion into interlayer spacing between two adjacent (111) planes results in the peeling-off of the (111) facets and subsequent amorphization, in agreement with experimental observations. We find that breaking of the Si–Si bonds between (111)-bilayers requires a rather high local Li concentration, which explains the atomically sharp amorphous–crystalline interface (ACI). Our stress analysis shows that lithiation induces compressive stress at the ACI layer, causing retardation or even the stagnation of the reaction front, also in good agreement with TEM observations. Lithiation at high temperatures (e.g. 1200 K) shows that Li insertion into c-SiNW results in an amorphous to crystalline phase transformation at Li : Si composition of ~4.2:1. In conclusion, our modeling results provide a comprehensive picture of the effects of reaction and diffusion-induced stress on the interfacial dynamics and mechanical degradation of SiNW anodes under chemo-mechanical lithiation.« less

  14. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  15. Copper Nanowires as Fully Transparent Conductive Electrodes

    PubMed Central

    Guo, Huizhang; Lin, Na; Chen, Yuanzhi; Wang, Zhenwei; Xie, Qingshui; Zheng, Tongchang; Gao, Na; Li, Shuping; Kang, Junyong; Cai, Duanjun; Peng, Dong-Liang

    2013-01-01

    In pondering of new promising transparent conductors to replace the cost rising tin-doped indium oxide (ITO), metal nanowires have been widely concerned. Herein, we demonstrate an approach for successful synthesis of long and fine Cu nanowires (NWs) through a novel catalytic scheme involving nickel ions. Such Cu NWs in high aspect ratio (diameter of 16.2 ± 2 nm and length up to 40 μm) provide long distance for electron transport and, meanwhile, large space for light transmission. Transparent electrodes fabricated using the Cu NW ink achieve a low sheet resistance of 1.4 Ohm/sq at 14% transmittance and a high transparency of 93.1% at 51.5 Ohm/sq. The flexibility and stability were tested with 100-timebending by 180°and no resistance change occurred. Ohmic contact was achieved to the p- and n-GaN on blue light emitting diode chip and bright electroluminescence from the front face confirmed the excellent transparency. PMID:23900572

  16. Fabrication of arrayed Si nanowire-based nano-floating gate memory devices on flexible plastics.

    PubMed

    Yoon, Changjoon; Jeon, Youngin; Yun, Junggwon; Kim, Sangsig

    2012-01-01

    Arrayed Si nanowire (NW)-based nano-floating gate memory (NFGM) devices with Pt nanoparticles (NPs) embedded in Al2O3 gate layers are successfully constructed on flexible plastics by top-down approaches. Ten arrayed Si NW-based NFGM devices are positioned on the first level. Cross-linked poly-4-vinylphenol (PVP) layers are spin-coated on them as isolation layers between the first and second level, and another ten devices are stacked on the cross-linked PVP isolation layers. The electrical characteristics of the representative Si NW-based NFGM devices on the first and second levels exhibit threshold voltage shifts, indicating the trapping and detrapping of electrons in their NPs nodes. They have an average threshold voltage shift of 2.5 V with good retention times of more than 5 x 10(4) s. Moreover, most of the devices successfully retain their electrical characteristics after about one thousand bending cycles. These well-arrayed and stacked Si NW-based NFGM devices demonstrate the potential of nanowire-based devices for large-scale integration.

  17. High performance Si nanowire field-effect-transistors based on a CMOS inverter with tunable threshold voltage.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Sohn, Jung Inn; Cha, Seung Nam; Whang, Dongmok; Kim, Jong Min; Kang, Dae Joon

    2014-05-21

    We successfully fabricated nanowire-based complementary metal-oxide semiconductor (NWCMOS) inverter devices by utilizing n- and p-type Si nanowire field-effect-transistors (NWFETs) via a low-temperature fabrication processing technique. We demonstrate that NWCMOS inverter devices can be operated at less than 1 V, a significantly lower voltage than that of typical thin-film based complementary metal-oxide semiconductor (CMOS) inverter devices. This low-voltage operation was accomplished by controlling the threshold voltage of the n-type Si NWFETs through effective management of the nanowire (NW) doping concentration, while realizing high voltage gain (>10) and ultra-low static power dissipation (≤3 pW) for high-performance digital inverter devices. This result offers a viable means of fabricating high-performance, low-operation voltage, and high-density digital logic circuits using a low-temperature fabrication processing technique suitable for next-generation flexible electronics.

  18. High density and taper-free boron doped Si{sub 1−x}Ge{sub x} nanowire via two-step growth process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Periwal, Priyanka; Salem, Bassem; Bassani, Franck

    2014-07-01

    The authors study Au catalyzed chemical vapor growth of Si{sub 1−x}Ge{sub x} alloyed nanowires in the presence of diborane, serving as a dopant precursor. Our experiments reveal that introduction of diborane has a significant effect on doping and morphology. Boron exposure poisons the Au catalyst surface, suppresses catalyst activity, and causes significantly tapered wires, as a result of conformal growth. The authors develop here a two-step method to obtain high density and taper-free boron doped Si{sub 1−x}Ge{sub x} alloy nanowires. The two-step process consists of: (1) growth of a small undoped Si{sub 1−x}Ge{sub x} section and (2) introduction of diboranemore » to form a boron doped Si{sub 1−x}Ge{sub x} section. The catalyst preparation step remarkably influences wire yield, quality and morphology. The authors show that dopant-ratio influences wire resistivity and morphology. Resistivity for high boron doped Si{sub 1−x}Ge{sub x} nanowire is 6 mΩ-cm. Four probe measurements show that it is possible to dope Si{sub 1−x}Ge{sub x} alloy nanowires with diborane.« less

  19. Predicting the optoelectronic properties of nanowire films based on control of length polydispersity

    NASA Astrophysics Data System (ADS)

    Large, Matthew J.; Burn, Jake; King, Alice A.; Ogilvie, Sean P.; Jurewicz, Izabela; Dalton, Alan B.

    2016-05-01

    We demonstrate that the optoelectronic properties of percolating thin films of silver nanowires (AgNWs) are predominantly dependent upon the length distribution of the constituent AgNWs. A generalized expression is derived to describe the dependence of both sheet resistance and optical transmission on this distribution. We experimentally validate the relationship using ultrasonication to controllably vary the length distribution. These results have major implications where nanowire-based films are a desirable material for transparent conductor applications; in particular when application-specific performance criteria must be met. It is of particular interest to have a simple method to generalize the properties of bulk films from an understanding of the base material, as this will speed up the optimisation process. It is anticipated that these results may aid in the adoption of nanowire films in industry, for applications such as touch sensors or photovoltaic electrode structures.

  20. One-Step Synthesis of Au-Ag Nanowires through Microorganism-Mediated, CTAB-Directed Approach.

    PubMed

    Xu, Luhang; Huang, Dengpo; Chen, Huimei; Jing, Xiaoling; Huang, Jiale; Odoom-Wubah, Tareque; Li, Qingbiao

    2018-05-28

    Synthesis and applications of one dimensional (1D) metal nanostructures have attracted much attention. However, one-step synthesis of bimetallic nanowires (NWs) has remained challenging. In this work, we developed a microorganism-mediated, hexadecyltrimethylammonium bromide (CTAB)-directed (MCD) approach to synthesize closely packed and long Au-Ag NWs with the assistance of a continuous injection pump. Characterization results confirmed that the branched Au-Ag alloy NWs was polycrystalline. And the Au-Ag NWs exhibited a strong absorbance at around 1950 nm in the near-infrared (NIR) region, which can find potential application in NIR absorption. In addition, the Au-Ag NWs showed excellent surface-enhanced Raman scattering (SERS) enhancement when 4-mercaptobenzoic acid (MBA) and rhodamine 6G (R6G) were used as probe molecules.

  1. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such asmore » ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be

  2. On the diffraction pattern of bundled rare-earth silicide nanowires on Si(0 0 1).

    PubMed

    Timmer, F; Bahlmann, J; Wollschläger, J

    2017-11-01

    Motivated by the complex diffraction pattern observed for bundled rare-earth silicide nanowires on the Si(0 0 1) surface, we investigate the influence of the width and the spacing distribution of the nanowires on the diffraction pattern. The diffraction pattern of the bundled rare-earth silicide nanowires is analyzed by the binary surface technique applying a kinematic approach to diffraction. Assuming a categorical distribution for the (individual) nanowire size and a Poisson distribution for the size of the spacing between adjacent nanowire-bundles, we are able to determine the parameters of these distributions and derive an expression for the distribution of the nanowire-bundle size. Additionally, the comparison of our simulations to the experimental diffraction pattern reveal that a (1  ×  1)-periodicity on top of the nanowires has to be assumed for a good match.

  3. Hierarchical Branched Vanadium Oxide Nanorod@Si Nanowire Architecture for High Performance Supercapacitors.

    PubMed

    Li, Zhaodong; Wang, Fei; Wang, Xudong

    2017-01-01

    Vanadium oxide (VO x ) nanorods are uniformly synthesized on dense Si nanowire arrays. This 3D hierarchical nanoarchitecture offers a novel high-performance supercapacitor electrode design with significantly improved specific capacitance and high-rate capability. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Porphyrin conjugated SiC/SiOx nanowires for X-ray-excited photodynamic therapy.

    PubMed

    Rossi, F; Bedogni, E; Bigi, F; Rimoldi, T; Cristofolini, L; Pinelli, S; Alinovi, R; Negri, M; Dhanabalan, S C; Attolini, G; Fabbri, F; Goldoni, M; Mutti, A; Benecchi, G; Ghetti, C; Iannotta, S; Salviati, G

    2015-01-05

    The development of innovative nanosystems opens new perspectives for multidisciplinary applications at the frontier between materials science and nanomedicine. Here we present a novel hybrid nanosystem based on cytocompatible inorganic SiC/SiOx core/shell nanowires conjugated via click-chemistry procedures with an organic photosensitizer, a tetracarboxyphenyl porphyrin derivative. We show that this nanosystem is an efficient source of singlet oxygen for cell oxidative stress when irradiated with 6 MV X-Rays at low doses (0.4-2 Gy). The in-vitro clonogenic survival assay on lung adenocarcinoma cells shows that 12 days after irradiation at a dose of 2 Gy, the cell population is reduced by about 75% with respect to control cells. These results demonstrate that our approach is very efficient to enhance radiation therapy effects for cancer treatments.

  5. Porphyrin conjugated SiC/SiOx nanowires for X-ray-excited photodynamic therapy

    NASA Astrophysics Data System (ADS)

    Rossi, F.; Bedogni, E.; Bigi, F.; Rimoldi, T.; Cristofolini, L.; Pinelli, S.; Alinovi, R.; Negri, M.; Dhanabalan, S. C.; Attolini, G.; Fabbri, F.; Goldoni, M.; Mutti, A.; Benecchi, G.; Ghetti, C.; Iannotta, S.; Salviati, G.

    2015-01-01

    The development of innovative nanosystems opens new perspectives for multidisciplinary applications at the frontier between materials science and nanomedicine. Here we present a novel hybrid nanosystem based on cytocompatible inorganic SiC/SiOx core/shell nanowires conjugated via click-chemistry procedures with an organic photosensitizer, a tetracarboxyphenyl porphyrin derivative. We show that this nanosystem is an efficient source of singlet oxygen for cell oxidative stress when irradiated with 6 MV X-Rays at low doses (0.4-2 Gy). The in-vitro clonogenic survival assay on lung adenocarcinoma cells shows that 12 days after irradiation at a dose of 2 Gy, the cell population is reduced by about 75% with respect to control cells. These results demonstrate that our approach is very efficient to enhance radiation therapy effects for cancer treatments.

  6. Mechanical properties of sol–gel derived SiO2 nanotubes

    PubMed Central

    Antsov, Mikk; Vlassov, Sergei; Dorogin, Leonid M; Vahtrus, Mikk; Zabels, Roberts; Lange, Sven; Lõhmus, Rünno

    2014-01-01

    Summary The mechanical properties of thick-walled SiO2 nanotubes (NTs) prepared by a sol–gel method while using Ag nanowires (NWs) as templates were measured by using different methods. In situ scanning electron microscopy (SEM) cantilever beam bending tests were carried out by using a nanomanipulator equipped with a force sensor in order to investigate plasticity and flexural response of NTs. Nanoindentation and three point bending tests of NTs were performed by atomic force microscopy (AFM) under ambient conditions. Half-suspended and three-point bending tests were processed in the framework of linear elasticity theory. Finite element method simulations were used to extract Young’s modulus values from the nanoindentation data. Finally, the Young’s moduli of SiO2 NTs measured by different methods were compared and discussed. PMID:25383292

  7. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  8. Novel AgNWs-PAN/TPU membrane for point-of-use drinking water electrochemical disinfection.

    PubMed

    Tan, Xiaojun; Chen, Chao; Hu, Yongyou; Wen, Junjie; Qin, Yanzhe; Cheng, Jianhua; Chen, Yuancai

    2018-10-01

    The safety of drinking water remains a major challenge in developing countries and point-of-use (POU) drinking water treatment device plays an important role in decentralised drinking water safety. In this study, a novel material, i.e. a silver nanowires-polyacrylonitrile/thermoplastic polyurethane (AgNWs-PAN/TPU) composite membrane, was fabricated via electrospinning and vacuum filtration deposition. Morphological and structural characterisation showed that the PAN/TPU fibres had uniform diameters and enhanced mechanical properties. When added to these fibres, the AgNWs formed a highly conductive network with good physical stability and low silver ion leaching (<100 ppb). A POU device equipped with a AgNWs-PAN/TPU membrane displayed complete removal of 10 5  CFU/mL bacteria, which were inactivated by silver ions released from the AgNWs within 6 h. Furthermore, under a voltage of 1.5 V, the bacteria were completely inactivated within 20-25 min. Inactivation efficiency in 5 mM NaCl solution was higher than those in Na 2 SO 4 and NaNO 3 solutions. We concluded that a strong electric field was formed at the AgNW tips. Additionally, silver ions and chlorine compounds worked synergistically in the disinfection process. This study provides a scientific basis for research and development of silver nanocomposite membranes, with high mechanical strength and high conductivity, for POU drinking water disinfection. Copyright © 2018 Elsevier B.V. All rights reserved.

  9. Stable and metastable nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2014-11-18

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  10. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  11. Platinum-ruthenium nanotubes and platinum-ruthenium coated copper nanowires as efficient catalysts for electro-oxidation of methanol

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Jie; Cullen, David A.; Forest, Robert V.

    2015-01-15

    The sluggish kinetics of methanol oxidation reaction (MOR) is a major barrier to the commercialization of direct methanol fuel cells (DMFCs). In this study, we report a facile synthesis of platinum–ruthenium nanotubes (PtRuNTs) and platinum–ruthenium-coated copper nanowires (PtRu/CuNWs) by galvanic displacement reaction using copper nanowires as a template. The PtRu compositional effect on MOR is investigated; the optimum Pt/Ru bulk atomic ratio is about 4 and surface atomic ratio about 1 for both PtRuNTs and PtRu/CuNWs. Enhanced specific MOR activities are observed on both PtRuNTs and PtRu/CuNWs compared with the benchmark commercial carbon-supported PtRu catalyst (PtRu/C, Hispec 12100). Finally, x-raymore » photoelectron spectroscopy (XPS) reveals a larger extent of electron transfer from Ru to Pt on PtRu/CuNWs, which may lead to a modification of the d-band center of Pt and consequently a weaker bonding of CO (the poisoning intermediate) on Pt and a higher MOR activity on PtRu/CuNWs.« less

  12. Nanowire dopant measurement using secondary ion mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chia, A. C. E.; Boulanger, J. P.; Wood, B. A.

    2015-09-21

    A method is presented to improve the quantitative determination of dopant concentration in semiconductor nanowire (NW) arrays using secondary ion mass spectrometry (SIMS). SIMS measurements were used to determine Be dopant concentrations in a Be-doped GaAs thin film and NW arrays of various pitches that were dry-etched from the same film. A comparison of these measurements revealed a factor of 3 to 12 difference, depending on the NW array pitch, between the secondary Be ion yields of the film and the NW arrays, despite being identically doped. This was due to matrix effects and ion beam mixing of Be frommore » the NWs into the surrounding benzocyclobutene that was used to fill the space between the NWs. This indicates the need for etched NWs to be used as doping standards instead of 2D films when evaluating NWs of unknown doping by SIMS. Using the etched NWs as doping standards, NW arrays of various pitches grown by the vapour-liquid-solid mechanism were characterized by SIMS to yield valuable insights into doping mechanisms.« less

  13. Preparation of Advanced CuO Nanowires/Functionalized Graphene Composite Anode Material for Lithium Ion Batteries.

    PubMed

    Zhang, Jin; Wang, Beibei; Zhou, Jiachen; Xia, Ruoyu; Chu, Yingli; Huang, Jia

    2017-01-17

    The copper oxide (CuO) nanowires/functionalized graphene (f-graphene) composite material was successfully composed by a one-pot synthesis method. The f-graphene synthesized through the Birch reduction chemistry method was modified with functional group "-(CH₂)₅COOH", and the CuO nanowires (NWs) were well dispersed in the f-graphene sheets. When used as anode materials in lithium-ion batteries, the composite exhibited good cyclic stability and decent specific capacity of 677 mA·h·g -1 after 50 cycles. CuO NWs can enhance the lithium-ion storage of the composites while the f-graphene effectively resists the volume expansion of the CuO NWs during the galvanostatic charge/discharge cyclic process, and provide a conductive paths for charge transportation. The good electrochemical performance of the synthesized CuO/f-graphene composite suggests great potential of the composite materials for lithium-ion batteries anodes.

  14. Parallel Nanoshaping of Brittle Semiconductor Nanowires for Strained Electronics.

    PubMed

    Hu, Yaowu; Li, Ji; Tian, Jifa; Xuan, Yi; Deng, Biwei; McNear, Kelly L; Lim, Daw Gen; Chen, Yong; Yang, Chen; Cheng, Gary J

    2016-12-14

    Semiconductor nanowires (SCNWs) provide a unique tunability of electro-optical property than their bulk counterparts (e.g., polycrystalline thin films) due to size effects. Nanoscale straining of SCNWs is desirable to enable new ways to tune the properties of SCNWs, such as electronic transport, band structure, and quantum properties. However, there are two bottlenecks to prevent the real applications of straining engineering of SCNWs: strainability and scalability. Unlike metallic nanowires which are highly flexible and mechanically robust for parallel shaping, SCNWs are brittle in nature and could easily break at strains slightly higher than their elastic limits. In addition, the ability to generate nanoshaping in large scale is limited with the current technologies, such as the straining of nanowires with sophisticated manipulators, nanocombing NWs with U-shaped trenches, or buckling NWs with prestretched elastic substrates, which are incompatible with semiconductor technology. Here we present a top-down fabrication methodology to achieve large scale nanoshaping of SCNWs in parallel with tunable elastic strains. This method utilizes nanosecond pulsed laser to generate shock pressure and conformably deform the SCNWs onto 3D-nanostructured silicon substrates in a scalable and ultrafast manner. A polymer dielectric nanolayer is integrated in the process for cushioning the high strain-rate deformation, suppressing the generation of dislocations or cracks, and providing self-preserving mechanism for elastic strain storage in SCNWs. The elastic strain limits have been studied as functions of laser intensity, dimensions of nanowires, and the geometry of nanomolds. As a result of 3D straining, the inhomogeneous elastic strains in GeNWs result in notable Raman peak shifts and broadening, which bring more tunability of the electrical-optical property in SCNWs than traditional strain engineering. We have achieved the first 3D nanostraining enhanced germanium field

  15. Flexible, silver nanowire network nickel hydroxide core-shell electrodes for supercapacitors

    NASA Astrophysics Data System (ADS)

    Yuksel, Recep; Coskun, Sahin; Kalay, Yunus Eren; Unalan, Husnu Emrah

    2016-10-01

    We present a novel one-dimensional coaxial architecture composed of silver nanowire (Ag NW) network core and nickel hydroxide (Ni(OH)2) shell for the realization of coaxial nanocomposite electrode materials for supercapacitors. Ag NWs are formed conductive networks via spray coating onto polyethylene terephthalate (PET) substrates and Ni(OH)2 is gradually electrodeposited onto the Ag NW network to fabricate core-shell electrodes for supercapacitors. Synergy of highly conductive Ag NWs and high capacitive Ni(OH)2 facilitate ion and electron transport, enhance electrochemical properties and result in a specific capacitance of 1165.2 F g-1 at a current density of 3 A g-1. After 3000 cycles, fabricated nanocomposite electrodes show 93% capacity retention. The rational design explored in this study points out the potential of nanowire based coaxial energy storage devices.

  16. Zn2GeO4 nanowires as efficient electron injection material for electroluminescent devices.

    PubMed

    Wang, Jiangxin; Yan, Chaoyi; Magdassi, Shlomo; Lee, Pooi See

    2013-08-14

    Pure phase Zn2GeO4 nanowires (NWs) were grown by the chemical vapor transport method on p-GaN: Mg/Al2O3 substrate. The as-grown Zn2GeO4 NWs exhibited n-type characteristic due to native defects and formed a p-n heterojunction with the p-GaN substrate. The unique energy level of Zn2GeO4 NWs promotes electron injection into GaN active region while suppressing hole injection into Zn2GeO4 NWs. The device exhibited an emission centered at 426 nm and a low turn-on voltage around 4 V. Zn2GeO4 NWs are first reported in this paper as promising electron transport and injection material for electroluminescent devices.

  17. III-nitride nanowire LEDs and diode lasers: monolithic light sources on (001) Si emitting in the 600-1300nm range

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2018-02-01

    GaN-based nanowire heterostructure arrays epitaxially grown on (001)Si substrates have unique properties and present the potential to realize useful devices. The active light-emitting region in the nanowire heterostructures are usually InGaN disks, whose composition can be varied to tune the emission wavelength. We have demonstrated light emitting diodes and edgeemitting diode lasers with power outputs 10mW with emission in the 600-1300nm wavelength range. These light sources are therefore useful for a variety of applications, including silicon photonics. Molecular beam epitaxial growth of the nanowire heterostructure arrays on (001)Si substrates and the characteristics of 1.3μm nanowire array edge emitting lasers, guided wave photodiodes and a monolithic photonic integrated circuit designed for 1.3μm operation are described.

  18. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  19. Cathodoluminescence study of one-dimensional free-standing widegap-semiconductor nanostructures: GaN nanotubes, Si3N4 nanobelts and ZnS/Si nanowires.

    PubMed

    Sekiguchi, Takashi; Hu, Junqing; Bando, Yoshio

    2004-01-01

    Luminescence properties of one-dimensional free-standing widegap-semiconductor nanostructures were characterized by means of cathodoluminescence (CL). GaN nanopipes, alpha-Si3N4 nanobelts and ZnS/Si nanowires were fabricated by a catalyst-free method, namely grown in an induction furnace from powders. After the observation of morphology by scanning electron microscopy as well as the confirmation of their crystal structures by transmission electron microscopy, their CL spectra and images were observed. The CL spectra mapping as well as the monochromatic CL imaging revealed the variation of the luminescence spectra of different nanowires as well as that along a single wire. These results revealed the optical features of nanostructures.

  20. Ultrasonication-assisted synthesis of high aspect ratio gold nanowires on a graphene template and investigation of their growth mechanism.

    PubMed

    Xin, Wenbo; De Rosa, Igor M; Cao, Yang; Yin, Xunqian; Yu, Hang; Ye, Peiyi; Carlson, Larry; Yang, Jenn-Ming

    2018-04-19

    We report a facile synthesis of Au nanowires (AuNWs) with a high aspect ratio (l/D) of up to 5000 on a plasma activated graphene template with ultrasound assistance. We demonstrate that the ultrasonication induced symmetry breaking of Au clusters facilitates the growth of AuNWs from the embryonic stages. Furthermore, the growth mechanism of AuNWs is systematically investigated using high resolution electron transmission microscopy (HRTEM), which reveals the unique role of the defective graphene template in directing the growth of AuNWs.