Sample records for national metrology laboratory

  1. Conceptual design of new metrology laboratories for the National Physical Laboratory, United Kingdom

    NASA Astrophysics Data System (ADS)

    Manning, Christopher J.

    1994-10-01

    The National Physical Laboratory is planning to house the Division of Mechanical and Optical Metrology and the Division of Material Metrology in a new purpose built laboratory building on its site at Teddington, London, England. The scientific staff were involved in identifying and agreeing the vibration performance requirements of the conceptual design. This was complemented by an extensive surgery of vibration levels within the existing facilities and ambient vibration studies at the proposed site. At one end of the site there is significant vibration input from road traffic. Some of the test equipment is also in itself a source of vibration input. These factors, together with normal occupancy inputs, footfalls and door slams, and a highly serviced building led to vibration being dominant in influencing the structural form. The resulting structural concept comprises three separate structural elements for vibration and geotechnical reasons. The laboratories most sensitive to disturbance by vibration are located at the end of the site farthest from local roads on a massive ground bearing slab. Less sensitive laboratories and those containing vibration sources are located on a massive slab in deep, piled foundations. A common central plant area is located alongside on its own massive slab. Medium sensitivity laboratories and offices are located at first floor level on a reinforced concrete suspended floor of maximum stiffness per unit mass. The whole design has been such as to permit upgrading of areas, eg office to laboratory; laboratory to `high sensitivity' laboratory, to cater for changes in future use of the building.

  2. MSFC Optical Metrology: A National Resource

    NASA Technical Reports Server (NTRS)

    Burdine, Robert

    1998-01-01

    A national need exists for Large Diameter Optical Metrology Services. These services include the manufacture, testing, and assurance of precision and control necessary to assure the success of large optical projects. "Best Practices" are often relied on for manufacture and quality controls while optical projects are increasingly more demanding and complex. Marshall Space Flight Center (MSFC) has acquired unique optical measurement, testing and metrology capabilities through active participation in a wide variety of NASA optical programs. An overview of existing optical facilities and metrology capabilities is given with emphasis on use by other optical projects. Cost avoidance and project success is stressed through use of existing MSFC facilities and capabilities for measurement and metrology controls. Current issues in large diameter optical metrology are briefly reviewed. The need for a consistent and long duration Large Diameter Optical Metrology Service Group is presented with emphasis on the establishment of a National Large Diameter Optical Standards Laboratory. Proposals are made to develop MSFC optical standards and metrology capabilities as the primary national standards resource, providing access to MSFC Optical Core Competencies for manufacturers and researchers. Plans are presented for the development of a national lending library of precision optical standards with emphasis on cost avoidance while improving measurement assurance.

  3. Metrology Laboratory | Energy Systems Integration Facility | NREL

    Science.gov Websites

    and artificial) Spectral reflectance and transmission of materials (functional check only , pyrheliometers,* pyranometers,* and pyrgeometers. The Metrology Laboratory provides National Institute of

  4. Metrology laboratory requirements for third-generation synchrotron radiation sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takacs, P.Z.; Quian, Shinan

    1997-11-01

    New third-generation synchrotron radiation sources that are now, or will soon, come on line will need to decide how to handle the testing of optical components delivered for use in their beam lines. In many cases it is desirable to establish an in-house metrology laboratory to do the work. We review the history behind the formation of the Optical Metrology Laboratory at Brookhaven National Laboratory and the rationale for its continued existence. We offer suggestions to those who may be contemplating setting up similar facilities, based on our experiences over the past two decades.

  5. National Needs for Appearance Metrology

    NASA Astrophysics Data System (ADS)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  6. Accreditation experience of radioisotope metrology laboratory of Argentina.

    PubMed

    Iglicki, A; Milá, M I; Furnari, J C; Arenillas, P; Cerutti, G; Carballido, M; Guillén, V; Araya, X; Bianchini, R

    2006-01-01

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the (alpha/beta)-gamma coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved.

  7. Metrology for Information Technology

    DTIC Science & Technology

    1997-05-01

    Technology (IT) MEL/ITL Task Group on Metrology for Information Technology (IT) U.S. DEPARTMENT OF COMMERCE Technology Administration National Institute of...NIST management requested a white paper on metrology for information technology (IT). A task group was formed to develop this white paper with...representatives from the Manufacturing Engineering Laboratory (MEL), the Information Technology Laboratory (ITL), and Technology Services (TS). The task

  8. The role of LATU as national metrology institute of Uruguay and its responsibilities

    NASA Astrophysics Data System (ADS)

    Robatto, O.; Quagliata, E.; Santo, C.; Sica, A.; Sponton, M.

    2013-09-01

    Laboratorio Tecnológico del Uruguay (LATU) is the National Metrology Institute of Uruguay and has the obligation to maintain the national standards stated by National Law 15298. At present LATU is acting as a secondary laboratory as well as a primary laboratory. LATU was ISO 17025:2005 DKD (Deutscher Kalibrierdienst) accredited from 2001 up to 2007. By that time LATU decided to support its Capabilities of Measurement and Calibration (CMCs) at CIPM-MRA (Mutual Recognition Arrangement between national metrology institutes (NMIs)) by peer assessment. A Peer Review has been done in 2008 in order to get the QSTF (Sistema Interamericano de Metrología, Quality System Task Force) approval. "New "CMCs for Industrial Thermometers have been approved by the JCRB on September 2010. CMCs claimed for Standard Resistance Platinum Thermometers (SPRTs) calibration at fixed points have not been approved yet because there were some requirements of traceability of employed cells that were not fulfilled but will be solved properly. The declared CMCs have been chosen by LATU in order to cover the increasing calibration services required by the industry and the secondary calibration laboratories. To demonstrate its technical competence an support its declared "CMCs" LATU has also participated at bilateral and regional comparisons. In recent years LATU, the National Accreditation Body (OUA), the Standards Institute, the National Institute of Quality and Compliance Bodies have become Members of a new Institution to strengthen the Quality Infrastructure of the country (SUNAMEC). As part of this new activities, LATU is giving training courses to the secondary laboratories performing calibrations in temperature that want to get accredited by the National Accreditation Body and to act as Technical Evaluators or Auditors when required by OUA. It is expected, that in the future and in the frame of new accredited and recognized temperature calibration laboratories, LATU could strengthen its

  9. [On the way to national reference system of laboratory medicine].

    PubMed

    Muravskaia, N P; Men'shikov, V V

    2014-10-01

    The application of standard samples and reference techniques of implementation of measurements is needed for a valid support of reliability of analyses applied in clinical diagnostic laboratories. They play role of landmarks under metrologic monitoring, calibration of devices and control of quality of results. The article presents analysis of shortcomings interfering with formation of national reference system in Russia harmonized with possibilities provided by international organizations. Among them are the joint Committee on metrologic monitoring in laboratory medicine under the auspices of the International Bureau of Weights and Measures, the International Federation of clinical chemistry and laboratory medicine, etc. The results of the recent development of national normative documents, standard samples and techniques assisted by the authors of article are considered. They are the first steps to organization of national reference system which would comprise all range of modern analytical technologies of laboratory medicine. The national and international measures are proposed to enhance the promptest resolving of task of organization of national reference system for laboratory medicine in the interests of increasing of effectiveness of medical care to citizen of Russia.

  10. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  11. Microwave monolithic integrated circuit-related metrology at the National Institute of Standards and Technology

    NASA Astrophysics Data System (ADS)

    Reeve, Gerome; Marks, Roger; Blackburn, David

    1990-12-01

    How the National Institute of Standards and Technology (NIST) interacts with the GaAs community and the Defense Advanced Research Projects Agency microwave monolithic integrated circuit (MMIC) initiative is described. The organization of a joint industry and government laboratory consortium for MMIC-related metrology research is described along with some of the initial technical developments at NIST done in support of the consortium.

  12. NPL scoops £25m for advanced metrology centre

    NASA Astrophysics Data System (ADS)

    Singh Chadha, Kulvinder

    2013-03-01

    The National Physical Laboratory (NPL) in Teddington, UK, is to receive £25m towards the construction of an Advanced Metrology Laboratory (AML) that will contain up to 20 labs and be complete by 2017.

  13. Laser and Optical Fiber Metrology in Romania

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sporea, Dan; Sporea, Adelina

    2008-04-15

    The Romanian government established in the last five years a National Program for the improvement of country's infrastructure of metrology. The set goal was to develop and accredit testing and calibration laboratories, as well as certification bodies, according to the ISO 17025:2005 norm. Our Institute benefited from this policy, and developed a laboratory for laser and optical fibers metrology in order to provide testing and calibration services for the certification of laser-based industrial, medical and communication products. The paper will present the laboratory accredited facilities and some of the results obtained in the evaluation of irradiation effects of optical andmore » optoelectronic parts, tests run under the EU's Fusion Program.« less

  14. Remote laboratories for optical metrology: from the lab to the cloud

    NASA Astrophysics Data System (ADS)

    Osten, W.; Wilke, M.; Pedrini, G.

    2012-10-01

    expensive and complex infrastructure within international collaborations, the implementation of new ways for the remote test of new devices, for their maintenance and service, and many more. The paper describes the idea of remote laboratories and illustrates the potential of the approach on selected examples with special attention to optical metrology.

  15. Advances in engineering nanometrology at the National Physical Laboratory

    NASA Astrophysics Data System (ADS)

    Leach, Richard K.; Claverley, James; Giusca, Claudiu; Jones, Christopher W.; Nimishakavi, Lakshmi; Sun, Wenjuan; Tedaldi, Matthew; Yacoot, Andrew

    2012-07-01

    The National Physical Laboratory, UK, has been active in the field of engineering nanometrology for a number of years. A summary of progress over the last five years is presented in this paper and the following research projects discussed in detail. (1) Development of an infrastructure for the calibration of instruments for measuring areal surface topography, along with the development of areal software measurement standards. This work comprises the use of the optical transfer function and a technique for the simultaneous measurement of topography and the phase change on reflection, allowing composite materials to be measured. (2) Development of a vibrating micro-CMM probe with isotropic probing reaction and the ability to operate in a non-contact mode. (3) A review of x-ray computed tomography and its use in dimensional metrology. (4) The further development of a metrology infrastructure for atomic force microscopy and the development of an instrument for the measurement of the effect of the probe-surface interaction. (5) Traceable measurement of displacement using optical and x-ray interferometry to picometre accuracy. (6) Development of an infrastructure for low-force metrology, including the development of appropriate transfer artefacts.

  16. PREFACE: 13th International Conference on Metrology and Properties of Engineering Surfaces

    NASA Astrophysics Data System (ADS)

    Leach, Richard

    2011-08-01

    The 13th International Conference on Metrology and Properties of Engineering Surfaces focused on the progress in surface metrology, surface characterisation instrumentation and properties of engineering surfaces. The conference provided an international forum for academics, industrialists and engineers from different disciplines to meet and exchange their ideas, results and latest research. The conference was held at Twickenham Stadium, situated approximately six miles from Heathrow Airport and approximately three miles from the National Physical Laboratory (NPL). This was the thirteenth in the very successful series of conferences, which have firmly established surface topography as a new and exciting interdisciplinary field of scientific and technological studies. Scientific Themes: Surface, Micro and Nano Metrology Measurement and Instrumentation Metrology for MST Devices Freeform Surface Measurement and Characterisation Uncertainty, Traceability and Calibration AFM/SPM Metrology Tribology and Wear Phenomena Functional Applications Stylus and Optical Instruments

  17. Results of x-ray mirror round-robin metrology measurements at the APS, ESRF, and SPring-8 optical metrology laboratories.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Assoufid, L.; Rommeveaux, A.; Ohashi, H.

    2005-01-01

    This paper presents the first series of round-robin metrology measurements of x-ray mirrors organized at the Advanced Photon Source (APS) in the USA, the European Synchrotron Radiation Facility in France, and the Super Photon Ring (SPring-8) (in a collaboration with Osaka University, ) in Japan. This work is part of the three institutions' three-way agreement to promote a direct exchange of research information and experience amongst their specialists. The purpose of the metrology round robin is to compare the performance and limitations of the instrumentation used at the optical metrology laboratories of these facilities and to set the basis formore » establishing guidelines and procedures to accurately perform the measurements. The optics used in the measurements were selected to reflect typical, as well as state of the art, in mirror fabrication. The first series of the round robin measurements focuses on flat and cylindrical mirrors with varying sizes and quality. Three mirrors (two flats and one cylinder) were successively measured using long trace profilers. Although the three facilities' LTPs are of different design, the measurements were found to be in excellent agreement. The maximum discrepancy of the rms slope error values is 0.1 {micro}rad, that of the rms shape error was 3 nm, and they all relate to the measurement of the cylindrical mirror. The next round-robin measurements will deal with elliptical and spherical optics.« less

  18. PREFACE: VII Brazilian Congress on Metrology (Metrologia 2013)

    NASA Astrophysics Data System (ADS)

    Costa-Félix, Rodrigo; Bernardes, Americo; Valente de Oliveira, José Carlos; Mauro Granjeiro, José; Epsztejn, Ruth; Ihlenfeld, Waldemar; Smarçaro da Cunha, Valnei

    2015-01-01

    SEVENTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2013) Metrology and Quality for a Sustainable Development From November 24th to 27th 2013 was issued the Seventh Brazilian Congress on Metrology (Metrologia 2013), which is a biannual conference organized and sponsored by the Brazilian Society of Metrology (SBM) and the Brazilian National Institute of Metrology, Quality and Technology (Inmetro). This edition was held in the charming and historical city of Ouro Preto, MG, Brazil, and aimed to join people and institutions devoted to the dissemination of the metrology and conformity assessment. The Metrologia 2013 Conference consisted of Keynote Speeches (7) and regular papers (204). Among the regular papers, the 47 most outstanding ones, comprising a high quality content on Metrology and Conformity Assessment, were selected to be published in this issue of the Journal of Physics: Conference Series. The topics of the conference covered all important areas of Metrology, which were agglutinated in the following sessions in the present issue: . Physical Metrology (Acoustics, Vibration and Ultrasound; Electricity and Magnetism; Mechanics; Optics); . Metrology on Ionizing Radiations; . Time and Frequency; . Chemistry Metrology; . Materials Metrology; . Biotechnology; . Uncertainty, Statistics and Mathematics; . Legal Metrology; . Conformity Assessment. It is our great pleasure to present this volume of IOP Journal of Physics: Conference Series (JPCS) to the scientific community to promote further research in Metrology and related areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by Metrologia 2013. President of the congress Americo Bernardes Federal University of Ouro Preto atb@iceb.ufop.br Editor-in-chief Rodrigo Costa-Félix Brazilian National Institute of Metrology, Quality and Technology rpfelix@inmetro.gov.br Editors José Carlos Valente de Oliveira (Editor on Mechanical Metrology

  19. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.

    2008-07-14

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performancemore » and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation.« less

  20. Temperature metrology

    NASA Astrophysics Data System (ADS)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  1. FOREWORD: Neutron metrology Neutron metrology

    NASA Astrophysics Data System (ADS)

    Thomas, David J.; Nolte, Ralf; Gressier, Vincent

    2011-12-01

    covered, particularly as a compendium of papers on spectrometry for radiation protection has been published relatively recently [1]. The CIPM Mutual Recognition Arrangement (CIPM MRA), whereby national measurement standards and certificates issued by different national metrology institutes (NMIs) can be recognized internationally, is covered only briefly, although the key comparisons which underpin the CIPM MRA are highlighted. The papers included in this issue concentrate on the primary physical quantities—neutron source emission rate and neutron fluence, papers on the latter quantity covering the wide range of neutron energies for which standards are required. Neutron cross sections are fundamental to neutron physics and their importance in neutron metrology is also covered. A large amount of work by acknowledged experts in neutron metrology has gone into the preparation of this special issue and we are indebted to them for their time and effort. The list of contributors begins with the authors of the papers but also includes the referees who provided invisible but invaluable input. We are grateful for the support and encouragement of Professor Georgio Moscati, president of the CCRI when the work was proposed, Dr Kim Carneiro the current president, and Dr Penny Allisy-Roberts the executive secretary of the CCRI. When this work was first proposed a list of potential topics was drawn up by the then chairman of Section (III) Dr Horst Klein. It is a measure of his insight and knowledge of the field that the resulting document matches almost exactly the original plan he drew up. This special issue is thus a tribute to his very extensive contribution to the field. We sincerely hope its contents provide an accurate picture of the present state of neutron metrology in view of Dr Klein's conviction of the importance in metrology of getting things right. Reference [1] Thomas D J and Klein H (ed) 2003 Neutron and photon spectrometry techniques for radiation protection Radiat

  2. Metrology and ionospheric observation standards

    NASA Astrophysics Data System (ADS)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  3. A European Roadmap for Thermophysical Properties Metrology

    NASA Astrophysics Data System (ADS)

    Filtz, J.-R.; Wu, J.; Stacey, C.; Hollandt, J.; Monte, C.; Hay, B.; Hameury, J.; Villamañan, M. A.; Thurzo-Andras, E.; Sarge, S.

    2015-03-01

    A roadmap for thermophysical properties metrology was developed in spring 2011 by the Thermophysical Properties Working Group in the EURAMET Technical Committee in charge of Thermometry, Humidity and Moisture, and Thermophysical Properties metrology. This roadmapping process is part of the EURAMET (European Association of National Metrology Institutes) activities aiming to increase impact from national investment in European metrology R&D. The roadmap shows a shared vision of how the development of thermophysical properties metrology should be oriented over the next 15 years to meet future social and economic needs. Since thermophysical properties metrology is a very broad and varied field, the authors have limited this roadmap to the following families of properties: thermal transport properties (thermal conductivity, thermal diffusivity, etc.), radiative properties (emissivity, absorbance, reflectance, and transmittance), caloric quantities (specific heat, enthalpy, etc.), thermodynamic properties (PVT and phase equilibria properties), and temperature-dependent quantities (thermal expansion, compressibility, etc.). This roadmap identifies the main societal and economical triggers that drive developments in thermophysical properties metrology. The key topics considered are energy, environment, advanced manufacturing and processing, public safety, security, and health. Key targets that require improved thermophysical properties measurements are identified in order to address these triggers. Ways are also proposed for defining the necessary skills and the main useful means to be implemented. These proposals will have to be revised as needs and technologies evolve in the future.

  4. Reference metrology in a research fab: the NIST clean calibrations thrust

    NASA Astrophysics Data System (ADS)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  5. Metrological activity determination of 133Ba by sum-peak absolute method

    NASA Astrophysics Data System (ADS)

    da Silva, R. L.; de Almeida, M. C. M.; Delgado, J. U.; Poledna, R.; Santos, A.; de Veras, E. V.; Rangel, J.; Trindade, O. L.

    2016-07-01

    The National Laboratory for Metrology of Ionizing Radiation provides gamma sources of radionuclide and standardized in activity with reduced uncertainties. Relative methods require standards to determine the sample activity while the absolute methods, as sum-peak, not. The activity is obtained directly with good accuracy and low uncertainties. 133Ba is used in research laboratories and on calibration of detectors for analysis in different work areas. Classical absolute methods don't calibrate 133Ba due to its complex decay scheme. The sum-peak method using gamma spectrometry with germanium detector standardizes 133Ba samples. Uncertainties lower than 1% to activity results were obtained.

  6. What metrology can do to improve the quality of your atmospheric ammonia measurements

    NASA Astrophysics Data System (ADS)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 <3%. The infrastructure consists of a stationary as well as a mobile device for full flexibility for calibrations in the laboratory and in the

  7. A laser scanning system for metrology and viewing in ITER

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spampinato, P.T.; Barry, R.E.; Menon, M.M.

    1996-05-01

    The construction and operation of a next-generation fusion reactor will require metrology to achieve and verify precise alignment of plasma-facing components and inspection in the reactor vessel. The system must be compatible with the vessel environment of high gamma radiation (10{sup 4} Gy/h), ultra-high-vacuum (10{sup {minus}8} torr), and elevated temperature (200 C). The high radiation requires that the system be remotely deployed. A coherent frequency modulated laser radar-based system will be integrated with a remotely operated deployment mechanism to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics tomore » the laser source and imaging units that are located outside of a biological shield. The deployment mechanism will be a mast-like positioning system. Radiation-damage tests will be conducted on critical sensor components at Oak Ridge National Laboratory to determine threshold damage levels and effects on data transmission. This paper identifies the requirements for International Thermonuclear Experimental Reactor metrology and viewing and describes a remotely operated precision ranging and surface mapping system.« less

  8. A Roadmap for Thermal Metrology

    NASA Astrophysics Data System (ADS)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  9. Surface Displacement Measurements, Strain and Vibrational Analysis using Speckle Metrology Techniques.

    DTIC Science & Technology

    1980-03-01

    Ennos, A. E., " Measurement by Laser Photography," National Physical Laboratory, Division of Optical Metrology, Teddington, Middlesex, U.K. 9. Archbold...Field Measurement ," Optics and Laser TechnoloZ, pp. 216 - 219, October 1776. 149 37. Khetan, R. P., and Chiang, F. P., "Strain Analysis by One Beam...AD-AO85 145 NAVAL POSTGRADUATE SCHOOL MONTEREY CA F/G 17/8 SURFACE DISPLACEMENT MEASUREMENTS , STRAIN AND VIBRATIONAL ANALY-ETC(U) MAR GO A B

  10. In-cell overlay metrology by using optical metrology tool

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  11. NASA metrology and calibration, 1993

    NASA Technical Reports Server (NTRS)

    1993-01-01

    Th sixteenth annual workshop of NASA's Metrology and Calibration Working Group was held April 20-22, 1993. The goals of the Working Group are to provide Agencywide standardization of individual metrology programs, where appropriate; to promote cooperation and exchange of information within NASA, with other Government agencies, and with industry; to serve as the primary Agency interface with the National Institute of Standards and Technology; and to encourage formal quality control techniques such as Measurement Assurance Programs. These proceedings contain unedited reports and presentations from the workshop and are provided for information only.

  12. Catalog of Federal metrology and calibration capabilities: 1980 edition

    NASA Astrophysics Data System (ADS)

    Leedy, K. O.

    1980-09-01

    Federal laboratories involved in metrology and calibration are listed. Included is the name of a person to contact at each laboratory telephone number and address. The capabilities of each laboratory are indicated in a tabular listing by agency. To provide geographical distribution, the laboratories are listed by States. In addition, the laboratories are shown on a map by coded number. Other references are described.

  13. Second metrology round-robin of APS, ESRF and SPring-8 laboratories of elliptical and spherical hard-x-ray mirrors.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rommeveaux, A.; Assoufid, L.; Ohashi, H.

    2007-01-01

    The first series of metrology round-robin measurements carried out in 2005 at the APS, ESRF and SPring-8 metrology laboratories involving two flat x-ray mirrors and a cylindrical x-ray mirror has shown excellent agreement among the three facilities Long Trace Profilers (LTP) despite their architectural differences. Because of the growing interest in diffraction-limited hard x-ray K-B focusing mirrors, it was decided to extend the round robin measurements to spherical and aspheric x-ray mirrors. The strong surface slope variation of these mirrors presents a real challenge to LTP. As a result, new LTP measurement protocol has to be developed and implemented tomore » ensure measurement accuracy and consistency. In this paper, different measurement techniques and procedures will be described, the results will be discussed, and comparison will be extended to micro-stitching interferometry measurements performed at Osaka University, Japan.« less

  14. Opportunities for scientists to influence policy: when does radiation metrology matter in development of national policy?

    PubMed

    Coursey, Bert M

    2014-05-01

    Accurate measurements of radiation and radioactivity rarely rise to the level of national policy. The things that matter most to ordinary citizens do not normally include questions of science and technology. Citizens are more often concerned with issues close to home relating to commerce, health, safety, security and the environment. When questions of confidence in measurements arise, they are first directed to the ministry that has responsibilities in that area. When the required uncertainty in field measurements challenges the capability of the regulatory authorities, the National Metrology Institute may be asked to develop transfer standards to enhance the capabilities of the ministry with the mission lead. In this paper, we will consider eight instances over the past nine decades in which questions in radiation and radionuclide metrology in the US did rise to the level that they influenced decisions on national policy. These eight examples share some common threads. Radioactivity and ionizing radiation are useful tools in many disciplines, but can often represent potential or perceived threats to health and public safety. When unforeseen applications of radiation arise, or when environmental radioactivity from natural and man-made sources presents a possible health hazard, the radiation metrologists may be called upon to provide the technical underpinning for policy development. © 2013 Published by Elsevier Ltd.

  15. Applications of surface metrology in firearm identification

    NASA Astrophysics Data System (ADS)

    Zheng, X.; Soons, J.; Vorburger, T. V.; Song, J.; Renegar, T.; Thompson, R.

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCFMAX) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases.

  16. Metrology - Beyond the Calibration Lab

    NASA Technical Reports Server (NTRS)

    Mimbs, Scott M.

    2008-01-01

    We rely on data from measurements every day; a gas-pump, a speedometer, and a supermarket weight scale are just three examples of measurements we use to make decisions. We generally accept the data from these measurements as "valid." One reason we can accept the data is the "legal metrology" requirements established and regulated by the government in matters of commerce. The measurement data used by NASA, other government agencies, and industry can be critical to decisions which affect everything from economic viability, to mission success, to the security of the nation. Measurement data can even affect life and death decisions. Metrology requirements must adequately provide for risks associated with these decisions. To do this, metrology must be integrated into all aspects of an industry including research, design, testing, and product acceptance. Metrology, the science of measurement, has traditionally focused on the calibration of instruments, and although instrument calibration is vital, it is only a part of the process that assures quality in measurement data. For example, measurements made in research can influence the fundamental premises that establish the design parameters, which then flow down to the manufacturing processes, and eventually impact the final product. Because a breakdown can occur anywhere within this cycle, measurement quality assurance has to be integrated into every part of the life-cycle process starting with the basic research and ending with the final product inspection process. The purpose of this paper is to discuss the role of metrology in the various phases of a product's life-cycle. For simplicity, the cycle will be divided in four broad phases, with discussions centering on metrology within NASA. .

  17. Solar Radiation Research Laboratory | Energy Systems Integration Facility |

    Science.gov Websites

    radiation components, and has expanded its expertise to include integrated metrology, optics, electronics Acquisition Laboratory, Metrology Laboratory, Optics Laboratory, and Electronics Laboratory. Photo of a

  18. Fluid-flow-rate metrology: laboratory uncertainties and traceabilities

    NASA Astrophysics Data System (ADS)

    Mattingly, G. E.

    1991-03-01

    Increased concerns for improved fluid flowrate measurement are driving the fluid metering community-meter manufacturers and users alike-to search for better verification and documentation for their fluid measurements. These concerns affect both our domestic and international market places they permeate our technologies - aerospace chemical processes automotive bioengineering etc. They involve public health and safety and they impact our national defense. These concerns are based upon the rising value of fluid resources and products and the importance of critical material accountability. These values directly impact the accuracy needs of fluid buyers and sellers in custody transfers. These concerns impact the designers and operators of chemical process systems where control and productivity optimization depend critically upon measurement precision. Public health and safety depend upon the quality of numerous pollutant measurements - both liquid and gaseous. The performance testing of engines - both automotive and aircraft are critically based upon accurate fuel measurements - both liquid and oxidizer streams. Fluid flowrate measurements are established differently from counterparts in length and mass measurement systems because these have the benefits of " identity" standards. For rate measurement systems the metrology is based upon " derived standards" . These use facilities and transfer standards which are designed built characterized and used to constitute basic measurement capabilities and quantify performance - accuracy and precision. Because " identity standards" do not exist for flow measurements facsimiles or equivalents must

  19. 7 CFR 802.1 - Qualified laboratories.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 7 Agriculture 7 2011-01-01 2011-01-01 false Qualified laboratories. 802.1 Section 802.1... REQUIREMENTS FOR GRAIN WEIGHING EQUIPMENT AND RELATED GRAIN HANDLING SYSTEMS § 802.1 Qualified laboratories. (a) Metrology laboratories. (1) Any State metrology laboratory currently approved by the NBS ongoing...

  20. Metrology needs for the semiconductor industry over the next decade

    NASA Astrophysics Data System (ADS)

    Melliar-Smith, Mark; Diebold, Alain C.

    1998-11-01

    Metrology will continue to be a key enabler for the development and manufacture of future generations of integrated circuits. During 1997, the Semiconductor Industry Association renewed the National Technology Roadmap for Semiconductors (NTRS) through the 50 nm technology generation and for the first time included a Metrology Roadmap (1). Meeting the needs described in the Metrology Roadmap will be both a technological and financial challenge. In an ideal world, metrology capability would be available at the start of process and tool development, and silicon suppliers would have 450 mm wafer capable metrology tools in time for development of that wafer size. Unfortunately, a majority of the metrology suppliers are small companies that typically can't afford the additional two to three year wait for return on R&D investment. Therefore, the success of the semiconductor industry demands that we expand cooperation between NIST, SEMATECH, the National Labs, SRC, and the entire community. In this paper, we will discuss several critical metrology topics including the role of sensor-based process control, in-line microscopy, focused measurements for transistor and interconnect fabrication, and development needs. Improvements in in-line microscopy must extend existing critical dimension measurements up to 100 nm generations and new methods may be required for sub 100 nm generations. Through development, existing metrology dielectric thickness and dopant dose and junction methods can be extended to 100 nm, but new and possibly in-situ methods are needed beyond 100 nm. Interconnect process control will undergo change before 100 nm due to the introduction of copper metallization, low dielectric constant interlevel dielectrics, and Damascene process flows.

  1. Preliminary results for mask metrology using spatial heterodyne interferometry

    NASA Astrophysics Data System (ADS)

    Bingham, Philip R.; Tobin, Kenneth; Bennett, Marylyn H.; Marmillion, Pat

    2003-12-01

    Spatial heterodyne interferometry (SHI) is an imaging technique that captures both the phase and amplitude of a complex wavefront in a single high-speed image. This technology was developed at the Oak Ridge National Laboratory (ORNL) and is currently being implemented for semiconductor wafer inspection by nLine Corporation. As with any system that measures phase, metrology and inspection of surface structures is possible by capturing a wavefront reflected from the surface. The interpretation of surface structure heights for metrology applications can become very difficult with the many layers of various materials used on semiconductor wafers, so inspection (defect detection) has been the primary focus for semiconductor wafers. However, masks used for photolithography typically only contain a couple well-defined materials opening the doors to high-speed mask metrology in 3 dimensions in addition to inspection. Phase shift masks often contain structures etched out of the transparent substrate material for phase shifting. While these structures are difficult to inspect using only intensity, the phase and amplitude images captured with SHI can produce very good resolution of these structures. The phase images also provide depth information that is crucial for these phase shift regions. Preliminary testing has been performed to determine the feasibility of SHI for high-speed non-contact mask metrology using a prototype SHI system with 532 nm wavelength illumination named the Visible Alpha Tool (VAT). These results show that prototype SHI system is capable of performing critical dimension measurements on 400nm lines with a repeatability of 1.4nm and line height measurements with a repeatability of 0.26nm. Additionally initial imaging of an alternating aperture phase shift mask has shown the ability of SHI to discriminate between typical phase shift heights.

  2. Metrology of airborne and liquid-borne nanoparticles: current status and future needs

    NASA Astrophysics Data System (ADS)

    Ehara, Kensei; Sakurai, Hiromu

    2010-04-01

    The current status and future needs of nanoparticle metrology are discussed, particularly with respect to measurements of size, size distribution and number concentration of airborne and liquid-borne nanoparticles. Possible classification of types of measurement standards is proposed, and the role of each type of standard, including the feasibility of its establishment, is examined. A desirable interplay between measurement standards and documentary standards in establishing the traceability chain in particle measurements is suggested. Particle-related calibration services currently provided by our laboratory at the National Institute of Advanced Industrial Science and Technology are also described.

  3. Range-Gated Metrology: An Ultra-Compact Sensor for Dimensional Stabilization

    NASA Technical Reports Server (NTRS)

    Lay, Oliver P.; Dubovitsky, Serge; Shaddock, Daniel A.; Ware, Brent; Woodruff, Christopher S.

    2008-01-01

    Point-to-point laser metrology systems can be used to stabilize large structures at the nanometer levels required for precision optical systems. Existing sensors are large and intrusive, however, with optical heads that consist of several optical elements and require multiple optical fiber connections. The use of point-to-point laser metrology has therefore been limited to applications where only a few gauges are needed and there is sufficient space to accommodate them. Range-Gated Metrology is a signal processing technique that preserves nanometer-level or better performance while enabling: (1) a greatly simplified optical head - a single fiber optic collimator - that can be made very compact, and (2) a single optical fiber connection that is readily multiplexed. This combination of features means that it will be straightforward and cost-effective to embed tens or hundreds of compact metrology gauges to stabilize a large structure. In this paper we describe the concept behind Range-Gated Metrology, demonstrate the performance in a laboratory environment, and give examples of how such a sensor system might be deployed.

  4. Inter-Laboratory Comparison for Calibration of Relative Humidity Devices Among Accredited Laboratories in Malaysia

    NASA Astrophysics Data System (ADS)

    Hussain, F.; Khairuddin, S.; Othman, H.

    2017-01-01

    An inter-laboratory comparison in relative humidity measurements among accredited laboratories has been coordinated by the National Metrology Institute of Malaysia. It was carried out to determine the performance of the participating laboratories. The objective of the comparison was to acknowledge the participating laboratories competencies and to verify the level of accuracies declared in their scope of accreditation, in accordance with the MS ISO/IEC 17025 accreditation. The measurement parameter involved was relative humidity for the range of 30-90 %rh at a nominal temperature of 50°C. Eight accredited laboratories participated in the inter-laboratory comparison. Two units of artifacts have been circulated among the participants as the transfer standards.

  5. Joint Research on Scatterometry and AFM Wafer Metrology

    NASA Astrophysics Data System (ADS)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  6. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    NASA Astrophysics Data System (ADS)

    Fu, Wei-En

    2014-03-01

    ''. Besides the inspiring scientific arrangements, I encourage you to taste Taiwan's wonderful gourmet cuisine, and to explore the beauty of the sweet-potato-shaped island. I wish you a joyful, fruitful and memorable stay. Victor TY Lin, PhD Chairman Local Organizing Committee Met & Props 2013 International Programme Committee Professor Mohamed El Mansori (Arts et Metiers ParisTech, France) Professor H Zahouani (Ecole Centrale de Lyon, France) Professor B-G Rosen (Halmstad University, Sweden) Professor Tom R Thomas (Halmstad University, Sweden) Professor Liam Blunt (University of Huddersfield, UK) Professor Richard Leach (National Physical Laboratory, UK) Professor Chris Brown (Worcester Polytechnic Institute, USA) Dr Jia-Ruey Duann (Center for Measurement Standards, ITRI, Taiwan) International Scientific Committee Professor H Zahouani (Ecole Centrale de Lyon, France) Dr Rolf Krüger-Sehm (Physikalisch-Technische Bundesanstalt, Germany) Professor Pawel Pawlus (Rzeszów University of Technology, Poland) Professor B-G Rosen (Halmstad University, Sweden) Professor Tom R Thomas (Halmstad University, Sweden) Professor Liam Blunt (University of Huddersfield, UK) Professor Derek Chetwynd (University of Warwick, UK) Professor Jane Jiang (University of Huddersfield, UK) Professor Richard Leach (National Physical Laboratory, UK) Professor Paul Scott (University of Huddersfield, UK) Dr Andrew Yacoot (National Physical Laboratory, UK) Professor Chris Brown (Worcester Polytechnic Institute, USA) Dr Chris Evans (University of North Carolina at Charlotte, USA) Professor Jay Raja (University of North Carolina at Charlotte, USA) Dr Ted Vorburger (National Institute of Standards and Technology, USA) Dr Andrew Baker (National Measurement Institute, Australia) Professor David Lee Butler (Nanyang Technological University, Singapore) Dr Benny Cheung (The Hong Kong Polytechnic University, China) Professor Yetai Fei (Hefei University of Technology, China) Dr Kazuya Naoi (National Metrology Institute

  7. [The EFS metrology: From the production to the reason].

    PubMed

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  8. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    NASA Astrophysics Data System (ADS)

    Becerra, L. O.; Berry, J.; Chang, C. S.; Chapman, G. D.; Chung, J. W.; Davis, R. S.; Field, I.; Fuchs, P.; Jacobsson, U.; Lee, S. M.; Loayza, V. M.; Madec, T.; Matilla, C.; Ooiwa, A.; Scholz, F.; Sutton, C.; van Andel, I.

    2006-10-01

    The ubiquitous technology of magnetic force compensation of gravitational forces acting on artifacts on the pans of modern balances and comparators has brought with it the problem of magnetic leakage from the compensation coils. Leaking magnetic fields, as well as those due to the surroundings of the balance, can interact with the artifact whose mass is to be determined, causing erroneous values to be observed. For this reason, and to comply with normative standards, it has become important for mass metrologists to evaluate the magnetic susceptibility and any remanent magnetization that mass standards may possess. This paper describes a comparison of measurements of these parameters among seventeen national metrology institutes. The measurements are made on three transfer standards whose magnetic parameters span the range that might be encountered in stainless steel mass standards.

  9. NASA Metrology and Calibration, 1980

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The proceedings of the fourth annual NASA Metrology and Calibration Workshop are presented. This workshop covered (1) review and assessment of NASA metrology and calibration activities by NASA Headquarters, (2) results of audits by the Office of Inspector General, (3) review of a proposed NASA Equipment Management System, (4) current and planned field center activities, (5) National Bureau of Standards (NBS) calibration services for NASA, (6) review of NBS's Precision Measurement and Test Equipment Project activities, (7) NASA instrument loan pool operations at two centers, (8) mobile cart calibration systems at two centers, (9) calibration intervals and decals, (10) NASA Calibration Capabilities Catalog, and (11) development of plans and objectives for FY 1981. Several papers in this proceedings are slide presentations only.

  10. Metrological Characterization of the Vickers Hardness Primary Standard Machine Established at CSIR-NPL

    NASA Astrophysics Data System (ADS)

    Titus, S. Seelakumar; Vikram; Girish; Jain, Sushil Kumar

    2018-06-01

    CSIR-National Physical Laboratory (CSIR-NPL) is the National Metrological Institute (NMI) of India, which has the mandate for the realization of SI units of measurements and dissemination of the same to the user organizations. CSIR-NPL has established a hardness standardizing machine for realizing the Vickers hardness scale as per ISO 6507-3 standard for providing national traceability in hardness measurement. Direct verification of the machine has been carried out by measuring the uncertainty in the generated force, the indenter geometry and the indentation measuring system. From these measurements, it is found that the machine exhibits a calibration and measurement capability (CMC) of ±1.5% for HV1-HV3 scales and ±1.0% for HV5-HV50 scales and ±0.8% for HV100 scale.

  11. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    NASA Astrophysics Data System (ADS)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  12. FOREWORD: Special issue on radionuclide metrology

    NASA Astrophysics Data System (ADS)

    Simpson, Bruce; Judge, Steven

    2007-08-01

    the improvement in accuracy and precision of measurement as demanded by the stringent requirements of the user community, such as the correct calibration of nuclear instrumentation. This leads into the need for traceability to national measurement standards maintained by the national metrology institutes. As part of the radioactivity traceability chain, as for all areas of metrology, it is vital that systems are in place to ensure that national standards can be checked for worldwide uniformity and measurement equivalence. Many of the resulting areas are covered by the topics in this special issue, although specifically excluded from the scope of the publication are topics that are widely covered in other publications due to their application in applied metrology—for example, radiochemistry, environmental gamma spectrometry and alpha spectrometry. There are three sections to this issue, starting with papers on how the CIPM Mutual Recognition Arrangement has been implemented for radionuclide metrology, following into the bulk of the publication with articles on the `state of the art' in radionuclide metrology and ending with traceability to national/international standards in nuclear medicine, environmental monitoring, radiation protection and decommissioning. This special issue in essence follows on from earlier BIPM Monographies that were published in order to provide the base information for radionuclide metrology. In many respects they complement the special issue since much of their content is still valid today, particularly those published more recently as an aid to ensuring consistency of method and data. The BIPM Monographies are freely available to download from the BIPM website at http://www.bipm.org/en/publications/monographies-ri.html. The papers in the special issue draw on the experience of radionuclide metrologists who have been involved in their area of expertise for many years. The authors give readers an insightful account of the selected topics

  13. Industrial graphene metrology.

    PubMed

    Kyle, Jennifer Reiber; Ozkan, Cengiz S; Ozkan, Mihrimah

    2012-07-07

    Graphene is an allotrope of carbon whose structure is based on one-atom-thick planar sheets of carbon atoms that are densely packed in a honeycomb crystal lattice. Its unique electrical and optical properties raised worldwide interest towards the design and fabrication of future electronic and optical devices with unmatched performance. At the moment, extensive efforts are underway to evaluate the reliability and performance of a number of such devices. With the recent advances in synthesizing large-area graphene sheets, engineers have begun investigating viable methodologies for conducting graphene metrology and quality control at industrial scales to understand a variety of reliability issues including defects, patternability, electrical, and physical properties. This review summarizes the current state of industrial graphene metrology and provides an overview of graphene metrology techniques. In addition, a recently developed large-area graphene metrology technique based on fluorescence quenching is introduced. For each metrology technique, the industrial metrics it measures are identified--layer thickness, edge structure, defects, Fermi level, and thermal conductivity--and a detailed description is provided as to how the measurements are performed. Additionally, the potential advantages of each technique for industrial use are identified, including throughput, scalability, sensitivity to substrate/environment, and on their demonstrated ability to achieve quantified results. The recently developed fluorescence-quenching metrology technique is shown to meet all the necessary criteria for industrial applications, rendering it the first industry-ready graphene metrology technique.

  14. Foundations of Metrology: Important Early Works on Weights and Measures in the Library of the National Bureau of Standards.

    ERIC Educational Resources Information Center

    Terner, Janet R.

    The purpose of this project was to survey the National Bureau of Standards library holdings of pre-1900 works on metrology and from these, to assemble a special collection of historically important documents. The 265 imprints selected for the collection are listed in this report. Each entry includes the main author, title, imprint, and collation…

  15. Quantitative optical metrology with CMOS cameras

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Kolenovic, Ervin; Ferguson, Curtis F.

    2004-08-01

    Recent advances in laser technology, optical sensing, and computer processing of data, have lead to the development of advanced quantitative optical metrology techniques for high accuracy measurements of absolute shapes and deformations of objects. These techniques provide noninvasive, remote, and full field of view information about the objects of interest. The information obtained relates to changes in shape and/or size of the objects, characterizes anomalies, and provides tools to enhance fabrication processes. Factors that influence selection and applicability of an optical technique include the required sensitivity, accuracy, and precision that are necessary for a particular application. In this paper, sensitivity, accuracy, and precision characteristics in quantitative optical metrology techniques, and specifically in optoelectronic holography (OEH) based on CMOS cameras, are discussed. Sensitivity, accuracy, and precision are investigated with the aid of National Institute of Standards and Technology (NIST) traceable gauges, demonstrating the applicability of CMOS cameras in quantitative optical metrology techniques. It is shown that the advanced nature of CMOS technology can be applied to challenging engineering applications, including the study of rapidly evolving phenomena occurring in MEMS and micromechatronics.

  16. Coherent X-ray beam metrology using 2D high-resolution Fresnel-diffraction analysis.

    PubMed

    Ruiz-Lopez, M; Faenov, A; Pikuz, T; Ozaki, N; Mitrofanov, A; Albertazzi, B; Hartley, N; Matsuoka, T; Ochante, Y; Tange, Y; Yabuuchi, T; Habara, T; Tanaka, K A; Inubushi, Y; Yabashi, M; Nishikino, M; Kawachi, T; Pikuz, S; Ishikawa, T; Kodama, R; Bleiner, D

    2017-01-01

    Direct metrology of coherent short-wavelength beamlines is important for obtaining operational beam characteristics at the experimental site. However, since beam-time limitation imposes fast metrology procedures, a multi-parametric metrology from as low as a single shot is desirable. Here a two-dimensional (2D) procedure based on high-resolution Fresnel diffraction analysis is discussed and applied, which allowed an efficient and detailed beamline characterization at the SACLA XFEL. So far, the potential of Fresnel diffraction for beamline metrology has not been fully exploited because its high-frequency fringes could be only partly resolved with ordinary pixel-limited detectors. Using the high-spatial-frequency imaging capability of an irradiated LiF crystal, 2D information of the coherence degree, beam divergence and beam quality factor M 2 were retrieved from simple diffraction patterns. The developed beam metrology was validated with a laboratory reference laser, and then successfully applied at a beamline facility, in agreement with the source specifications.

  17. Evaluation of Calibration Laboratories Performance

    NASA Astrophysics Data System (ADS)

    Filipe, Eduarda

    2011-12-01

    One of the main goals of interlaboratory comparisons (ILCs) is the evaluation of the laboratories performance for the routine calibrations they perform for the clients. In the frame of Accreditation of Laboratories, the national accreditation boards (NABs) in collaboration with the national metrology institutes (NMIs) organize the ILCs needed to comply with the requirements of the international accreditation organizations. In order that an ILC is a reliable tool for a laboratory to validate its best measurement capability (BMC), it is needed that the NMI (reference laboratory) provides a better traveling standard—in terms of accuracy class or uncertainty—than the laboratories BMCs. Although this is the general situation, there are cases where the NABs ask the NMIs to evaluate the performance of the accredited laboratories when calibrating industrial measuring instruments. The aim of this article is to discuss the existing approaches for the evaluation of ILCs and propose a basis for the validation of the laboratories measurement capabilities. An example is drafted with the evaluation of the results of mercury-in-glass thermometers ILC with 12 participant laboratories.

  18. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    NASA Astrophysics Data System (ADS)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  19. TOPICAL REVIEW: Advances in traceable nanometrology at the National Physical Laboratory†Advances in traceable nanometrology at the National Physical Laboratory

    NASA Astrophysics Data System (ADS)

    Leach, Richard; Haycocks, Jane; Jackson, Keith; Lewis, Andrew; Oldfield, Simon; Yacoot, Andrew

    2001-03-01

    The only difference between nanotechnology and many other fields of science or engineering is that of size. Control in manufacturing at the nanometre scale still requires accurate and traceable measurements whether one is attempting to machine optical quality glass or write one's company name in single atoms. A number of instruments have been developed at the National Physical Laboratory that address the measurement requirements of the nanotechnology community and provide traceability to the definition of the metre. The instruments discussed in this paper are an atomic force microscope and a surface texture measuring instrument with traceable metrology in all their operational axes, a combined optical and x-ray interferometer system that can be used to calibrate displacement transducers to subnanometre accuracy and a co-ordinate measuring machine with a working volume of (50 mm)3 and 50 nm volumetric accuracy.

  20. Safety | Argonne National Laboratory

    Science.gov Websites

    laboratory's ongoing effort to provide a safe and productive environment for employees, users, other site Skip to main content Argonne National Laboratory Toggle Navigation Toggle Search Energy Environment Careers Education Community Diversity Directory Energy Environment National Security User Facilities

  1. Laboratories new to the ICRM.

    PubMed

    Karam, Lisa; Anagnostakis, Marios J; Gudelis, Arunas; Marsoem, Pujadi; Mauring, Alexander; Wurdiyanto, Gatot; Yücel, Ülkü

    2012-09-01

    The Scientific Committee of the ICRM decided, for the 2011 Conference, to present laboratories that are at a key developmental stage in establishing, expanding or applying radionuclide metrology capabilities. The expansion of radionuclide metrology capabilities is crucial to meet evolving and emerging needs in health care, environmental monitoring, and nuclear energy. Five laboratories (from Greece, Lithuania, Indonesia, Norway and Turkey) agreed to participate. Each laboratory is briefly introduced, and examples of their capabilities and standardization activities are discussed. Published by Elsevier Ltd.

  2. FOREWORD: Materials metrology Materials metrology

    NASA Astrophysics Data System (ADS)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  3. About the Frederick National Laboratory for Cancer Research | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory is a Federally Funded Research and Development Center (FFRDC) sponsored by the National Cancer Institute (NCI) and currently operated by Leidos Biomedical Research, Inc. The laboratory addresses some of the most urge

  4. Insights: Future of the national laboratories. National Renewable Energy Laboratory. [The future of the National Renewable Energy (Sources) Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sunderman, D.

    Psychologists tell us that people are born with certain personality traits, such as shyness or boldness, which their environment can encourage, subdue, or even alter. National labs have somewhat similar characteristics. They were created for particular missions and staffed by people who built organizations in which those missions could be fulfilled. As a result, the Department of Energy's (DOE) national labs are among the world's finest repositories of technology and scientific talent, especially in the fields of defense, nuclear weapons, nuclear power, and basic energy. Sunderman, director of the National Renewable Energy Laboratory, discusses the history of the laboratory andmore » its place in the future, both in terms of technologies and nurturing.« less

  5. Frederick National Laboratory Collaboration Success Stories | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Nanotechnology Characterization Laboratory Unveils New Technical Services for Drug Developers Drug developers now have access to a shared analytical technology, developed and provided by the Frederick National Laboratory, that helps fine-tune nano

  6. Nuclear Technology. Course 27: Metrology. Module 27-5, Tolerancing.

    ERIC Educational Resources Information Center

    Selleck, Ben; Espy, John

    This fifth in a series of eight modules for a course titled Metrology describes the application of the American National Standard (ANSI Y14.5-1973) for dimensioning and tolerancing and gives guidance on interpreting form and location controls consistent with the national standard. The module follows a typical format that includes the following…

  7. PREFACE: 3rd International Congress on Mechanical Metrology (CIMMEC2014)

    NASA Astrophysics Data System (ADS)

    2015-10-01

    From October 14th to 16th 2014, The Brazilian National Institute of Metrology, Quality, and Technology (Inmetro) and the Brazilian Society of Metrology (SBM) organized the 3rd International Congress on Mechanical Metrology (3rd CIMMEC). The 3rd CIMMEC was held in the city of Gramado, Rio Grande do Sul, Brazil. Anticipating the interest and enthusiasm of the technical-scientific community, the Organizing Institutions invite people and organizations to participate in this important congress, reiterating the commitment to organize an event according to highest international standards. This event has been conceived to integrate people and organizations from Brazil and abroad in the discussion of advanced themes in metrology. Manufacturers and dealers of measuring equipment and standards, as well as of auxiliary accessories and bibliographic material, had the chance to promote their products and services in stands at the Fair, which has taken place alongside the Congress. The 3rd CIMMEC consisted of five Keynote Speeches and 116 regular papers. Among the regular papers, the 25 most outstanding ones, comprising a high quality content on Mechanical Metrology, were selected to be published in this issue of Journal of Physics: Conference Series. It is our great pleasure to present this volume of Journal of Physics: Conference Series to the scientific community to promote further research in Mechanical Metrology and related areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by CIMMEC 2014.

  8. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    NASA Astrophysics Data System (ADS)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  9. EDITORIAL: Nanoscale metrology Nanoscale metrology

    NASA Astrophysics Data System (ADS)

    Klapetek, P.; Koenders, L.

    2011-09-01

    This special issue of Measurement Science and Technology presents selected contributions from the NanoScale 2010 seminar held in Brno, Czech Republic. It was the 5th Seminar on Nanoscale Calibration Standards and Methods and the 9th Seminar on Quantitative Microscopy (the first being held in 1995). The seminar was jointly organized with the Czech Metrology Institute (CMI) and the Nanometrology Group of the Technical Committee-Length of EURAMET. There were two workshops that were integrated into NanoScale 2010: first a workshop presenting the results obtained in NANOTRACE, a European Metrology Research Project (EMRP) on displacement-measuring optical interferometers, and second a workshop about the European metrology landscape in nanometrology related to thin films, scanning probe microscopy and critical dimension. The aim of this workshop was to bring together developers, applicants and metrologists working in this field of nanometrology and to discuss future needs. For more information see www.co-nanomet.eu. The articles in this special issue of Measurement Science and Technology cover some novel scientific results. This issue can serve also as a representative selection of topics that are currently being investigated in the field of European and world-wide nanometrology. Besides traditional topics of dimensional metrology, like development of novel interferometers or laser stabilization techniques, some novel interesting trends in the field of nanometrology are observed. As metrology generally reflects the needs of scientific and industrial research, many research topics addressed refer to current trends in nanotechnology, too, focusing on traceability and improved measurement accuracy in this field. While historically the most studied standards in nanometrology were related to simple geometric structures like step heights or 1D or 2D gratings, now we are facing tasks to measure 3D structures and many unforeseen questions arising from interesting physical

  10. Metrological traceability and harmonization of medical tests: a quantum leap forward is needed to keep pace with globalization and stringent IVD-regulations in the 21st century!

    PubMed

    Cobbaert, Christa; Smit, Nico; Gillery, Philippe

    2018-05-07

    In our efforts to advance the profession and practice of clinical laboratory medicine, strong coordination and collaboration are needed more than ever before. At the dawn of the 21st century, medical laboratories are facing many unmet clinical needs, a technological revolution promising a plethora of better biomarkers, financial constraints, a growing scarcity of well-trained laboratory technicians and a sharply increasing number of International Organization for Standardization guidelines and new regulations to which medical laboratories should comply in order to guarantee safety and effectiveness of medical test results. Although this is a global trend, medical laboratories across continents and countries are in distinct phases and experience various situations. A universal underlying requirement for safe and global use of medical test results is the standardization and harmonization of test results. Since two decades and after a number of endeavors on standardization/harmonization of medical tests, it is time to reflect on the effectiveness of the approaches used. To keep laboratory medicine sustainable, viable and affordable, clarification of the promises of metrological traceability of test results for improving sick and health care, realization of formal commitment among all stakeholders of the metrological traceability chain and preparation of a joint and global plan for action are essential prerequisites. Policy makers and regulators should not only overwhelm the diagnostic sector with oversight and regulations but should also create the conditions by establishing a global professional forum for anchoring the metrological traceability concept in the medical test domain. Even so, professional societies should have a strong voice in their (inter-) national governments to negotiate long-lasting public policy commitment and funds for global standardization of medical tests.

  11. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    NASA Astrophysics Data System (ADS)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  12. Contracting with the Frederick National Laboratory | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Our Acquisitions Directorate supports the national laboratory with high quality products and services to achieve its national mission. In addition to engaging large subcontractors, we are also committed to working with small businesses, minority- and

  13. Geodesy and metrology with a transportable optical clock

    NASA Astrophysics Data System (ADS)

    Grotti, Jacopo; Koller, Silvio; Vogt, Stefan; Häfner, Sebastian; Sterr, Uwe; Lisdat, Christian; Denker, Heiner; Voigt, Christian; Timmen, Ludger; Rolland, Antoine; Baynes, Fred N.; Margolis, Helen S.; Zampaolo, Michel; Thoumany, Pierre; Pizzocaro, Marco; Rauf, Benjamin; Bregolin, Filippo; Tampellini, Anna; Barbieri, Piero; Zucco, Massimo; Costanzo, Giovanni A.; Clivati, Cecilia; Levi, Filippo; Calonico, Davide

    2018-05-01

    Optical atomic clocks, due to their unprecedented stability1-3 and uncertainty3-6, are already being used to test physical theories7,8 and herald a revision of the International System of Units9,10. However, to unlock their potential for cross-disciplinary applications such as relativistic geodesy11, a major challenge remains: their transformation from highly specialized instruments restricted to national metrology laboratories into flexible devices deployable in different locations12-14. Here, we report the first field measurement campaign with a transportable 87Sr optical lattice clock12. We use it to determine the gravity potential difference between the middle of a mountain and a location 90 km away, exploiting both local and remote clock comparisons to eliminate potential clock errors. A local comparison with a 171Yb lattice clock15 also serves as an important check on the international consistency of independently developed optical clocks. This campaign demonstrates the exciting prospects for transportable optical clocks.

  14. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of newmore » light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area. But the situation isn

  15. Sub-microradian Surface Slope Metrology with the ALS Developmental Long Trace Profiler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V; Barber, Samuel; Domning, Edward E.

    2009-09-11

    A new low budget slope measuring instrument, the Developmental Long Trace Profiler (DLTP), was recently brought to operation at the ALS Optical Metrology Laboratory. The design, instrumental control and data acquisition system, initial alignment and calibration procedures, as well as the developed experimental precautions and procedures are described in detail. The capability of the DLTP to achieve sub-microradian surface slope metrology is verified via cross-comparison measurements with other high performance slope measuring instruments when measuring the same high quality test optics. The directions of future work to develop a surface slope measuring profiler with nano-radian performance are also discussed.

  16. Metrological Support in Technosphere Safety

    NASA Astrophysics Data System (ADS)

    Akhobadze, G. N.

    2017-11-01

    The principle of metrological support in technosphere safety is considered. It is based on the practical metrology. The theoretical aspects of accuracy and errors of the measuring instruments intended for diagnostics and control of the technosphere under the influence of factors harmful to human beings are presented. The necessity to choose measuring devices with high metrological characteristics according to the accuracy class and contact of sensitive elements with a medium under control is shown. The types of additional errors in measuring instruments that arise when they are affected by environmental influences are described. A specific example of the analyzers application to control industrial emissions and measure the oil and particulate matter in wastewater is shown; it allows assessing advantages and disadvantages of analyzers. Besides, the recommendations regarding the missing metrological characteristics of the instruments in use are provided. The technosphere continuous monitoring taking into account the metrological principles is expected to efficiently forecast the technosphere development and make appropriate decisions.

  17. Secondary standards laboratories for ionizing radiation calibrations: The national laboratory interests

    NASA Astrophysics Data System (ADS)

    Roberson, P. I.; Campbell, G. W.

    1984-11-01

    The national laboratories are probable candidates to serve as secondary standards laboratories for the federal sector. Representatives of the major Department of Energy laboratories were polled concerning attitudes toward a secondary laboratory structure. Generally, the need for secondary laboratories was recognized and the development of such a program was encouraged. The secondary laboratories should be reviewed and inspected by the National Bureau of Standards. They should offer all of the essential, and preferably additional, calibration services in the field of radiological health protection. The selection of secondary laboratories should be based on economic and geographic criteria and/or be voluntary.

  18. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    NASA Astrophysics Data System (ADS)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  19. Facilities | Argonne National Laboratory

    Science.gov Websites

    Skip to main content Argonne National Laboratory Toggle Navigation Toggle Search Research Facilities Advanced Powertrain Research Facility Center for Transportation Research Distributed Energy Research Center Engine Research Facility Heat Transfer Laboratory Materials Engineering Research Facility

  20. High throughput wafer defect monitor for integrated metrology applications in photolithography

    NASA Astrophysics Data System (ADS)

    Rao, Nagaraja; Kinney, Patrick; Gupta, Anand

    2008-03-01

    The traditional approach to semiconductor wafer inspection is based on the use of stand-alone metrology tools, which while highly sensitive, are large, expensive and slow, requiring inspection to be performed off-line and on a lot sampling basis. Due to the long cycle times and sparse sampling, the current wafer inspection approach is not suited to rapid detection of process excursions that affect yield. The semiconductor industry is gradually moving towards deploying integrated metrology tools for real-time "monitoring" of product wafers during the manufacturing process. Integrated metrology aims to provide end-users with rapid feedback of problems during the manufacturing process, and the benefit of increased yield, and reduced rework and scrap. The approach of monitoring 100% of the wafers being processed requires some trade-off in sensitivity compared to traditional standalone metrology tools, but not by much. This paper describes a compact, low-cost wafer defect monitor suitable for integrated metrology applications and capable of detecting submicron defects on semiconductor wafers at an inspection rate of about 10 seconds per wafer (or 360 wafers per hour). The wafer monitor uses a whole wafer imaging approach to detect defects on both un-patterned and patterned wafers. Laboratory tests with a prototype system have demonstrated sensitivity down to 0.3 µm on un-patterned wafers and down to 1 µm on patterned wafers, at inspection rates of 10 seconds per wafer. An ideal application for this technology is preventing photolithography defects such as "hot spots" by implementing a wafer backside monitoring step prior to exposing wafers in the lithography step.

  1. Scientific Openness and National Security at the National Laboratories

    NASA Astrophysics Data System (ADS)

    McTague, John

    2000-04-01

    The possible loss to the People's Republic of China of important U.S. nuclear-weapons-related information has aroused concern about interactions of scientists employed by the national laboratories with foreign nationals. As a result, the National Academies assembled a committee to examine the roles of the national laboratories, the contribution of foreign interactions to the fulfillment of those roles, the risks and benefits of scientific openness in this context, and the merits and liabilities of the specific policies being implemented or proposed with respect to contacts with foreign nationals. The committee concluded that there are many aspects of the work at the laboratories that benefit from or even demand the opportunity for foreign interactions. The committee recommended five principles for guiding policy: (1) Maintain balance. Policy governing international dialogue by laboratory staff should seek to encourage international engagement in some areas, while tightly controlling it in others. (2) Educate staff. Security procedures should be clear, easy to follow, and serve an understandable purpose. (3) Streamline procedures. Good science is compatible with good security if there is intelligent line management both at the labs and in Washington, which applies effective tools for security in a sensible fashion. (4) Focus efforts. DOE should focus its efforts governing tightened security for information. The greatest attention should obviously be provided to the protection of classified information by appropriate physical and cybersecurity measures, and by personnel procedures and training. (5) Beware of prejudice against foreigners. Over the past half-century foreign-born individuals have contributed broadly and profoundly to national security through their work at the national laboratories.

  2. MetNH3: Metrology for ammonia in ambient air

    NASA Astrophysics Data System (ADS)

    Braban, Christine; Twigg, Marsailidh; Tang, Sim; Leuenberger, Daiana; Ferracci, Valerio; Martin, Nick; Pascale, Celine; Hieta, Tuomas; Pogany, Andrea; Persijn, Stefan; van Wijk, Janneke; Gerwig, Holger; Wirtze, Klaus; Tiebe, Carlo; Balslev-Harder, David; Niederhausen, Bernhardt

    2015-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on 'National Emission Ceilings for Certain Atmospheric Pollutants (NEC)' regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. Validated ammonia measurement data of high quality from air monitoring networks are vitally important for identifying changes due to implementations of environment policies, for understanding where the uncertainties in current emission inventories are derived from and for providing independent verification of atmospheric model predictions. The new EURAMET project MetNH3 aims to develop improved reference gas mixtures by static and dynamic gravimetric generation methods, develop and characterise laser based optical spectrometric standards and establish the transfer from high-accuracy standards to field applicable methods. MetNH3started in June 2014 and in this presentation the first results from the metrological characterisation of a commercially available cavity ring-down spectrometer (CRDS) will be discussed. Also first tests and results from a new design, Controlled Atmosphere Test Facility (CATFAC), which is to be characterised and used to validate the performance of diffusive samplers, denuders and on-line instruments, will be reported. CAFTEC can be used to control test parameters such as ammonia concentration, relative humidity and wind speed. Outline plans for international laboratory and field intercomparisons in 2016 will be presented.

  3. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    NASA Astrophysics Data System (ADS)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  4. Importance of education and competence maintenance in metrology field (measurement science)

    NASA Astrophysics Data System (ADS)

    Dobiliene, J.; Meskuotiene, A.

    2015-02-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers.

  5. In-line height profiling metrology sensor for zero defect production control

    NASA Astrophysics Data System (ADS)

    Snel, Rob; Winters, Jasper; Liebig, Thomas; Jonker, Wouter

    2017-06-01

    Contemporary production systems of mechanical precision parts show challenges as increased complexity, tolerances shrinking to sub-microns and yield losses that must be mastered to the extreme. More advanced automation and process control is required to accomplish this task. Often a solution based on feedforward/feedback control is chosen requiring innovative and more advanced in line metrology. This article concentrates first on the context of in line metrology for process control and then on the development of a specific in line height profiling sensor. The novel sensor technology is based on full field time domain white light interferometry which is well know from the quality lab. The novel metrology system is to be mounted close to the production equipment, as required to minimize time delay in the control loop, and is thereby fully exposed to vibrations. This sensor is innovated to perform in line with an orders of magnitude faster throughput than laboratory instruments; it's robust to withstand the rigors of workshops and has a height resolution that is in the nanometer range.

  6. Density Measurement of Tridecane by using Hydrostatic Weighing System at Density Laboratory, NML-SIRIM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nor, Mohd. Fazrul Hisyam Mohd.; Othman, Hafidzah; Abidin, Abd. Rashid Zainal

    2009-07-07

    This paper presents the density measurement of tridecane by using hydrostatic weighing system, which is currently practised in Density Laboratory of National Metrology Laboratory (NML), SIRIM Berhad. This system weighed the crystal sphere while the crystal sphere was immersed in the tridecane. The volume and mass in air of the crystal sphere were calibrated at KRISS, Korea. The uncertainties of volume and mass in air of the crystal sphere were 4 ppm and 0.3 ppm respectively.

  7. POLLUTION PREVENTION OPPORTUNITY ASSESSMENT - GEOCHEMISTRY LABORATORY AT SANDIA NATIONAL LABORATORIES

    EPA Science Inventory

    These reports summarize pollution prevention opportunity assessments conducted jointly by EPA and DOE at the Geochemistry Laboratory and the Manufacturing and Fabrication Repair Laboratory at the Department of Energy's Sandia National Laboratories facility in Albuquerque, New Mex...

  8. SAQP pitch walk metrology using single target metrology

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  9. Efficient hybrid metrology for focus, CD, and overlay

    NASA Astrophysics Data System (ADS)

    Tel, W. T.; Segers, B.; Anunciado, R.; Zhang, Y.; Wong, P.; Hasan, T.; Prentice, C.

    2017-03-01

    In the advent of multiple patterning techniques in semiconductor industry, metrology has progressively become a burden. With multiple patterning techniques such as Litho-Etch-Litho-Etch and Sidewall Assisted Double Patterning, the number of processing step have increased significantly and therefore, so as the amount of metrology steps needed for both control and yield monitoring. The amount of metrology needed is increasing in each and every node as more layers needed multiple patterning steps, and more patterning steps per layer. In addition to this, there is that need for guided defect inspection, which in itself requires substantially denser focus, overlay, and CD metrology as before. Metrology efficiency will therefore be cruicial to the next semiconductor nodes. ASML's emulated wafer concept offers a highly efficient method for hybrid metrology for focus, CD, and overlay. In this concept metrology is combined with scanner's sensor data in order to predict the on-product performance. The principle underlying the method is to isolate and estimate individual root-causes which are then combined to compute the on-product performance. The goal is to use all the information available to avoid ever increasing amounts of metrology.

  10. Establishment of National Laboratory Standards in Public and Private Hospital Laboratories

    PubMed Central

    ANJARANI, Soghra; SAFADEL, Nooshafarin; DAHIM, Parisa; AMINI, Rana; MAHDAVI, Saeed; MIRAB SAMIEE, Siamak

    2013-01-01

    In September 2007 national standard manual was finalized and officially announced as the minimal quality requirements for all medical laboratories in the country. Apart from auditing laboratories, Reference Health Laboratory has performed benchmarking auditing of medical laboratory network (surveys) in provinces. 12th benchmarks performed in Tehran and Alborz provinces, Iran in 2010 in three stages. We tried to compare different processes, their quality and accordance with national standard measures between public and private hospital laboratories. The assessment tool was a standardized checklist consists of 164 questions. Analyzing process show although in most cases implementing the standard requirements are more prominent in private laboratories, there is still a long way to complete fulfillment of requirements, and it takes a lot of effort. Differences between laboratories in public and private sectors especially in laboratory personnel and management process are significant. Probably lack of motivation, plays a key role in obtaining less desirable results in laboratories in public sectors. PMID:23514840

  11. Roles of chemical metrology in electronics industry and associated environment in Korea: a tutorial.

    PubMed

    Kang, Namgoo; Joong Kim, Kyung; Seog Kim, Jin; Hae Lee, Joung

    2015-03-01

    Chemical metrology is gaining importance in electronics industry that manufactures semiconductors, electronic displays, and microelectronics. Extensive and growing needs from this industry have raised the significance of accurate measurements of the amount of substances and material properties. For the first time, this paper presents information on how chemical metrology is being applied to meet a variety of needs in the aspects of quality control of electronics products and environmental regulations closely associated with electronics industry. For a better understanding of the roles of the chemical metrology within electronics industry, the recent research activities and results in chemical metrology are presented using typical examples in Korea where electronic industry is leading a national economy. Particular attention is paid to the applications of chemical metrology for advancing emerging electronics technology developments. Such examples are a novel technique for the accurate quantification of gas composition at nano-liter levels within a MEMS package, the surface chemical analysis of a semiconductor device. Typical metrological tools are also presented for the development of certified reference materials for fluorinated greenhouse gases and proficiency testing schemes for heavy metals and chlorinated toxic gas in order to cope properly with environmental issues within electronics industry. In addition, a recent technique is presented for the accurate measurement of the destruction and removal efficiency of a typical greenhouse gas scrubber. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Computational metrology: enabling full-lot high-density fingerprint information without adding wafer metrology budget, and driving improved monitoring and process control

    NASA Astrophysics Data System (ADS)

    Kim, Hyun-Sok; Hyun, Min-Sung; Ju, Jae-Wuk; Kim, Young-Sik; Lambregts, Cees; van Rhee, Peter; Kim, Johan; McNamara, Elliott; Tel, Wim; Böcker, Paul; Oh, Nang-Lyeom; Lee, Jun-Hyung

    2018-03-01

    Computational metrology has been proposed as the way forward to resolve the need for increased metrology density, resulting from extending correction capabilities, without adding actual metrology budget. By exploiting TWINSCAN based metrology information, dense overlay fingerprints for every wafer can be computed. This extended metrology dataset enables new use cases, such as monitoring and control based on fingerprints for every wafer of the lot. This paper gives a detailed description, discusses the accuracy of the fingerprints computed, and will show results obtained in a DRAM HVM manufacturing environment. Also an outlook for improvements and extensions will be shared.

  13. Sandia National Laboratories: National Security Missions: Nuclear Weapons:

    Science.gov Websites

    Safety & Security Sandia National Laboratories Exceptional service in the national interest & Figures Programs Nuclear Weapons About Nuclear Weapons Safety & Security Weapons Science & Twitter YouTube Flickr RSS Top Nuclear Weapons About Nuclear Weapons at Sandia Safety & Security

  14. Frederick National Laboratory's Contribution to ATOM | Frederick National Laboratory for Cancer Research

    Cancer.gov

    As a founding member organization of ATOM, the Frederick National Laboratory will contribute scientific expertise in precision oncology, computational chemistry and cancer biology, as well as support for open sharing of data sets and predictive model

  15. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    NASA Astrophysics Data System (ADS)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  16. Biomedical engineering at Sandia National Laboratories

    NASA Astrophysics Data System (ADS)

    Zanner, Mary Ann

    1994-12-01

    The potential exists to reduce or control some aspects of the U.S. health care expenditure without compromising health care delivery by developing carefully selected technologies which impact favorably on the health care system. A focused effort to develop such technologies is underway at Sandia National Laboratories. As a DOE National Laboratory, Sandia possesses a wealth of engineering and scientific expertise that can be readily applied to this critical national need. Appropriate mechanisms currently exist to allow transfer of technology from the laboratory to the private sector. Sandia's Biomedical Engineering Initiative addresses the development of properly evaluated, cost-effective medical technologies through team collaborations with the medical community. Technology development is subjected to certain criteria including wide applicability, earlier diagnoses, increased efficiency, cost-effectiveness and dual-use. Examples of Sandia's medical technologies include a noninvasive blood glucose sensor, computer aided mammographic screening, noninvasive fetal oximetry and blood gas measurement, burn diagnostics and laser debridement, telerobotics and ultrasonic scanning for prosthetic devices. Sandia National Laboratories has the potential to aid in directing medical technology development efforts which emphasize health care needs, earlier diagnosis, cost containment and improvement of the quality of life.

  17. Brookhaven National Laboratory Institutional Plan FY2001--FY2005

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davis, S.

    Brookhaven National Laboratory is a multidisciplinary laboratory in the Department of Energy National Laboratory system and plays a lead role in the DOE Science and Technology mission. The Laboratory also contributes to the DOE missions in Energy Resources, Environmental Quality, and National Security. Brookhaven strives for excellence in its science research and in facility operations and manages its activities with particular sensitivity to environmental and community issues. The Laboratory's programs are aligned continuously with the goals and objectives of the DOE through an Integrated Planning Process. This Institutional Plan summarizes the portfolio of research and capabilities that will assure successmore » in the Laboratory's mission in the future. It also sets forth BNL strategies for our programs and for management of the Laboratory. The Department of Energy national laboratory system provides extensive capabilities in both world class research expertise and unique facilities that cannot exist without federal support. Through these national resources, which are available to researchers from industry, universities, other government agencies and other nations, the Department advances the energy, environmental, economic and national security well being of the US, provides for the international advancement of science, and educates future scientists and engineers.« less

  18. [Fundamental aspects for accrediting medical equipment calibration laboratories in Colombia].

    PubMed

    Llamosa-Rincón, Luis E; López-Isaza, Giovanni A; Villarreal-Castro, Milton F

    2010-02-01

    Analysing the fundamental methodological aspects which should be considered when drawing up calibration procedure for electro-medical equipment, thereby permitting international standard-based accreditation of electro-medical metrology laboratories in Colombia. NTC-ISO-IEC 17025:2005 and GTC-51-based procedures for calibrating electro-medical equipment were implemented and then used as patterns. The mathematical model for determining the estimated uncertainty value when calibrating electro-medical equipment for accreditation by the Electrical Variable Metrology Laboratory's Electro-medical Equipment Calibration Area accredited in compliance with Superintendence of Industry and Commerce Resolution 25771 May 26th 2009 consists of two equations depending on the case; they are: E = (Ai + sigmaAi) - (Ar + sigmaAr + deltaAr1) and E = (Ai + sigmaAi) - (Ar + sigmaA + deltaAr1). The mathematical modelling implemented for measuring uncertainty in the Universidad Tecnológica de Pereira's Electrical Variable Metrology Laboratory (Electro-medical Equipment Calibration Area) will become a good guide for calibration initiated in other laboratories in Colombia and Latin-America.

  19. Idaho National Laboratory Research & Development Impacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stricker, Nicole

    Technological advances that drive economic growth require both public and private investment. The U.S. Department of Energy’s national laboratories play a crucial role by conducting the type of research, testing and evaluation that is beyond the scope of regulators, academia or industry. Examples of such work from the past year can be found in these pages. Idaho National Laboratory’s engineering and applied science expertise helps deploy new technologies for nuclear energy, national security and new energy resources. Unique infrastructure, nuclear material inventory and vast expertise converge at INL, the nation’s nuclear energy laboratory. Productive partnerships with academia, industry and governmentmore » agencies deliver high-impact outcomes. This edition of INL’s Impacts magazine highlights national and regional leadership efforts, growing capabilities, notable collaborations, and technology innovations. Please take a few minutes to learn more about the critical resources and transformative research at one of the nation’s premier applied science laboratories.« less

  20. Diffraction gratings metrology and ray-tracing results for an XUV Raman spectrometer at FLASH

    PubMed Central

    Dziarzhytski, Siarhei; Siewert, Frank; Gwalt, Grzegorz; Seliger, Tino; Rübhausen, Michael; Weigelt, Holger; Brenner, Günter

    2018-01-01

    The extreme-ultraviolet double-stage imaging Raman spectrometer is a permanent experimental endstation at the plane-grating monochromator beamline branch PG1 at FLASH at DESY in Hamburg, Germany. This unique instrument covers the photon energy range from 20 to 200 eV with high energy resolution of about 2 to 20 meV (design values) featuring an efficient elastic line suppression as well as effective stray light rejection. Such a design enables studies of low-energy excitations like, for example, phonons in solids close to the vicinity of the elastic line. The Raman spectrometer effectively operates with four reflective off-axial parabolic mirrors and two plane-grating units. The optics quality and their precise alignment are crucial to guarantee best performance of the instrument. Here, results on a comprehensive investigation of the quality of the spectrometer diffraction gratings are presented. The gratings have been characterized by ex situ metrology at the BESSY-II Optics Laboratory, employing slope measuring deflectometry and interferometry as well as atomic force microscopy studies. The efficiency of these key optical elements has been measured at the at-wavelength metrology laboratory using the reflectometer at the BESSY-II Optics beamline. Also, the metrology results are discussed with respect to the expected resolving power of the instrument by including them in ray-tracing studies of the instrument. PMID:29271763

  1. National Exposure Research Laboratory

    EPA Pesticide Factsheets

    The Ecosystems Research Division of EPA’s National Exposure Research Laboratory, conducts research on organic and inorganic chemicals, greenhouse gas biogeochemical cycles, and land use perturbations that create stressor exposures and potentia risk

  2. LDRD Highlights at the National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alayat, R. A.

    2016-10-10

    To meet the nation’s critical challenges, the Department of Energy (DOE) national laboratories have always pushed the boundaries of science, technology, and engineering. The Atomic Energy Act of 1954 provided the basis for these laboratories to engage in the cutting edge of science and technology and respond to technological surprises, while retaining the best scientific and technological minds. To help re-energize this commitment, in 1991 the U.S. Congress authorized the national laboratories to devote a relatively small percentage of their budget to creative and innovative work that serves to maintain their vitality in disciplines relevant to DOE missions. Since then,more » this effort has been formally called the Laboratory Directed Research and Development (LDRD) Program. LDRD has been an essential mechanism to enable the laboratories to address DOE’s current and future missions with leading-edge research proposed independently by laboratory technical staff, evaluated through expert peer-review committees, and funded by the individual laboratories consistent with the authorizing legislation and the DOE LDRD Order 413.2C.« less

  3. Photomask applications of traceable atomic force microscope dimensional metrology at NIST

    NASA Astrophysics Data System (ADS)

    Dixson, Ronald; Orji, Ndubuisi G.; Potzick, James; Fu, Joseph; Allen, Richard A.; Cresswell, Michael; Smith, Stewart; Walton, Anthony J.; Tsiamis, Andreas

    2007-10-01

    The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. Three major instruments are being used for traceable measurements. The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), the second is the first generation of commercially available critical dimension AFM (CD-AFM), and the third is a current generation CD-AFM at SEMATECH - for which NIST has established the calibration and uncertainties. All of these instruments have useful applications in photomask metrology. Linewidth reference metrology is an important application of CD-AFM. We have performed a preliminary comparison of linewidths measured by CD-AFM and by electrical resistance metrology on a binary mask. For the ten selected test structures with on-mask linewidths between 350 nm and 600 nm, most of the observed differences were less than 5 nm, and all of them were less than 10 nm. The offsets were often within the estimated uncertainties of the AFM measurements, without accounting for the effect of linewidth roughness or the uncertainties of electrical measurements. The most recent release of the NIST photomask standard - which is Standard Reference Material (SRM) 2059 - was also supported by CD-AFM reference measurements. We review the recent advances in AFM linewidth metrology that will reduce the uncertainty of AFM measurements on this and future generations of the NIST photomask standard. The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized He-Ne laser. One of the important applications of the C-AFM is step height metrology, which has some relevance to phase shift calibration. In the current generation of the system, the approximate level of relative standard uncertainty for step height measurements at the 100 nm scale is 0.1 %. We discuss the monitor history of a 290 nm step height, originally measured on the C-AFM with a 1

  4. Coordinate metrology of a primary surface composite panel from the Large Millimeter Telescope

    NASA Astrophysics Data System (ADS)

    Gale, David M.; Lucero Álvarez, Maribel; Cabrera Cuevas, Lizeth; Leon-Huerta, Andrea; Arizmendi Reyes, Edgar; Icasio Hernández, Octavio; Castro Santos, David; Hernández Ríos, Emilio; Tecuapetla Sosa, Esteban; Tzile Torres, Carlos; Viliesid Alonso, Miguel

    2016-07-01

    The Large Millimeter Telescope (LMT) is a single-dish fully-steerable radio telescope presently operating with a 32.5 m parabolic primary reflector, in the process of extension to 50 m. The project is managed by the Instituto Nacional de Astrofísica, Óptica y Electrónica (INAOE) in México, and the University of Massachusetts Amherst, USA. A laminated surface panel from the LMT primary reflector has been subjected to a surface measurement assay at Mexico's National Metrology Center (CENAM). Data obtained using a coordinate measuring machine and laser tracker owned by CENAM is compared with measurements using an identical model laser tracker and the photogrammetry technique, the latter systems owned and operated by the LMT. All measurements were performed within the controlled metrology environment at CENAM. The measurement exercise is intended to prepare the groundwork for converting this spare surface panel into a calibrated work-piece. The establishment of a calibrated work-piece provides quality assurance for metrology through measurement traceability. It also simplifies the evaluation of measurement uncertainty for coordinate metrology procedures used by the LMT project during reflector surface qualification.

  5. Community | Argonne National Laboratory

    Science.gov Websites

    occupies 1,500 wooded acres 25 miles southwest of Chicago in DuPage County, Ill. Our highly collaborative Experience at Argonne National Laboratory Chicago Tribune New UChicago Program Teaches Data Science for

  6. BROOKHAVEN NATIONAL LABORATORY WILDLIFE MANAGEMENT PLAN.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NAIDU,J.R.

    2002-10-22

    The purpose of the Wildlife Management Plan (WMP) is to promote stewardship of the natural resources found at the Brookhaven National Laboratory (BNL), and to integrate their protection with pursuit of the Laboratory's mission.

  7. Coordinate metrology using scanning probe microscopes

    NASA Astrophysics Data System (ADS)

    Marinello, F.; Savio, E.; Bariani, P.; Carmignato, S.

    2009-08-01

    New positioning, probing and measuring strategies in coordinate metrology are needed for the accomplishment of true three-dimensional characterization of microstructures, with uncertainties in the nanometre range. In the present work, the implementation of scanning probe microscopes (SPMs) as systems for coordinate metrology is discussed. A new non-raster measurement approach is proposed, where the probe is moved to sense points along free paths on the sample surface, with no loss of accuracy with respect to traditional raster scanning and scan time reduction. Furthermore, new probes featuring long tips with innovative geometries suitable for coordinate metrology through SPMs are examined and reported.

  8. Metrology in physics, chemistry, and biology: differing perceptions.

    PubMed

    Iyengar, Venkatesh

    2007-04-01

    The association of physics and chemistry with metrology (the science of measurements) is well documented. For practical purposes, basic metrological measurements in physics are governed by two components, namely, the measure (i.e., the unit of measurement) and the measurand (i.e., the entity measured), which fully account for the integrity of a measurement process. In simple words, in the case of measuring the length of a room (the measurand), the SI unit meter (the measure) provides a direct answer sustained by metrological concepts. Metrology in chemistry, as observed through physical chemistry (measures used to express molar relationships, volume, pressure, temperature, surface tension, among others) follows the same principles of metrology as in physics. The same basis percolates to classical analytical chemistry (gravimetry for preparing high-purity standards, related definitive analytical techniques, among others). However, certain transition takes place in extending the metrological principles to chemical measurements in complex chemical matrices (e.g., food samples), as it adds a third component, namely, indirect measurements (e.g., AAS determination of Zn in foods). This is a practice frequently used in field assays, and calls for additional steps to account for traceability of such chemical measurements for safeguarding reliability concerns. Hence, the assessment that chemical metrology is still evolving.

  9. Optical metrology for Starlight Separated Spacecraft Stellar Interferometry Mission

    NASA Technical Reports Server (NTRS)

    Dubovitsky, S.; Lay, O. P.; Peters, R. D.; Abramovici, A.; Asbury, C. G.; Kuhnert, A. C.; Mulder, J. L.

    2002-01-01

    We describe a high-precision inter-spacecraft metrology system designed for NASA 's StarLight mission, a space-based separated-spacecraft stellar interferometer. It consists of dual-target linear metrology, based on a heterodyne interferometer with carrier phase modulation, and angular metrology designed to sense the pointing of the laser beam and provides bearing information. The dual-target operation enables one metrology beam to sense displacement of two targets independently. We present the current design, breadboard implementation of the Metrology Subsystem in a stellar interferometer testbed and the present state of development of flight qualifiable subsystem components.

  10. National Pyranometers comparison of solar thermal labs in Mexico

    NASA Astrophysics Data System (ADS)

    Castillo-Matadamas, H. A.; Molina-Vazquez, J. C.; Moreno-Quintanar, G.; Fuentes-Toledo, A.; Ortega-Avila, N.; Rodríguez-González, J. M.; Barrón-Mancilla, J. A.; Navarrete-Gonzalez, J. J.

    2017-01-01

    The results of the first national comparison of pyranometers used in testing laboratories of solar water heating are reported. In the comparison carried out at the facilities of Centro Nacional de Metrología (CENAM-México) participated three testing laboratories, a university and CENAM with seven secondary standards and first class pyranometers. The measurement results for all instruments were adequate, considering that the deviations found in all cases for global irradiance measurements greater than 500 W / m2 were in a band of +/- 2.5%, even though pyranometers have different dates of calibration.

  11. Power source evaluation capabilities at Sandia National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Doughty, D.H.; Butler, P.C.

    1996-04-01

    Sandia National Laboratories maintains one of the most comprehensive power source characterization facilities in the U.S. National Laboratory system. This paper describes the capabilities for evaluation of fuel cell technologies. The facility has a rechargeable battery test laboratory and a test area for performing nondestructive and functional computer-controlled testing of cells and batteries.

  12. Metrology for the manufacturing of freeform optics

    NASA Astrophysics Data System (ADS)

    Blalock, Todd; Myer, Brian; Ferralli, Ian; Brunelle, Matt; Lynch, Tim

    2017-10-01

    Recently the use of freeform surfaces have become a realization for optical designers. These non-symmetrical optical surfaces have allowed unique solutions to optical design problems. The implementation of freeform optical surfaces has been limited by manufacturing capabilities and quality. However over the past several years freeform fabrication processes have improved in capability and precision. But as with any manufacturing, proper metrology is required to monitor and verify the process. Typical optics metrology such as interferometry has its challenges and limitations with the unique shapes of freeform optics. Two contact metrology methods for freeform metrology are presented; a Leitz coordinate measurement machine (CMM) with an uncertainty of +/- 0.5 μm and a high resolution profilometer (Panasonic UA3P) with a measurement uncertainty of +/- 0.05 μm. We are also developing a non-contact high resolution technique based on the fringe reflection technique known as deflectometry. This fast non-contact metrology has the potential to compete with accuracies of the contact methods but also can acquire data in seconds rather than minutes or hours.

  13. Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Pratt, Jon R.; Kramar, John A.; Newell, David B.; Smith, Douglas T.

    2005-05-01

    If nanomechanical testing is to evolve into a tool for process and quality control in semiconductor fabrication, great advances in throughput, repeatability, and accuracy of the associated instruments and measurements will be required. A recent grant awarded by the NIST Advanced Technology Program seeks to address the throughput issue by developing a high-speed AFM-based platform for quantitative nanomechanical measurements. The following paper speaks to the issue of quantitative accuracy by presenting an overview of various standards and techniques under development at NIST and other national metrology institutes (NMIs) that can provide a metrological basis for nanomechanical testing. The infrastructure we describe places firm emphasis on traceability to the International System of Units, paving the way for truly quantitative, rather than qualitative, physical property testing.

  14. Hood College, Frederick National Laboratory Will Renew Popular Scientific Symposium | Frederick National Laboratory for Cancer Research

    Cancer.gov

    FREDERICK, Md. -- Hood College and the Frederick National Laboratory for Cancer Research have partnered to cohost an annual scientific symposium in the tradition of the landmark Oncogene Meeting, a national fixture in Frederick for more than 20 year

  15. Metrology Careers: Jobs for Good Measure

    ERIC Educational Resources Information Center

    Liming, Drew

    2009-01-01

    What kind of career rewards precision and accuracy? One in metrology--the science of measurement. By evaluating and calibrating the technology in people's everyday lives, metrologists keep their world running smoothly. Metrology is used in the design and production of almost everything people encounter daily, from the cell phones in their pockets…

  16. Mask Design for the Space Interferometry Mission Internal Metrology

    NASA Technical Reports Server (NTRS)

    Marx, David; Zhao, Feng; Korechoff, Robert

    2005-01-01

    This slide presentation reviews the mask design used for the internal metrology of the Space Interferometry Mission (SIM). Included is information about the project, the method of measurements with SIM, the internal metrology, numerical model of internal metrology, wavefront examples, performance metrics, and mask design

  17. Privacy Policy | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The privacy of our users is of utmost importance to Frederick National Laboratory. The policy outlined below establishes how Frederick National Laboratory will use the information we gather about you from your visit to our website. We may coll

  18. News | Argonne National Laboratory

    Science.gov Websites

    Skip to main content Argonne National Laboratory Toggle Navigation Toggle Search Home Learning solvers Home Learning Center Undergraduates Graduates Faculty Partners News & Events News & Events -4114 Contact Us Argonne Educational Programs is committed to providing a learning environment that

  19. National Water Quality Laboratory - A Profile

    USGS Publications Warehouse

    Raese, Jon W.

    2001-01-01

    The U.S. Geological Survey (USGS) National Water Quality Laboratory (NWQL) is a full-service laboratory that specializes in environmental analytical chemistry. The NWQL's primary mission is to support USGS programs requiring environmental analyses that provide consistent methodology for national assessment and trends analysis. The NWQL provides the following: high-quality chemical data; consistent, published, state-of-the-art methodology; extremely low-detection levels; high-volume capability; biological unit for identifying benthic invertebrates; quality assurance for determining long-term water-quality trends; and a professional staff.

  20. Improving OCD time to solution using Signal Response Metrology

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  1. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    NASA Astrophysics Data System (ADS)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  2. Analysis of key technologies for virtual instruments metrology

    NASA Astrophysics Data System (ADS)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  3. Biosafety Practices and Emergency Response at the Idaho National Laboratory and Los Alamos National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frank F. Roberto; Dina M. Matz

    2008-03-01

    Strict federal regulations govern the possession, use, and transfer of pathogens and toxins with potential to cause harm to the public, either through accidental or deliberate means. Laboratories registered through either the Centers for Disease Control and Prevention (CDC), the U.S. Dept. of Agriculture (USDA), or both, must prepare biosafety, security, and incident response plans, conduct drills or exercises on an annual basis, and update plans accordingly. At the Idaho National Laboratory (INL), biosafety, laboratory, and emergency management staff have been working together for 2 years to satisfy federal and DOE/NNSA requirements. This has been done through the establishment ofmore » plans, training, tabletop and walk-through exercises and drills, and coordination with local and regional emergency response personnel. Responding to the release of infectious agents or toxins is challenging, but through familiarization with the nature of the hazardous biological substances or organisms, and integration with laboratory-wide emergency response procedures, credible scenarios are being used to evaluate our ability to protect workers, the public, and the environment from agents we must work with to provide for national biodefense.« less

  4. Entanglement-enhanced quantum metrology in a noisy environment

    NASA Astrophysics Data System (ADS)

    Wang, Kunkun; Wang, Xiaoping; Zhan, Xiang; Bian, Zhihao; Li, Jian; Sanders, Barry C.; Xue, Peng

    2018-04-01

    Quantum metrology overcomes standard precision limits and plays a central role in science and technology. Practically, it is vulnerable to imperfections such as decoherence. Here we demonstrate quantum metrology for noisy channels such that entanglement with ancillary qubits enhances the quantum Fisher information for phase estimation but not otherwise. Our photonic experiment covers a range of noise for various types of channels, including for two randomly alternating channels such that assisted entanglement fails for each noisy channel individually. We simulate noisy channels by implementing space-multiplexed dual interferometers with quantum photonic inputs. We demonstrate the advantage of entanglement-assisted protocols in a phase estimation experiment run with either a single-probe or multiprobe approach. These results establish that entanglement with ancillae is a valuable approach for delivering quantum-enhanced metrology. Our approach to entanglement-assisted quantum metrology via a simple linear-optical interferometric network with easy-to-prepare photonic inputs provides a path towards practical quantum metrology.

  5. Assessment of the present NASA optical metrology capabilities and recommendations for establishing an in-house NASA Optical Metrology Group

    NASA Technical Reports Server (NTRS)

    Parks, Robert E.

    1991-01-01

    An investigation into when it was first recognized that there was a deficiency in NASA optical metrology oversight capability, why this deficiency existed unnoticed for so long, and a proposal for correcting the problem is presented. It is explained why this optical metrology oversight is so critical to program success and at the same time, why it is difficult to establish due to the nature of the technology. The solution proposed is the establishment of an Optics Metrology Group within the NASA/MSFC Optics Branch with a line of authority from NASA S & MA.

  6. National Laboratory Planning: Developing Sustainable Biocontainment Laboratories in Limited Resource Areas.

    PubMed

    Yeh, Kenneth B; Adams, Martin; Stamper, Paul D; Dasgupta, Debanjana; Hewson, Roger; Buck, Charles D; Richards, Allen L; Hay, John

    2016-01-01

    Strategic laboratory planning in limited resource areas is essential for addressing global health security issues. Establishing a national reference laboratory, especially one with BSL-3 or -4 biocontainment facilities, requires a heavy investment of resources, a multisectoral approach, and commitments from multiple stakeholders. We make the case for donor organizations and recipient partners to develop a comprehensive laboratory operations roadmap that addresses factors such as mission and roles, engaging national and political support, securing financial support, defining stakeholder involvement, fostering partnerships, and building trust. Successful development occurred with projects in African countries and in Azerbaijan, where strong leadership and a clear management framework have been key to success. A clearly identified and agreed management framework facilitate identifying the responsibility for developing laboratory capabilities and support services, including biosafety and biosecurity, quality assurance, equipment maintenance, supply chain establishment, staff certification and training, retention of human resources, and sustainable operating revenue. These capabilities and support services pose rate-limiting yet necessary challenges. Laboratory capabilities depend on mission and role, as determined by all stakeholders, and demonstrate the need for relevant metrics to monitor the success of the laboratory, including support for internal and external audits. Our analysis concludes that alternative frameworks for success exist for developing and implementing capabilities at regional and national levels in limited resource areas. Thus, achieving a balance for standardizing practices between local procedures and accepted international standards is a prerequisite for integrating new facilities into a country's existing public health infrastructure and into the overall international scientific community.

  7. National Laboratory Planning: Developing Sustainable Biocontainment Laboratories in Limited Resource Areas

    PubMed Central

    Adams, Martin; Stamper, Paul D.; Dasgupta, Debanjana; Hewson, Roger; Buck, Charles D.; Richards, Allen L.; Hay, John

    2016-01-01

    Strategic laboratory planning in limited resource areas is essential for addressing global health security issues. Establishing a national reference laboratory, especially one with BSL-3 or -4 biocontainment facilities, requires a heavy investment of resources, a multisectoral approach, and commitments from multiple stakeholders. We make the case for donor organizations and recipient partners to develop a comprehensive laboratory operations roadmap that addresses factors such as mission and roles, engaging national and political support, securing financial support, defining stakeholder involvement, fostering partnerships, and building trust. Successful development occurred with projects in African countries and in Azerbaijan, where strong leadership and a clear management framework have been key to success. A clearly identified and agreed management framework facilitate identifying the responsibility for developing laboratory capabilities and support services, including biosafety and biosecurity, quality assurance, equipment maintenance, supply chain establishment, staff certification and training, retention of human resources, and sustainable operating revenue. These capabilities and support services pose rate-limiting yet necessary challenges. Laboratory capabilities depend on mission and role, as determined by all stakeholders, and demonstrate the need for relevant metrics to monitor the success of the laboratory, including support for internal and external audits. Our analysis concludes that alternative frameworks for success exist for developing and implementing capabilities at regional and national levels in limited resource areas. Thus, achieving a balance for standardizing practices between local procedures and accepted international standards is a prerequisite for integrating new facilities into a country's existing public health infrastructure and into the overall international scientific community. PMID:27559843

  8. 7/5nm logic manufacturing capabilities and requirements of metrology

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  9. New Webpage Brings Increased Visibility to Frederick National Laboratory Subcontracting Opportunities | Frederick National Laboratory for Cancer Research

    Cancer.gov

    A new webpage will now make it easier for small businesses and others to find and apply for Frederick National Laboratory for Cancer Research business opportunities. The new solicitations page, which launched on the Frederick National Lab website Aug

  10. Frederick National Laboratory, National Cancer Institute of Mexico to Offer Training Fellowships | Frederick National Laboratory for Cancer Research

    Cancer.gov

    FREDERICK, Md. -- The Frederick National Laboratory for Cancer Research will extend its scientific mentoring across international borders for the first time by offering postdoctoral research fellowships to scientists under an agreement with the Nati

  11. Los Alamos National Laboratory Overview

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Neu, Mary

    Mary Neu, Associate Director for Chemistry, Life and Earth Sciences at Los Alamos National Laboratory, delivers opening remarks at the "Sequencing, Finishing, Analysis in the Future" meeting in Santa Fe, NM.

  12. POLLUTION PREVENTION OPPORTUNITY ASSESSMENT - MANUFACTURING AND FABRICATION REPAIR LABORATORY AT SANDIA NATIONAL LABORATORIES

    EPA Science Inventory

    These reports summarize pollution prevention opportunity assessments conducted jointly by EPA and DOE at the Geochemistry Laboratory and the Manufacturing and Fabrication Repair Laboratory at the Department of Energy's Sandia National Laboratories facility in Albuquerque, New Mex...

  13. Emerging technology for astronomical optics metrology

    NASA Astrophysics Data System (ADS)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  14. Absolute metrology for space interferometers

    NASA Astrophysics Data System (ADS)

    Salvadé, Yves; Courteville, Alain; Dändliker, René

    2017-11-01

    The crucial issue of space-based interferometers is the laser interferometric metrology systems to monitor with very high accuracy optical path differences. Although classical high-resolution laser interferometers using a single wavelength are well developed, this type of incremental interferometer has a severe drawback: any interruption of the interferometer signal results in the loss of the zero reference, which requires a new calibration, starting at zero optical path difference. We propose in this paper an absolute metrology system based on multiplewavelength interferometry.

  15. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II) from 5 to 1200 ppmv using a metrological humidity generator

    NASA Astrophysics Data System (ADS)

    Buchholz, Bernhard; Ebert, Volker

    2018-01-01

    Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10-20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  16. National Water Quality Laboratory Profile

    USGS Publications Warehouse

    Raese, Jon W.

    1994-01-01

    The National Water Quality Laboratory determines organic and inorganic constituents in samples of surface and ground water, river and lake sediment, aquatic plant and animal material, and precipitation collected throughout the United States and its territories by the U.S. Geological Survey. In water year 1994, the Laboratory produced more than 900,000 analytical results for about 65,000 samples. The Laboratory also coordinates an extensive network of contract laboratories for the determination of radiochemical and stable isotopes and work for the U.S. Department of Defense Environmental Contamination Hydrology Program. Heightened concerns about water quality and about the possible effects of toxic chemicals at trace and ultratrace levels have contributed to an increased demand for impartial, objective, and independent data.

  17. Lawrence Livermore National Laboratory Environmental Report 2012

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jones, Henry E.; Armstrong, Dave; Blake, Rick G.

    Lawrence Livermore National Laboratory (LLNL) is a premier research laboratory that is part of the National Nuclear Security Administration (NNSA) within the U.S. Department of Energy (DOE). As a national security laboratory, LLNL is responsible for ensuring that the nation’s nuclear weapons remain safe, secure, and reliable. The Laboratory also meets other pressing national security needs, including countering the proliferation of weapons of mass destruction and strengthening homeland security, and conducting major research in atmospheric, earth, and energy sciences; bioscience and biotechnology; and engineering, basic science, and advanced technology. The Laboratory is managed and operated by Lawrence Livermore National Security,more » LLC (LLNS), and serves as a scientific resource to the U.S. government and a partner to industry and academia. LLNL operations have the potential to release a variety of constituents into the environment via atmospheric, surface water, and groundwater pathways. Some of the constituents, such as particles from diesel engines, are common at many types of facilities while others, such as radionuclides, are unique to research facilities like LLNL. All releases are highly regulated and carefully monitored. LLNL strives to maintain a safe, secure and efficient operational environment for its employees and neighboring communities. Experts in environment, safety and health (ES&H) support all Laboratory activities. LLNL’s radiological control program ensures that radiological exposures and releases are reduced to as low as reasonably achievable to protect the health and safety of its employees, contractors, the public, and the environment. LLNL is committed to enhancing its environmental stewardship and managing the impacts its operations may have on the environment through a formal Environmental Management System. The Laboratory encourages the public to participate in matters related to the Laboratory’s environmental impact on the

  18. Lawrence Livermore National Laboratory Environmental Report 2013

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jones, H. E.; Bertoldo, N. A.; Blake, R. G.

    Lawrence Livermore National Laboratory (LLNL) is a premier research laboratory that is part of the National Nuclear Security Administration (NNSA) within the U.S. Department of Energy (DOE). As a national security laboratory, LLNL is responsible for ensuring that the nation’s nuclear weapons remain safe, secure, and reliable. The Laboratory also meets other pressing national security needs, including countering the proliferation of weapons of mass destruction and strengthening homeland security, and conducting major research in atmospheric, earth, and energy sciences; bioscience and biotechnology; and engineering, basic science, and advanced technology. The Laboratory is managed and operated by Lawrence Livermore National Security,more » LLC (LLNS), and serves as a scientific resource to the U.S. government and a partner to industry and academia. LLNL operations have the potential to release a variety of constituents into the environment via atmospheric, surface water, and groundwater pathways. Some of the constituents, such as particles from diesel engines, are common at many types of facilities while others, such as radionuclides, are unique to research facilities like LLNL. All releases are highly regulated and carefully monitored. LLNL strives to maintain a safe, secure and efficient operational environment for its employees and neighboring communities. Experts in environment, safety and health (ES&H) support all Laboratory activities. LLNL’s radiological control program ensures that radiological exposures and releases are reduced to as low as reasonably achievable to protect the health and safety of its employees, contractors, the public, and the environment. LLNL is committed to enhancing its environmental stewardship and managing the impacts its operations may have on the environment through a formal Environmental Management System. The Laboratory encourages the public to participate in matters related to the Laboratory’s environmental impact on the

  19. Instrumentation, metrology, and standards: key elements for the future of nanomanufacturing

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Lyons, Kevin

    2007-09-01

    Nanomanufacturing is the essential bridge between the discoveries of nanoscience and real world nanotech products and is the vehicle by which the Nation and the World will realize the promise of major technological innovation across a spectrum of products that will affect virtually every industrial sector. For nanotech products to achieve the broad impacts envisioned, they must be manufactured in market-appropriate quantities in a reliable, repeatable, economical and commercially viable manner. In addition, they must be manufactured so that environmental and human health concerns are met, worker safety issues are appropriately assessed and handled, and liability issues are addressed. Critical to this realization of robust nanomanufacturing is the development of the necessary instrumentation, metrology, and standards. Integration of the instruments, their interoperability, and appropriate information management are also critical elements that must be considered for viable nanomanufacturing. Advanced instrumentation, metrology and standards will allow the physical dimensions, properties, functionality, and purity of the materials, processes, tools, systems, products, and emissions that will constitute nanomanufacturing to be measured and characterized. This will in turn enable production to be scaleable, controllable, predictable, and repeatable to meet market needs. If a nano-product cannot be measured it cannot be manufactured; additionally if that product cannot be made safely it should not be manufactured. This presentation introduces the Instrumentation, Metrology, and Standards for Nanomanufacturing Conference at the 2007 SPIE Optics and Photonics. This conference will become the leading forum for the exchange of foundational information and discussion of instrumentation, metrology and standards which are key elements for the success of nanomanufacturing.

  20. On the evaluation of photogrammetric methods for dense 3D surface reconstruction in a metrological context

    NASA Astrophysics Data System (ADS)

    Toschi, I.; Capra, A.; De Luca, L.; Beraldin, J.-A.; Cournoyer, L.

    2014-05-01

    This paper discusses a methodology to evaluate the accuracy of recently developed image-based 3D modelling techniques. So far, the emergence of these novel methods has not been supported by the definition of an internationally recognized standard which is fundamental for user confidence and market growth. In order to provide an element of reflection and solution to the different communities involved in 3D imaging, a promising approach is presented in this paper for the assessment of both metric quality and limitations of an open-source suite of tools (Apero/MicMac), developed for the extraction of dense 3D point clouds from a set of unordered 2D images. The proposed procedural workflow is performed within a metrological context, through inter-comparisons with "reference" data acquired with two hemispherical laser scanners, one total station, and one laser tracker. The methodology is applied to two case studies, designed in order to analyse the software performances in dealing with both outdoor and environmentally controlled conditions, i.e. the main entrance of Cathédrale de la Major (Marseille, France) and a custom-made scene located at National Research Council of Canada 3D imaging Metrology Laboratory (Ottawa). Comparative data and accuracy evidence produced for both tests allow the study of some key factors affecting 3D model accuracy.

  1. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    NASA Astrophysics Data System (ADS)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  2. PREFACE: Fundamental Constants in Physics and Metrology

    NASA Astrophysics Data System (ADS)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  3. Hybrid Metrology and 3D-AFM Enhancement for CD Metrology Dedicated to 28 nm Node and Below Requirements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foucher, J.; Faurie, P.; Dourthe, L.

    2011-11-10

    The measurement accuracy is becoming one of the major components that have to be controlled in order to guarantee sufficient production yield. Already at the R and D level, we have to come up with the accurate measurements of sub-40 nm dense trenches and contact holes coming from 193 immersion lithography or E-Beam lithography. Current production CD (Critical Dimension) metrology techniques such as CD-SEM (CD-Scanning Electron Microscope) and OCD (Optical Critical Dimension) are limited in relative accuracy for various reasons (i.e electron proximity effect, outputs parameters correlation, stack influence, electron interaction with materials...). Therefore, time for R and D ismore » increasing, process windows degrade and finally production yield can decrease because you cannot manufactured correctly if you are unable to measure correctly. A new high volume manufacturing (HVM) CD metrology solution has to be found in order to improve the relative accuracy of production environment otherwise current CD Metrology solution will very soon get out of steam.In this paper, we will present a potential Hybrid CD metrology solution that smartly tuned 3D-AFM (3D-Atomic Force Microscope) and CD-SEM data in order to add accuracy both in R and D and production. The final goal for 'chip makers' is to improve yield and save R and D and production costs through real-time feedback loop implement on CD metrology routines. Such solution can be implemented and extended to any kind of CD metrology solution. In a 2{sup nd} part we will discuss and present results regarding a new AFM3D probes breakthrough with the introduction of full carbon tips made will E-Beam Deposition process. The goal is to overcome the current limitations of conventional flared silicon tips which are definitely not suitable for sub-32 nm nodes production.« less

  4. Gaps analysis for CD metrology beyond the 22nm node

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Germer, Thomas A.; Vartanian, Victor; Cordes, Aaron; Cepler, Aron; Settens, Charles

    2013-04-01

    This paper will examine the future for critical dimension (CD) metrology. First, we will present the extensive list of applications for which CD metrology solutions are needed, showing commonalities and differences among the various applications. We will then report on the expected technical limits of the metrology solutions currently being investigated by SEMATECH and others in the industry to address the metrology challenges of future nodes, including conventional CD scanning electron microscopy (CD-SEM) and optical critical dimension (OCD) metrology and new potential solutions such as He-ion microscopy (HeIM, sometimes elsewhere referred to as HIM), CD atomic force microscopy (CD-AFM), CD small-angle x-ray scattering (CD-SAXS), high-voltage scanning electron microscopy (HV-SEM), and other types. A technical gap analysis matrix will then be demonstrated, showing the current state of understanding of the future of the CD metrology space.

  5. Undergraduates | Argonne National Laboratory

    Science.gov Websites

    Directory Argonne National Laboratory Educational Programs Connecting today's world-class research to which you can use to change the world." -Nelson Mandela Undergrads are just beginning their journey into the world of science and engineering. Here at Argonne, we work to make the world a better place

  6. Visiting Scholars Program | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Visiting Scholars Program (VSP) provides a unique opportunity for scientists to collaborate with the Frederick National Laboratory for Cancer Research (FNLCR), the only federal national laboratory in the United States devoted exclusively to b

  7. Saving Water at Los Alamos National Laboratory

    ScienceCinema

    Erickson, Andy

    2018-01-16

    Los Alamos National Laboratory decreased its water usage by 26 percent in 2014, with about one-third of the reduction attributable to using reclaimed water to cool a supercomputing center. The Laboratory's goal during 2014 was to use only re-purposed water to support the mission at the Strategic Computing Complex. Using reclaimed water from the Sanitary Effluent Reclamation Facility, or SERF, substantially decreased water usage and supported the overall mission. SERF collects industrial wastewater and treats it for reuse. The reclamation facility contributed more than 27 million gallons of re-purposed water to the Laboratory's computing center, a secured supercomputing facility that supports the Laboratory’s national security mission and is one of the institution’s larger water users. In addition to the strategic water reuse program at SERF, the Laboratory reduced water use in 2014 by focusing conservation efforts on areas that use the most water, upgrading to water-conserving fixtures, and repairing leaks identified in a biennial survey.

  8. High pressure metrology for industrial applications

    NASA Astrophysics Data System (ADS)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  9. Graduates | Argonne National Laboratory

    Science.gov Websites

    Staff Directory Argonne National Laboratory Educational Programs Connecting today's world-class research , Argonne is the place to be if you are a graduate student. With access to world-class facilities and world -reknowned researchers, graduate students at Argonne can taste the best of the research and development world

  10. Technology | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory develops and applies advanced, next-generation technologies to solve basic and applied problems in the biomedical sciences, and serves as a national resource of shared high-tech facilities.

  11. Inverter testing at Sandia National Laboratories

    NASA Astrophysics Data System (ADS)

    Ginn, Jerry W.; Bonn, Russell H.; Sittler, Greg

    1997-02-01

    Inverters are key building blocks of photovoltaic (PV) systems that produce ac power. The balance of systems (BOS) portion of a PV system can account for up to 50% of the system cost, and its reliable operation is essential for a successful PV system. As part of its BOS program, Sandia National Laboratories (SNL) maintains a laboratory wherein accurate electrical measurements of power systems can be made under a variety of conditions. This paper outlines the work that is done in that laboratory.

  12. Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression.

    PubMed

    Henn, Mark-Alexander; Silver, Richard M; Villarrubia, John S; Zhang, Nien Fan; Zhou, Hui; Barnes, Bryan M; Ming, Bin; Vladár, András E

    2015-01-01

    Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ 2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges.

  13. Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression

    PubMed Central

    Henn, Mark-Alexander; Silver, Richard M.; Villarrubia, John S.; Zhang, Nien Fan; Zhou, Hui; Barnes, Bryan M.; Ming, Bin; Vladár, András E.

    2015-01-01

    Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges. PMID:26681991

  14. Partnering at the National Laboratories: Catalysis as a Case Study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    JACKSON,NANCY B.

    1999-09-14

    The role of the national laboratories, particularly the defense program laboratories, since the end of the cold war, has been a topic of continuing debate. The relationship of national laboratories to industry spurred debate which ranged from designating the labs as instrumental to maintaining U.S. economic competitiveness to concern over the perception of corporate welfare to questions regarding the industrial globalization and the possibility of U.S. taxpayer dollars supporting foreign entities. Less debated, but equally important, has been the national laboratories' potential competition with academia for federal research dollars and discussions detailing the role of each in the national researchmore » enterprise.« less

  15. 2020 Foresight Forging the Future of Lawrence Livermore National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chrzanowski, P.

    2000-01-01

    The Lawrence Livermore National Laboratory (LLNL) of 2020 will look much different from the LLNL of today and vastly different from how it looked twenty years ago. We, the members of the Long-Range Strategy Project, envision a Laboratory not defined by one program--nuclear weapons research--but by several core programs related to or synergistic with LLNL's national security mission. We expect the Laboratory to be fully engaged with sponsors and the local community and closely partnering with other research and development (R&D) organizations and academia. Unclassified work will be a vital part of the Laboratory of 2020 and will visibly demonstratemore » LLNL's international science and technology strengths. We firmly believe that there will be a critical and continuing role for the Laboratory. As a dynamic and versatile multipurpose laboratory with a national security focus, LLNL will be applying its capabilities in science and technology to meet the needs of the nation in the 21st century. With strategic investments in science, outstanding technical capabilities, and effective relationships, the Laboratory will, we believe, continue to play a key role in securing the nation's future.« less

  16. HEP Division Argonne National Laboratory

    Science.gov Websites

    Argonne National Laboratory Environmental Safety & Health DOE Logo Home Division ES&H ... Search Argonne Home >High Energy Physics> Environmental Safety & Health Environmental Safety & Health New Employee Training */ ?> Office Safety: Checklist (Submitted Checklists) Submitted

  17. Final Report on the Key Comparison CCM.P-K4.2012 in Absolute Pressure from 1 Pa to 10 kPa

    PubMed Central

    Ricker, Jacob; Hendricks, Jay; Bock, Thomas; Dominik, Pražák; Kobata, Tokihiko; Torres, Jorge; Sadkovskaya, Irina

    2017-01-01

    The report summarizes the Consultative Committee for Mass (CCM) key comparison CCM.P-K4.2012 for absolute pressure spanning the range of 1 Pa to 10 000 Pa. The comparison was carried out at six National Metrology Institutes (NMIs), including National Institute of Standards and Technology (NIST), Physikalisch-Technische Bundesanstalt (PTB), Czech Metrology Institute (CMI), National Metrology Institute of Japan (NMIJ), Centro Nacional de Metrología (CENAM), and DI Mendeleyev Institute for Metrology (VNIIM). The comparison was made via a calibrated transfer standard measured at each of the NMIs facilities using their laboratory standard during the period May 2012 to September 2013. The transfer package constructed for this comparison preformed as designed and provided a stable artifact to compare laboratory standards. Overall the participants were found to be statistically equivalent to the key comparison reference value. PMID:28216793

  18. Final Report National Laboratory Professional Development Workshop for Underrepresented Participants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taylor, Valerie

    The 2013 CMD-IT National Laboratories Professional Development Workshop for Underrepresented Participants (CMD-IT NLPDev 2013) was held at the Oak Ridge National Laboratory campus in Oak Ridge, TN. from June 13 - 14, 2013. Sponsored by the Department of Energy (DOE) Advanced Scientific Computing Research Program, the primary goal of these workshops is to provide information about career opportunities in computational science at the various national laboratories and to mentor the underrepresented participants through community building and expert presentations focused on career success. This second annual workshop offered sessions to facilitate career advancement and, in particular, the strategies and resources neededmore » to be successful at the national laboratories.« less

  19. Evaluation of 3D metrology potential using a multiple detector CDSEM

    NASA Astrophysics Data System (ADS)

    Hakii, Hidemitsu; Yonekura, Isao; Nishiyama, Yasushi; Tanaka, Keishi; Komoto, Kenji; Murakawa, Tsutomu; Hiroyama, Mitsuo; Shida, Soichi; Kuribara, Masayuki; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki

    2012-06-01

    As feature sizes of semiconductor device structures have continuously decreased, needs for metrology tools with high precision and excellent linearity over actual pattern sizes have been growing. And it has become important to measure not only two-dimensional (2D) but also three-dimensional (3D) shapes of patterns at 22 nm node and beyond. To meet requirements for 3D metrology capabilities, various pattern metrology tools have been developed. Among those, we assume that CDSEM metrology is the most qualified candidate in the light of its non-destructive, high throughput measurement capabilities that are expected to be extended to the much-awaited 3D metrology technology. On the basis of this supposition, we have developed the 3D metrology system, in which side wall angles and heights of photomask patterns can be measured with high accuracy through analyzing CDSEM images generated by multi-channel detectors. In this paper, we will discuss our attempts to measure 3D shapes of defect patterns on a photomask by using Advantest's "Multi Vision Metrology SEM" E3630 (MVM-SEM' E3630).

  20. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    NASA Astrophysics Data System (ADS)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of <100 nrad (root-mean-square) and height error of <1-2 nm (peak-tovalley). These are for optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed

  1. 60 Years of Great Science (Oak Ridge National Laboratory)

    DOE R&D Accomplishments Database

    2003-01-01

    This issue of Oak Ridge National Laboratory Review (vol. 36, issue 1) highlights Oak Ridge National Laboratory's contributions in more than 30 areas of research and related activities during the past 60 years and provides glimpses of current activities that are carrying on this heritage.

  2. Two Approaches to Calibration in Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campanelli, Mark

    2014-04-01

    Inferring mathematical relationships with quantified uncertainty from measurement data is common to computational science and metrology. Sufficient knowledge of measurement process noise enables Bayesian inference. Otherwise, an alternative approach is required, here termed compartmentalized inference, because collection of uncertain data and model inference occur independently. Bayesian parameterized model inference is compared to a Bayesian-compatible compartmentalized approach for ISO-GUM compliant calibration problems in renewable energy metrology. In either approach, model evidence can help reduce model discrepancy.

  3. A new approach to pattern metrology

    NASA Astrophysics Data System (ADS)

    Ausschnitt, Christopher P.

    2004-05-01

    We describe an approach to pattern metrology that enables the simultaneous determination of critical dimensions, overlay and film thickness. A single optical system captures nonzero- and zero-order diffracted signals from illuminated grating targets, as well as unpatterned regions of the surrounding substrate. Differential targets provide in situ dimensional calibration. CD target signals are analyzed to determine average dimension, profile attributes, and effective dose and defocus. In turn, effective dose and defocus determines all CDs pre-correlated to the dose and focus settings of the exposure tool. Overlay target signals are analyzed to determine the relative reflectivity of the layer pair and the overlay error between them. Compared to commercially available pattern metrology (SEM, optical microscopy, AFM, scatterometry and schnitzlometry), our approach promises improved signal-to-noise, higher throughput and smaller targets. We have dubbed this optical chimera MOXIE (Metrology Of eXtremely Irrational Exuberance).

  4. Oak Ridge National Laboratory Core Competencies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roberto, J.B.; Anderson, T.D.; Berven, B.A.

    1994-12-01

    A core competency is a distinguishing integration of capabilities which enables an organization to deliver mission results. Core competencies represent the collective learning of an organization and provide the capacity to perform present and future missions. Core competencies are distinguishing characteristics which offer comparative advantage and are difficult to reproduce. They exhibit customer focus, mission relevance, and vertical integration from research through applications. They are demonstrable by metrics such as level of investment, uniqueness of facilities and expertise, and national impact. The Oak Ridge National Laboratory (ORNL) has identified four core competencies which satisfy the above criteria. Each core competencymore » represents an annual investment of at least $100M and is characterized by an integration of Laboratory technical foundations in physical, chemical, and materials sciences; biological, environmental, and social sciences; engineering sciences; and computational sciences and informatics. The ability to integrate broad technical foundations to develop and sustain core competencies in support of national R&D goals is a distinguishing strength of the national laboratories. The ORNL core competencies are: 9 Energy Production and End-Use Technologies o Biological and Environmental Sciences and Technology o Advanced Materials Synthesis, Processing, and Characterization & Neutron-Based Science and Technology. The distinguishing characteristics of each ORNL core competency are described. In addition, written material is provided for two emerging competencies: Manufacturing Technologies and Computational Science and Advanced Computing. Distinguishing institutional competencies in the Development and Operation of National Research Facilities, R&D Integration and Partnerships, Technology Transfer, and Science Education are also described. Finally, financial data for the ORNL core competencies are summarized in the appendices.« less

  5. Overlay metrology for double patterning processes

    NASA Astrophysics Data System (ADS)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  6. Sandia National Laboratories: Contact Us

    Science.gov Websites

    Technology Partnerships Business, Industry, & Non-Profits Government Universities Center for Development Agreement (CRADA) Strategic Partnership Projects, Non-Federal Entity (SPP/NFE) Agreements New )* Non-mail deliveries: 1515 Eubank SE Albuquerque, NM 87123 Sandia National Laboratories, California P.O

  7. Increase Workshop | Argonne National Laboratory

    Science.gov Websites

    Skip to main content Argonne National Laboratory Toggle Navigation Toggle Search Home Learning solvers Home Learning Center Undergraduates Graduates Faculty Partners News & Events Faculty Visiting Us Argonne Educational Programs is committed to providing a learning environment that emphasizes the

  8. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    NASA Astrophysics Data System (ADS)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  9. Surface Wave Metrology for Copper/Low-k Interconnects

    NASA Astrophysics Data System (ADS)

    Gostein, M.; Maznev, A. A.; Mazurenko, A.; Tower, J.

    2005-09-01

    We review recent advances in the application of laser-induced surface acoustic wave metrology to issues in copper/low-k interconnect development and manufacturing. We illustrate how the metrology technique can be used to measure copper thickness uniformity on a range of features from solid pads to arrays of lines, focusing on specific processing issues in copper electrochemical deposition (ECD) and chemical-mechanical polishing (CMP). In addition, we review recent developments in surface wave metrology for the characterization of low-k dielectric elastic modulus, including the ability to measure within-wafer uniformity of elastic modulus and to characterize porous, anisotropic films.

  10. Saving Water at Los Alamos National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Erickson, Andy

    Los Alamos National Laboratory decreased its water usage by 26 percent in 2014, with about one-third of the reduction attributable to using reclaimed water to cool a supercomputing center. The Laboratory's goal during 2014 was to use only re-purposed water to support the mission at the Strategic Computing Complex. Using reclaimed water from the Sanitary Effluent Reclamation Facility, or SERF, substantially decreased water usage and supported the overall mission. SERF collects industrial wastewater and treats it for reuse. The reclamation facility contributed more than 27 million gallons of re-purposed water to the Laboratory's computing center, a secured supercomputing facility thatmore » supports the Laboratory’s national security mission and is one of the institution’s larger water users. In addition to the strategic water reuse program at SERF, the Laboratory reduced water use in 2014 by focusing conservation efforts on areas that use the most water, upgrading to water-conserving fixtures, and repairing leaks identified in a biennial survey.« less

  11. 1992 Environmental monitoring report, Sandia National Laboratories, Albuquerque, New Mexico

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Culp, T.; Cox, W.; Hwang, H.

    1993-09-01

    This 1992 report contains monitoring data from routine radiological and nonradiological environmental surveillance activities. summaries of significant environmental compliance programs in progress, such as National Environmental Policy Act documentation, environmental permits, envirorunental restoration, and various waste management programs for Sandia National Laboratories in Albuquerque, New Mexico, are included. The maximum offsite dose impact was calculated to be 0.0034 millirem. The total population within a 50-mile radius of Sandia National Laboratories/New Mexico received an estimated collective dose of 0.019 person-rem during 1992 from the laboratories` operations. As in the previous year, the 1992 operations at Sandia National Laboratories/New Mexico had nomore » discernible impact on the general public or on the environment.« less

  12. Internship Opportunities | Argonne National Laboratory

    Science.gov Websites

    Skip to main content Argonne National Laboratory Toggle Navigation Toggle Search Home Learning -class research to tomorrow's STEM problem solvers Home Learning Center Undergraduates Graduates Faculty ) 252-4114 Contact Us Argonne Educational Programs is committed to providing a learning environment that

  13. Idaho National Laboratory Cultural Resource Management Plan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Julie Braun Williams

    As a federal agency, the U.S. Department of Energy has been directed by Congress, the U.S. president, and the American public to provide leadership in the preservation of prehistoric, historic, and other cultural resources on the lands it administers. This mandate to preserve cultural resources in a spirit of stewardship for the future is outlined in various federal preservation laws, regulations, and guidelines such as the National Historic Preservation Act, the Archaeological Resources Protection Act, and the National Environmental Policy Act. The purpose of this Cultural Resource Management Plan is to describe how the Department of Energy, Idaho Operations Officemore » will meet these responsibilities at Idaho National Laboratory in southeastern Idaho. The Idaho National Laboratory is home to a wide variety of important cultural resources representing at least 13,500 years of human occupation in the southeastern Idaho area. These resources are nonrenewable, bear valuable physical and intangible legacies, and yield important information about the past, present, and perhaps the future. There are special challenges associated with balancing the preservation of these sites with the management and ongoing operation of an active scientific laboratory. The Department of Energy, Idaho Operations Office is committed to a cultural resource management program that accepts these challenges in a manner reflecting both the spirit and intent of the legislative mandates. This document is designed for multiple uses and is intended to be flexible and responsive to future changes in law or mission. Document flexibility and responsiveness will be assured through regular reviews and as-needed updates. Document content includes summaries of Laboratory cultural resource philosophy and overall Department of Energy policy; brief contextual overviews of Laboratory missions, environment, and cultural history; and an overview of cultural resource management practices. A series of

  14. ORNL (Oak Ridge National Laboratory) 89

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, T.D.; Appleton, B.R.; Jefferson, J.W.

    This is the inaugural issues of an annual publication about the Oak Ridge National Laboratory. Here you will find a brief overview of ORNL, a sampling of our recent research achievements, and a glimpse of the directions we want to take over the next 15 years. A major purpose of ornl 89 is to provide the staff with a sketch of the character and dynamics of the Laboratory.

  15. Flexible resources for quantum metrology

    NASA Astrophysics Data System (ADS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  16. Visitor's Guide | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research headquarters are located at the Advanced Technology and Research Facility (ATRF), located at 8560 Progress Drive, Frederick Maryland. Additional offices and laboratories are locatedon the NC

  17. Los Alamos National Laboratory Prepares for Fire Season

    ScienceCinema

    L’Esperance, Manny

    2018-01-16

    Through the establishment of a Wildland Fire Program Office, and the Interagency Fire Base located on Laboratory property, Los Alamos National Laboratory is continuing and improving a program to prepare for wildland fire.

  18. Los Alamos National Laboratory Prepares for Fire Season

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    L’Esperance, Manny

    Through the establishment of a Wildland Fire Program Office, and the Interagency Fire Base located on Laboratory property, Los Alamos National Laboratory is continuing and improving a program to prepare for wildland fire.

  19. Metrological Reliability of Medical Devices

    NASA Astrophysics Data System (ADS)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  20. Supplement analysis for continued operation of Lawrence Livermore National Laboratory and Sandia National Laboratories, Livermore. Volume 2: Comment response document

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1999-03-01

    The US Department of Energy (DOE), prepared a draft Supplement Analysis (SA) for Continued Operation of Lawrence Livermore National Laboratory (LLNL) and Sandia National Laboratories, Livermore (SNL-L), in accordance with DOE`s requirements for implementation of the National Environmental Policy Act of 1969 (NEPA) (10 Code of Federal Regulations [CFR] Part 1021.314). It considers whether the Final Environmental Impact Statement and Environmental Impact Report for Continued Operation of Lawrence Livermore National Laboratory and Sandia National Laboratories, Livermore (1992 EIS/EIR) should be supplement3ed, whether a new environmental impact statement (EIS) should be prepared, or no further NEPA documentation is required. The SAmore » examines the current project and program plans and proposals for LLNL and SNL-L, operations to identify new or modified projects or operations or new information for the period from 1998 to 2002 that was not considered in the 1992 EIS/EIR. When such changes, modifications, and information are identified, they are examined to determine whether they could be considered substantial or significant in reference to the 1992 proposed action and the 1993 Record of Decision (ROD). DOE released the draft SA to the public to obtain stakeholder comments and to consider those comments in the preparation of the final SA. DOE distributed copies of the draft SA to those who were known to have an interest in LLNL or SNL-L activities in addition to those who requested a copy. In response to comments received, DOE prepared this Comment Response Document.« less

  1. Annual Report on the State of the DOE National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    2017-01-01

    This first Annual Report to Congress on the State of the DOE National Laboratories provides a comprehensive overview of the Lab system, covering S&T programs, management and strategic planning. The Department committed to prepare this report in response to recommendations from the Congressionally mandated Commission to Review the Effectiveness of the National Energy Laboratories (CRENEL) that the Department should better communicate the value that the Laboratories provide to the Nation. We expect that future annual reports will be much more compact, building on the extensive description of the Laboratories and of the governance structures that are part of this firstmore » report.« less

  2. The Joint Committee for Traceability in Laboratory Medicine (JCTLM) - its history and operation.

    PubMed

    Jones, Graham R D; Jackson, Craig

    2016-01-30

    The Joint Committee for Traceability in Laboratory Medicine (JCTLM) was formed to bring together the sciences of metrology, laboratory medicine and laboratory quality management. The aim of this collaboration is to support worldwide comparability and equivalence of measurement results in clinical laboratories for the purpose of improving healthcare. The JCTLM has its origins in the activities of international metrology treaty organizations, professional societies and federations devoted to improving measurement quality in physical, chemical and medical sciences. The three founding organizations, the International Committee for Weights and Measures (CIPM), the International Federation of Clinical Chemistry and Laboratory Medicine (IFCC) and the International Laboratory Accreditation Cooperation (ILAC) are the leaders of this activity. The main service of the JCTLM is a web-based database with a list of reference materials, reference methods and reference measurement services meeting appropriate international standards. This database allows manufacturers to select references for assay traceability and provides support for suppliers of these services. As of mid 2015 the database lists 295 reference materials for 162 analytes, 170 reference measurement procedures for 79 analytes and 130 reference measurement services for 39 analytes. There remains a need for the development and implementation of metrological traceability in many areas of laboratory medicine and the JCTLM will continue to promote these activities into the future. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Vacuum Technology Considerations For Mass Metrology

    PubMed Central

    Abbott, Patrick J.; Jabour, Zeina J.

    2011-01-01

    Vacuum weighing of mass artifacts eliminates the necessity of air buoyancy correction and its contribution to the measurement uncertainty. Vacuum weighing is also an important process in the experiments currently underway for the redefinition of the SI mass unit, the kilogram. Creating the optimum vacuum environment for mass metrology requires careful design and selection of construction materials, plumbing components, pumping, and pressure gauging technologies. We review the vacuum technology1 required for mass metrology and suggest procedures and hardware for successful and reproducible operation. PMID:26989593

  4. Reducing the overlay metrology sensitivity to perturbations of the measurement stack

    NASA Astrophysics Data System (ADS)

    Zhou, Yue; Park, DeNeil; Gutjahr, Karsten; Gottipati, Abhishek; Vuong, Tam; Bae, Sung Yong; Stokes, Nicholas; Jiang, Aiqin; Hsu, Po Ya; O'Mahony, Mark; Donini, Andrea; Visser, Bart; de Ruiter, Chris; Grzela, Grzegorz; van der Laan, Hans; Jak, Martin; Izikson, Pavel; Morgan, Stephen

    2017-03-01

    Overlay metrology setup today faces a continuously changing landscape of process steps. During Diffraction Based Overlay (DBO) metrology setup, many different metrology target designs are evaluated in order to cover the full process window. The standard method for overlay metrology setup consists of single-wafer optimization in which the performance of all available metrology targets is evaluated. Without the availability of external reference data or multiwafer measurements it is hard to predict the metrology accuracy and robustness against process variations which naturally occur from wafer-to-wafer and lot-to-lot. In this paper, the capabilities of the Holistic Metrology Qualification (HMQ) setup flow are outlined, in particular with respect to overlay metrology accuracy and process robustness. The significance of robustness and its impact on overlay measurements is discussed using multiple examples. Measurement differences caused by slight stack variations across the target area, called grating imbalance, are shown to cause significant errors in the overlay calculation in case the recipe and target have not been selected properly. To this point, an overlay sensitivity check on perturbations of the measurement stack is presented for improvement of the overlay metrology setup flow. An extensive analysis on Key Performance Indicators (KPIs) from HMQ recipe optimization is performed on µDBO measurements of product wafers. The key parameters describing the sensitivity to perturbations of the measurement stack are based on an intra-target analysis. Using advanced image analysis, which is only possible for image plane detection of μDBO instead of pupil plane detection of DBO, the process robustness performance of a recipe can be determined. Intra-target analysis can be applied for a wide range of applications, independent of layers and devices.

  5. Integration of mask and silicon metrology in DFM

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  6. Frederick National Laboratory and Georgetown University Launch Research and Education Collaboration | Frederick National Laboratory for Cancer Research

    Cancer.gov

    FREDERICK, Md. -- A new collaboration established between Georgetown University and the Frederick National Laboratory for Cancer Research aims to expand both institutions’ research and training missions in the biomedical sciences. Representatives f

  7. Frederick National Laboratory Rallies to Meet Demand for Zika Vaccine | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research is producing another round of Zika vaccine for ongoing studies to determine the best delivery method and dosage. This will lay the groundwork for additional tests to see if the vaccine prevents i

  8. web-based interactive data processing: application to stable isotope metrology.

    PubMed

    Verkouteren, R M; Lee, J N

    2001-08-01

    To address a fundamental need in stable isotope metrology, the National Institute of Standards and Technology (NIST) has established a web-based interactive data-processing system accessible through a common gateway interface (CGI) program on the internet site http://www. nist.gov/widps-co2. This is the first application of a web-based tool that improves the measurement traceability afforded by a series of NIST standard materials. Specifically, this tool promotes the proper usage of isotope reference materials (RMs) and improves the quality of reported data from extensive measurement networks. Through the International Atomic Energy Agency (IAEA), we have defined standard procedures for stable isotope measurement and data-processing, and have determined and applied consistent reference values for selected NIST and IAEA isotope RMs. Measurement data of samples and RMs are entered into specified fields on the web-based form. These data are submitted through the CGI program on a NIST Web server, where appropriate calculations are performed and results returned to the client. Several international laboratories have independently verified the accuracy of the procedures and algorithm for measurements of naturally occurring carbon-13 and oxygen-18 abundances and slightly enriched compositions up to approximately 150% relative to natural abundances. To conserve the use of the NIST RMs, users may determine value assignments for a secondary standard to be used in routine analysis. Users may also wish to validate proprietary algorithms embedded in their laboratory instrumentation, or specify the values of fundamental variables that are usually fixed in reduction algorithms to see the effect on the calculations. The results returned from the web-based tool are limited in quality only by the measurements themselves, and further value may be realized through the normalization function. When combined with stringent measurement protocols, two- to threefold improvements have been

  9. Technology Innovation at the National Renewable Energy Laboratory (Text

    Science.gov Websites

    market, new processes out in the fields, and to make an impact." A photo montage of six different Version) | NREL Technology Innovation at the National Renewable Energy Laboratory (Text Version ) Technology Innovation at the National Renewable Energy Laboratory (Text Version) This is the text version for

  10. Recent progress in high pressure metrology in Europe

    NASA Astrophysics Data System (ADS)

    Sabuga, Wladimir; Pražák, Dominik; Rabault, Thierry

    2014-08-01

    Five European national metrology institutes in collaboration with a university, a research institute and five industrial companies are working on a joint research project within a framework of the European Metrology Research Programme aimed at development of 1.6 GPa primary and 1.5 GPa transfer pressure standards. Two primary pressure standards were realised as pressure-measuring multipliers, each consisting of a low pressure and a high pressure (HP) piston-cylinder assembly (PCA). A special design of the HP PCAs was developed in which a tungsten carbide cylinder is supported by two thermally shrunk steel sleeves and, additionally, by jacket pressure applied to the outside of the outer sleeve. Stress-strain finite element analysis (FEA) was performed to predict behaviour of the multipliers and a pressure generation system. With FEA, the pressure distortion coefficient was determined, taking into account irregularities of the piston-cylinder gap. Transfer pressure standards up to 1.5 GPa are developed on the basis of modern 1.5 GPa pressure transducers. This project shall solve a discrepancy between the growing needs of the industry demanding precise traceable calibrations of the high pressure transducers and the absence of adequate primary standards for pressures higher than 1 GPa in the European Union today.

  11. Sandia National Laboratories: Fabrication, Testing and Validation

    Science.gov Websites

    ; Technology Defense Systems & Assessments About Defense Systems & Assessments Program Areas safe, secure, reliable, and can fully support the Nation's deterrence policy. Employing only the most support of this mission, Sandia National Laboratories has a significant role in advancing the "state

  12. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    NASA Astrophysics Data System (ADS)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  13. Effect of metrology time delay on overlay APC

    NASA Astrophysics Data System (ADS)

    Carlson, Alan; DiBiase, Debra

    2002-07-01

    The run-to-run control strategy of lithography APC is primarily composed of a feedback loop as shown in the diagram below. It is known that the insertion of a time delay in a feedback loop can cause degradation in control performance and could even cause a stable system to become unstable, if the time delay becomes sufficiently large. Many proponents of integrated metrology methods have cited the damage caused by metrology time delays as the primary justification for moving from a stand-alone to integrated metrology. While there is little dispute over the qualitative form of this argument, there has been very light published about the quantitative effects under real fab conditions - precisely how much control is lost due to these time delays. Another issue regarding time delays is that the length of these delays is not typically fixed - they vary from lot to lot and in some cases this variance can be large - from one hour on the short side to over 32 hours on the long side. Concern has been expressed that the variability in metrology time delays can cause undesirable dynamics in feedback loops that make it difficult to optimize feedback filters and gains and at worst could drive a system unstable. By using data from numerous fabs, spanning many sizes and styles of operation, we have conducted a quantitative study of the time delay effect on overlay run- to-run control. Our analysis resulted in the following conclusions: (1) There is a significant and material relationship between metrology time delay and overlay control under a variety of real world production conditions. (2) The run-to-run controller can be configured to minimize sensitivity to time delay variations. (3) The value of moving to integrated metrology can be quantified.

  14. Developments in optical modeling methods for metrology

    NASA Astrophysics Data System (ADS)

    Davidson, Mark P.

    1999-06-01

    Despite the fact that in recent years the scanning electron microscope has come to dominate the linewidth measurement application for wafer manufacturing, there are still many applications for optical metrology and alignment. These include mask metrology, stepper alignment, and overlay metrology. Most advanced non-optical lithographic technologies are also considering using topics for alignment. In addition, there have been a number of in-situ technologies proposed which use optical measurements to control one aspect or another of the semiconductor process. So optics is definitely not dying out in the semiconductor industry. In this paper a description of recent advances in optical metrology and alignment modeling is presented. The theory of high numerical aperture image simulation for partially coherent illumination is discussed. The implications of telecentric optics on the image simulation is also presented. Reciprocity tests are proposed as an important measure of numerical accuracy. Diffraction efficiencies for chrome gratings on reticles are one good way to test Kirchoff's approximation as compared to rigorous calculations. We find significant differences between the predictions of Kirchoff's approximation and rigorous methods. The methods for simulating brightfield, confocal, and coherence probe microscope imags are outlined, as are methods for describing aberrations such as coma, spherical aberration, and illumination aperture decentering.

  15. Geometric errors in 3D optical metrology systems

    NASA Astrophysics Data System (ADS)

    Harding, Kevin; Nafis, Chris

    2008-08-01

    The field of 3D optical metrology has seen significant growth in the commercial market in recent years. The methods of using structured light to obtain 3D range data is well documented in the literature, and continues to be an area of development in universities. However, the step between getting 3D data, and getting geometrically correct 3D data that can be used for metrology is not nearly as well developed. Mechanical metrology systems such as CMMs have long established standard means of verifying the geometric accuracies of their systems. Both local and volumentric measurments are characterized on such system using tooling balls, grid plates, and ball bars. This paper will explore the tools needed to characterize and calibrate an optical metrology system, and discuss the nature of the geometric errors often found in such systems, and suggest what may be a viable standard method of doing characterization of 3D optical systems. Finally, we will present a tradeoff analysis of ways to correct geometric errors in an optical systems considering what can be gained by hardware methods versus software corrections.

  16. The International Space Station: A National Laboratory

    NASA Technical Reports Server (NTRS)

    Giblin, Timothy W.

    2012-01-01

    After more than a decade of assembly missions and the end of the space shuttle program, the International Space Station (ISS) has reached assembly completion. With other visiting spacecraft now docking with the ISS on a regular basis, the orbiting outpost now serves as a National Laboratory to scientists back on Earth. The ISS has the ability to strengthen relationships between NASA, other Federal entities, higher educational institutions, and the private sector in the pursuit of national priorities for the advancement of science, technology, engineering, and mathematics. The ISS National Laboratory also opens new paths for the exploration and economic development of space. In this presentation we will explore the operation of the ISS and the realm of scientific research onboard that includes: (1) Human Research, (2) Biology & Biotechnology, (3) Physical & Material Sciences, (4) Technology, and (5) Earth & Space Science.

  17. Critical Infrastructure Protection- Los Alamos National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bofman, Ryan K.

    Los Alamos National Laboratory (LANL) has been a key facet of Critical National Infrastructure since the nuclear bombing of Hiroshima exposed the nature of the Laboratory’s work in 1945. Common knowledge of the nature of sensitive information contained here presents a necessity to protect this critical infrastructure as a matter of national security. This protection occurs in multiple forms beginning with physical security, followed by cybersecurity, safeguarding of classified information, and concluded by the missions of the National Nuclear Security Administration.

  18. Global Impact | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Through its direct support of clinical research, Frederick National Laboratory activities are not limited to national programs. The labis actively involved in more than 400 domestic and international studies related to cancer; influenza, HIV, E

  19. Sandia National Laboratories focus issue: introduction.

    PubMed

    Boye, Robert

    2014-08-20

    For more than six decades, Sandia has provided the critical science and technology to address the nation's most challenging issues. Our original nuclear weapons mission has been complemented with work in defense systems, energy and climate, as well as international and homeland security. Our vision is to be a premier science and engineering laboratory for technology solutions to the most challenging problems that threaten peace and freedom for our nation and the globe.

  20. Validation of Radiometric Standards for the Laboratory Calibration of Reflected-Solar Earth Observing Satellite Instruments

    NASA Technical Reports Server (NTRS)

    Butler, James J.; Johnson, B. Carol; Rice, Joseph P.; Brown, Steven W.; Barnes, Robert A.

    2007-01-01

    Historically, the traceability of the laboratory calibration of Earth-observing satellite instruments to a primary radiometric reference scale (SI units) is the responsibility of each instrument builder. For the NASA Earth Observing System (EOS), a program has been developed using laboratory transfer radiometers, each with its own traceability to the primary radiance scale of a national metrology laboratory, to independently validate the radiances assigned to the laboratory sources of the instrument builders. The EOS Project Science Office also developed a validation program for the measurement of onboard diffuse reflecting plaques, which are also used as radiometric standards for Earth-observing satellite instruments. Summarized results of these validation campaigns, with an emphasis on the current state-of-the-art uncertainties in laboratory radiometric standards, will be presented. Future mission uncertainty requirements, and possible enhancements to the EOS validation program to ensure that those uncertainties can be met, will be presented.

  1. Lightweight UAV with on-board photogrammetry and single-frequency GPS positioning for metrology applications

    NASA Astrophysics Data System (ADS)

    Daakir, M.; Pierrot-Deseilligny, M.; Bosser, P.; Pichard, F.; Thom, C.; Rabot, Y.; Martin, O.

    2017-05-01

    This article presents a coupled system consisting of a single-frequency GPS receiver and a light photogrammetric quality camera embedded in an Unmanned Aerial Vehicle (UAV). The aim is to produce high quality data that can be used in metrology applications. The issue of Integrated Sensor Orientation (ISO) of camera poses using only GPS measurements is presented and discussed. The accuracy reached by our system based on sensors developed at the French Mapping Agency (IGN) Opto-Electronics, Instrumentation and Metrology Laboratory (LOEMI) is qualified. These sensors are specially designed for close-range aerial image acquisition with a UAV. Lever-arm calibration and time synchronization are explained and performed to reach maximum accuracy. All processing steps are detailed from data acquisition to quality control of final products. We show that an accuracy of a few centimeters can be reached with this system which uses low-cost UAV and GPS module coupled with the IGN-LOEMI home-made camera.

  2. Advanced metrology by offline SEM data processing

    NASA Astrophysics Data System (ADS)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  3. Ensuring Food Integrity by Metrology and FAIR Data Principles

    PubMed Central

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F. X.; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C.; Presser, Karl; Zoani, Claudia

    2018-01-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue) and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases. PMID:29872651

  4. Ensuring Food Integrity by Metrology and FAIR Data Principles

    NASA Astrophysics Data System (ADS)

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F. X.; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C.; Presser, Karl; Zoani, Claudia

    2018-05-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour and oyster tissue) and establishing a system how to compile, process and store the generated data and how to exchange, compare them and make them accessible in data bases.

  5. Ensuring Food Integrity by Metrology and FAIR Data Principles.

    PubMed

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F X; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C; Presser, Karl; Zoani, Claudia

    2018-01-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its "Early Phase" as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue) and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  6. Metrological digital audio reconstruction

    DOEpatents

    Fadeyev,; Vitaliy, Haber [Berkeley, CA; Carl, [Berkeley, CA

    2004-02-19

    Audio information stored in the undulations of grooves in a medium such as a phonograph record may be reconstructed, with little or no contact, by measuring the groove shape using precision metrology methods coupled with digital image processing and numerical analysis. The effects of damage, wear, and contamination may be compensated, in many cases, through image processing and analysis methods. The speed and data handling capacity of available computing hardware make this approach practical. Two examples used a general purpose optical metrology system to study a 50 year old 78 r.p.m. phonograph record and a commercial confocal scanning probe to study a 1920's celluloid Edison cylinder. Comparisons are presented with stylus playback of the samples and with a digitally re-mastered version of an original magnetic recording. There is also a more extensive implementation of this approach, with dedicated hardware and software.

  7. IBBR and Frederick National Laboratory Collaborate to Study Vaccine-Boosting Compounds | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory and the University of Maryland’s Institute for Bioscience and Biotechnology Research (IBBR) will work under a formal collaboration to evaluate the effectiveness of new compounds that might be used to enhance the im

  8. Frederick National Laboratory Scientists to Present Advanced Technologies in Cancer Research | Frederick National Laboratory for Cancer Research

    Cancer.gov

    FREDERICK, Md. -- Hundreds of science and business professionals are expected to attend the second annual Technology Showcase at the Frederick National Laboratory for Cancer Research, scheduled for June 13.  The event will feature technologies bei

  9. Hybrid enabled thin film metrology using XPS and optical

    NASA Astrophysics Data System (ADS)

    Vaid, Alok; Iddawela, Givantha; Mahendrakar, Sridhar; Lenahan, Michael; Hossain, Mainul; Timoney, Padraig; Bello, Abner F.; Bozdog, Cornel; Pois, Heath; Lee, Wei Ti; Klare, Mark; Kwan, Michael; Kang, Byung Cheol; Isbester, Paul; Sendelbach, Matthew; Yellai, Naren; Dasari, Prasad; Larson, Tom

    2016-03-01

    Complexity of process steps integration and material systems for next-generation technology nodes is reaching unprecedented levels, the appetite for higher sampling rates is on the rise, while the process window continues to shrink. Current thickness metrology specifications reach as low as 0.1A for total error budget - breathing new life into an old paradigm with lower visibility for past few metrology nodes: accuracy. Furthermore, for advance nodes there is growing demand to measure film thickness and composition on devices/product instead of surrogate planar simpler pads. Here we extend our earlier work in Hybrid Metrology to the combination of X-Ray based reference technologies (high performance) with optical high volume manufacturing (HVM) workhorse metrology (high throughput). Our stated goal is: put more "eyes" on the wafer (higher sampling) and enable move to films on pattern structure (control what matters). Examples of 1X front-end applications are used to setup and validate the benefits.

  10. Digital terrain modeling and industrial surface metrology: Converging realms

    USGS Publications Warehouse

    Pike, R.J.

    2001-01-01

    Digital terrain modeling has a micro-and nanoscale counterpart in surface metrology, the numerical characterization of industrial surfaces. Instrumentation in semiconductor manufacturing and other high-technology fields can now contour surface irregularities down to the atomic scale. Surface metrology has been revolutionized by its ability to manipulate square-grid height matrices that are analogous to the digital elevation models (DEMs) used in physical geography. Because the shaping of industrial surfaces is a spatial process, the same concepts of analytical cartography that represent ground-surface form in geography evolved independently in metrology: The surface topography of manufactured components, exemplified here by automobile-engine cylinders, is routinely modeled by variogram analysis, relief shading, and most other techniques of parameterization and visualization familiar to geography. This article introduces industrial surface-metrology, examines the field in the context of terrain modeling and geomorphology and notes their similarities and differences, and raises theoretical issues to be addressed in progressing toward a unified practice of surface morphometry.

  11. Air temperature sensors: dependence of radiative errors on sensor diameter in precision metrology and meteorology

    NASA Astrophysics Data System (ADS)

    de Podesta, Michael; Bell, Stephanie; Underwood, Robin

    2018-04-01

    In both meteorological and metrological applications, it is well known that air temperature sensors are susceptible to radiative errors. However, it is not widely known that the radiative error measured by an air temperature sensor in flowing air depends upon the sensor diameter, with smaller sensors reporting values closer to true air temperature. This is not a transient effect related to sensor heat capacity, but a fluid-dynamical effect arising from heat and mass flow in cylindrical geometries. This result has been known historically and is in meteorology text books. However, its significance does not appear to be widely appreciated and, as a consequence, air temperature can be—and probably is being—widely mis-estimated. In this paper, we first review prior descriptions of the ‘sensor size’ effect from the metrological and meteorological literature. We develop a heat transfer model to describe the process for cylindrical sensors, and evaluate the predicted temperature error for a range of sensor sizes and air speeds. We compare these predictions with published predictions and measurements. We report measurements demonstrating this effect in two laboratories at NPL in which the air flow and temperature are exceptionally closely controlled. The results are consistent with the heat-transfer model, and show that the air temperature error is proportional to the square root of the sensor diameter and that, even under good laboratory conditions, it can exceed 0.1 °C for a 6 mm diameter sensor. We then consider the implications of this result. In metrological applications, errors of the order of 0.1 °C are significant, representing limiting uncertainties in dimensional and mass measurements. In meteorological applications, radiative errors can easily be much larger. But in both cases, an understanding of the diameter dependence allows assessment and correction of the radiative error using a multi-sensor technique.

  12. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    NASA Astrophysics Data System (ADS)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  13. Idaho National Laboratory Cultural Resource Management Plan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lowrey, Diana Lee

    As a federal agency, the U.S. Department of Energy has been directed by Congress, the U.S. president, and the American public to provide leadership in the preservation of prehistoric, historic, and other cultural resources on the lands it administers. This mandate to preserve cultural resources in a spirit of stewardship for the future is outlined in various federal preservation laws, regulations, and guidelines such as the National Historic Preservation Act, the Archaeological Resources Protection Act, and the National Environmental Policy Act. The purpose of this Cultural Resource Management Plan is to describe how the Department of Energy, Idaho Operations Officemore » will meet these responsibilities at the Idaho National Laboratory. This Laboratory, which is located in southeastern Idaho, is home to a wide variety of important cultural resources representing at least 13,500 years of human occupation in the southeastern Idaho area. These resources are nonrenewable; bear valuable physical and intangible legacies; and yield important information about the past, present, and perhaps the future. There are special challenges associated with balancing the preservation of these sites with the management and ongoing operation of an active scientific laboratory. The Department of Energy, Idaho Operations Office is committed to a cultural resource management program that accepts these challenges in a manner reflecting both the spirit and intent of the legislative mandates. This document is designed for multiple uses and is intended to be flexible and responsive to future changes in law or mission. Document flexibility and responsiveness will be assured through annual reviews and as-needed updates. Document content includes summaries of Laboratory cultural resource philosophy and overall Department of Energy policy; brief contextual overviews of Laboratory missions, environment, and cultural history; and an overview of cultural resource management practices. A series of

  14. Idaho National Laboratory Cultural Resource Management Plan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lowrey, Diana Lee

    2009-02-01

    As a federal agency, the U.S. Department of Energy has been directed by Congress, the U.S. president, and the American public to provide leadership in the preservation of prehistoric, historic, and other cultural resources on the lands it administers. This mandate to preserve cultural resources in a spirit of stewardship for the future is outlined in various federal preservation laws, regulations, and guidelines such as the National Historic Preservation Act, the Archaeological Resources Protection Act, and the National Environmental Policy Act. The purpose of this Cultural Resource Management Plan is to describe how the Department of Energy, Idaho Operations Officemore » will meet these responsibilities at the Idaho National Laboratory. This Laboratory, which is located in southeastern Idaho, is home to a wide variety of important cultural resources representing at least 13,500 years of human occupation in the southeastern Idaho area. These resources are nonrenewable; bear valuable physical and intangible legacies; and yield important information about the past, present, and perhaps the future. There are special challenges associated with balancing the preservation of these sites with the management and ongoing operation of an active scientific laboratory. The Department of Energy, Idaho Operations Office is committed to a cultural resource management program that accepts these challenges in a manner reflecting both the spirit and intent of the legislative mandates. This document is designed for multiple uses and is intended to be flexible and responsive to future changes in law or mission. Document flexibility and responsiveness will be assured through annual reviews and as-needed updates. Document content includes summaries of Laboratory cultural resource philosophy and overall Department of Energy policy; brief contextual overviews of Laboratory missions, environment, and cultural history; and an overview of cultural resource management practices. A series of

  15. A focal plane metrology system and PSF centroiding experiment

    NASA Astrophysics Data System (ADS)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  16. Idaho National Laboratory Mission Accomplishments, Fiscal Year 2015

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allen, Todd Randall; Wright, Virginia Latta

    A summary of mission accomplishments for the research organizations at the Idaho National Laboratory for FY 2015. Areas include Nuclear Energy, National and Homeland Security, Science and Technology Addressing Broad DOE Missions; Collaborations; and Stewardship and Operation of Research Facilities.

  17. IT Security Standards and Legal Metrology - Transfer and Validation

    NASA Astrophysics Data System (ADS)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  18. Spectroscopic metrology for isotope composition measurements and transfer standards

    NASA Astrophysics Data System (ADS)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  19. Oak Ridge National Laboratory Institutional Plan, FY 1995--FY 2000

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Not Available

    1994-11-01

    This report discusses the institutional plan for Oak Ridge National Laboratory for the next five years (1995-2000). Included in this report are the: laboratory director`s statement; laboratory mission, vision, and core competencies; laboratory plan; major laboratory initiatives; scientific and technical programs; critical success factors; summaries of other plans; and resource projections.

  20. Advanced Mathematical Tools in Metrology III

    NASA Astrophysics Data System (ADS)

    Ciarlini, P.

    The Table of Contents for the book is as follows: * Foreword * Invited Papers * The ISO Guide to the Expression of Uncertainty in Measurement: A Bridge between Statistics and Metrology * Bootstrap Algorithms and Applications * The TTRSs: 13 Oriented Constraints for Dimensioning, Tolerancing & Inspection * Graded Reference Data Sets and Performance Profiles for Testing Software Used in Metrology * Uncertainty in Chemical Measurement * Mathematical Methods for Data Analysis in Medical Applications * High-Dimensional Empirical Linear Prediction * Wavelet Methods in Signal Processing * Software Problems in Calibration Services: A Case Study * Robust Alternatives to Least Squares * Gaining Information from Biomagnetic Measurements * Full Papers * Increase of Information in the Course of Measurement * A Framework for Model Validation and Software Testing in Regression * Certification of Algorithms for Determination of Signal Extreme Values during Measurement * A Method for Evaluating Trends in Ozone-Concentration Data and Its Application to Data from the UK Rural Ozone Monitoring Network * Identification of Signal Components by Stochastic Modelling in Measurements of Evoked Magnetic Fields from Peripheral Nerves * High Precision 3D-Calibration of Cylindrical Standards * Magnetic Dipole Estimations for MCG-Data * Transfer Functions of Discrete Spline Filters * An Approximation Method for the Linearization of Tridimensional Metrology Problems * Regularization Algorithms for Image Reconstruction from Projections * Quality of Experimental Data in Hydrodynamic Research * Stochastic Drift Models for the Determination of Calibration Intervals * Short Communications * Projection Method for Lidar Measurement * Photon Flux Measurements by Regularised Solution of Integral Equations * Correct Solutions of Fit Problems in Different Experimental Situations * An Algorithm for the Nonlinear TLS Problem in Polynomial Fitting * Designing Axially Symmetric Electromechanical Systems of

  1. Pacific Northwest National Laboratory institutional plan FY 1997--2002

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1996-10-01

    Pacific Northwest National Laboratory`s core mission is to deliver environmental science and technology in the service of the nation and humanity. Through basic research fundamental knowledge is created of natural, engineered, and social systems that is the basis for both effective environmental technology and sound public policy. Legacy environmental problems are solved by delivering technologies that remedy existing environmental hazards, today`s environmental needs are addressed with technologies that prevent pollution and minimize waste, and the technical foundation is being laid for tomorrow`s inherently clean energy and industrial processes. Pacific Northwest National Laboratory also applies its capabilities to meet selected nationalmore » security, energy, and human health needs; strengthen the US economy; and support the education of future scientists and engineers. Brief summaries are given of the various tasks being carried out under these broad categories.« less

  2. The Development of a Deflectometer for Accurate Surface Figure Metrology

    NASA Technical Reports Server (NTRS)

    Gubarev, Mikhail; Eberhardt, Andrew; Ramsey, Brian; Atkins, Carolyn

    2015-01-01

    Marshall Space Flight Center is developing the method of direct fabrication for high resolution full-shell x-ray optics. In this technique the x-ray optics axial profiles are figured and polished using a computer-controlled ZeekoIRP600X polishing machine. Based on the Chandra optics fabrication history about one third of the manufacturing time is spent on moving a mirror between fabrication and metrology sites, reinstallation and alignment with either the metrology or fabrication instruments. Also, the accuracy of the alignment significantly affects the ultimate accuracy of the resulting mirrors. In order to achieve higher convergence rate it is highly desirable to have a metrology technique capable of in situ surface figure measurements of the optics under fabrication, so the overall fabrication costs would be greatly reduced while removing the surface errors due to the re-alignment necessary after each metrology cycle during the fabrication. The goal of this feasibility study is to demonstrate if the Phase Measuring Deflectometry can be applied for in situ metrology of full shell x-ray optics. Examples of the full-shell mirror substrates suitable for the direct fabrication

  3. The USDA Forest Service National Seed Laboratory

    Treesearch

    Robert P. Karrfalt

    2006-01-01

    The USDA Forest Service National Seed Laboratory has provided seed technology services to the forest and conservation seed and nursery industry for more than 50 years. This paper briefly traces the lab’s evolution from a regional facility concerned principally with southern pines to its newest mission as a national facility working with all native U.S. plants and...

  4. 75 FR 82004 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-12-29

    ... Laboratory AGENCY: Department of Energy. ACTION: Notice of open meeting. SUMMARY: This notice announces a meeting of the Environmental Management Site-Specific Advisory Board (EM SSAB), Idaho National Laboratory...--Radioactive Waste Management. Public Participation: The EM SSAB, Idaho National Laboratory, welcomes the...

  5. 78 FR 12747 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-02-25

    ... Laboratory AGENCY: Department of Energy. ACTION: Notice of open meeting. SUMMARY: This notice announces a meeting of the Environmental Management Site-Specific Advisory Board (EM SSAB), Idaho National Laboratory... Management System Public Participation: The EM SSAB, Idaho National Laboratory, welcomes the attendance of...

  6. Internships and Fellowships | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory hasmany exciting opportunities for scientists and biotechnology professionalsthrough numerous post-doctoral and pre-doctoral fellowship positions sponsored by the National Cancer Institute (NCI) at Freder

  7. Charter of the Sandia National Laboratories Sandia Postdoctoral Development (SPD) Association.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McBride, Amber Alane Fisher; Rodgers, Theron; Dong, Wen

    The SNL SPD Association represents all personnel that are classified as Postdoctoral Appointees at Sandia National Laboratories. The purpose of the SNL SPD Association is to address the needs and concerns of Postdoctoral Appointees within Sandia National Laboratories.

  8. Search for general relativistic effects in table-top displacement metrology

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Macdonald, Daniel R.; Diaz, Rosemary T.

    2004-01-01

    As displacement metrology accuracy improves, general relativistic effects will become noticeable. Metrology gauges developed for the Space Interferometry Mission were used to search for locally anisotropic space-time, with a null result at the 10 to the negative tenth power level.

  9. Serving the Nation for Fifty Years: 1952 - 2002 Lawrence Livermore National Laboratory [LLNL], Fifty Years of Accomplishments

    DOE R&D Accomplishments Database

    2002-01-01

    For 50 years, Lawrence Livermore National Laboratory has been making history and making a difference. The outstanding efforts by a dedicated work force have led to many remarkable accomplishments. Creative individuals and interdisciplinary teams at the Laboratory have sought breakthrough advances to strengthen national security and to help meet other enduring national needs. The Laboratory's rich history includes many interwoven stories -- from the first nuclear test failure to accomplishments meeting today's challenges. Many stories are tied to Livermore's national security mission, which has evolved to include ensuring the safety, security, and reliability of the nation's nuclear weapons without conducting nuclear tests and preventing the proliferation and use of weapons of mass destruction. Throughout its history and in its wide range of research activities, Livermore has achieved breakthroughs in applied and basic science, remarkable feats of engineering, and extraordinary advances in experimental and computational capabilities. From the many stories to tell, one has been selected for each year of the Laboratory's history. Together, these stories give a sense of the Laboratory -- its lasting focus on important missions, dedication to scientific and technical excellence, and drive to made the world more secure and a better place to live.

  10. Laser metrology and optic active control system for GAIA

    NASA Astrophysics Data System (ADS)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  11. The International Space Station: A National Science Laboratory

    NASA Technical Reports Server (NTRS)

    Giblin, Timothy W.

    2011-01-01

    After more than a decade of assembly missions and on the heels of the final voyage of Space Shuttle Discovery, the International Space Station (ISS) has reached assembly completion. With visiting spacecraft now docking with the ISS on a regular basis, the Station now serves as a National Laboratory to scientists back on Earth. ISS strengthens relationships among NASA, other Federal entities, higher educational institutions, and the private sector in the pursuit of national priorities for the advancement of science, technology, engineering, and mathematics. In this lecture we will explore the various areas of research onboard ISS to promote this advancement: (1) Human Research, (2) Biology & Biotechnology, (3) Physical & Material Sciences, (4) Technology, and (5) Earth & Space Science. The ISS National Laboratory will also open new paths for the exploration and economic development of space.

  12. Search for general relativistic effects in table-top displacement metrology

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Diaz, Rosemary T.; Macdonald, Daniel R.

    2004-01-01

    As displacement metrology accuracy improves, general relativistic effects will become noticeable. Metrology gauges developed for the Space Interferometry Mission, were used to search for locally anisotropic space-time, with a null result at the 10 to the negative 10th power level.

  13. Metrology of Large Parts. Chapter 5

    NASA Technical Reports Server (NTRS)

    Stahl, H. Philip

    2012-01-01

    As discussed in the first chapter of this book, there are many different methods to measure a part using optical technology. Chapter 2 discussed the use of machine vision to measure macroscopic features such as length and position, which was extended to the use of interferometry as a linear measurement tool in chapter 3, and laser or other trackers to find the relation of key points on large parts in chapter 4. This chapter looks at measuring large parts to optical tolerances in the sub-micron range using interferometry, ranging, and optical tools discussed in the previous chapters. The purpose of this chapter is not to discuss specific metrology tools (such as interferometers or gauges), but to describe a systems engineering approach to testing large parts. Issues such as material warpage and temperature drifts that may be insignificant when measuring a part to micron levels under a microscope, as will be discussed in later chapters, can prove to be very important when making the same measurement over a larger part. In this chapter, we will define a set of guiding principles for successfully overcoming these challenges and illustrate the application of these principles with real world examples. While these examples are drawn from specific large optical testing applications, they inform the problems associated with testing any large part to optical tolerances. Manufacturing today relies on micrometer level part performance. Fields such as energy and transportation are demanding higher tolerances to provide increased efficiencies and fuel savings. By looking at how the optics industry approaches sub-micrometer metrology, one can gain a better understanding of the metrology challenges for any larger part specified to micrometer tolerances. Testing large parts, whether optical components or precision structures, to optical tolerances is just like testing small parts, only harder. Identical with what one does for small parts, a metrologist tests large parts and optics

  14. Coherent double-color interference microscope for traceable optical surface metrology

    NASA Astrophysics Data System (ADS)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  15. 75 Breakthroughs by the U.S. Department of Energy's National Laboratories; Breakthroughs 2017

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    Born at a time when the world faced a dire threat, the National Laboratory System protects America through science and technology. For more than 75 years, the Department of Energy’s national laboratories have solved important problems in science, energy and national security. Partnering with industry and academia, the laboratories also drive innovation to advance economic competitiveness and ensure our nation’s future prosperity. Over the years, America's National Laboratories have been changing and improving the lives of millions of people and this expertise continues to keep our nation at the forefront of science and technology in a rapidly changing world. Thismore » network of Department of Energy Laboratories has grown into 17 facilities across the country. As this list of breakthroughs attests, Laboratory discoveries have spawned industries, saved lives, generated new products, fired the imagination and helped to reveal the secrets of the universe.« less

  16. Pacific Northwest National Laboratory institutional plan: FY 1996--2001

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1996-01-01

    This report contains the operation and direction plan for the Pacific Northwest National Laboratory of the US Department of Energy. The topics of the plan include the laboratory mission and core competencies, the laboratory strategic plan; the laboratory initiatives in molecular sciences, microbial biotechnology, global environmental change, complex modeling of physical systems, advanced processing technology, energy technology development, and medical technologies and systems; core business areas, critical success factors, and resource projections.

  17. Forensic Metrology: Its Importance and Evolution in the United States

    NASA Astrophysics Data System (ADS)

    Vosk, JD Ted

    2016-11-01

    Forensic measurements play a significant role in the U.S. criminal justice system. Guilt or innocence, or the severity of a sentence, may depend upon the results of such measurements. Until recently, however, forensic disciplines were largely unaware of the field of metrology. Accordingly, proper measurement practices were often, and widely, neglected. These include failure to adopt proper calibration techniques, establish the traceability of results and determine measurement uncertainty. These failures undermine confidence in verdicts based upon forensic measurements. Over the past decade, though, the forensic sciences have been introduced to metrology and its principles leading to more reliable measurement practices. The impetus for this change was driven by many forces. Pressure came initially from criminal defense lawyers challenging metrologically unsound practices and results relied upon by government prosecutions. Litigation in the State of Washington led this movement spurring action by attorneys in other jurisdictions and eventually reform in the measurement practices of forensic labs around the country. Since then, the greater scientific community, other forensic scientists and even prosecutors have joined the fight. This paper describes the fight to improve the quality of justice by the application of metrological principles and the evolution of the field of forensic metrology.

  18. Adhesive Bonding for Optical Metrology Systems in Space Applications

    NASA Astrophysics Data System (ADS)

    Gohlke, Martin; Schuldt, Thilo; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis; Braxmaier, Claus

    2015-05-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10-15 range for longer integration times. The EM setup was thermally cycled and vibration tested.

  19. Freeform metrology using subaperture stitching interferometry

    NASA Astrophysics Data System (ADS)

    Supranowitz, Chris; Lormeau, Jean-Pierre; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2016-11-01

    As applications for freeform optics continue to grow, the need for high-precision metrology is becoming more of a necessity. Currently, coordinate measuring machines (CMM) that implement touch probes or optical probes can measure the widest ranges of shapes of freeform optics, but these measurement solutions often lack sufficient lateral resolution and accuracy. Subaperture stitching interferometry (SSI™) extends traditional Fizeau interferometry to provide accurate, high-resolution measurements of flats, spheres, and aspheres, and development is currently on-going to enable measurements of freeform surfaces. We will present recent freeform metrology results, including repeatability and cross-test data. We will also present MRF® polishing results where the stitched data was used as the input "hitmap" to the deterministic polishing process.

  20. Safeguards Knowledge Management & Retention at U.S. National Laboratories.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haddal, Risa; Jones, Rebecca; Bersell, Bridget

    In 2017, four U.S. National Laboratories collaborated on behalf of DOE/NNSA to explore the safeguards knowledge retention problem, identify possible approaches, and develop a strategy to address it. The one-year effort consisted of four primary tasks. First, the project sought to identify critical safeguards information at risk of loss. Second, a survey and workshop were conducted to assess nine U.S. National Laboratories' efforts to determine current safeguards knowledge retention practices and challenges, and identify best practices. Third, specific tools were developed to identify and predict critical safeguards knowledge gaps and how best to recruit in order to fill those gaps.more » Finally, based on findings from the first three tasks and research on other organizational approaches to address similar issues, a strategy was developed on potential knowledge retention methods, customized HR policies, and best practices that could be implemented across the National Laboratory Complex.« less

  1. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  2. Location | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research campus is located 50 miles northwest of Washington, D.C., and 50 miles west of Baltimore, Maryland, in Frederick, Maryland. Satellite locations include leased and government facilities extending s

  3. Collaborations | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory has a range of contractual agreement options available which offer flexibility to facilitate the formation of partnerships. The appropriate business mechanism is considered based on the scope and objectives of the pa

  4. Quantum metrology with a transmon qutrit

    NASA Astrophysics Data System (ADS)

    Shlyakhov, A. R.; Zemlyanov, V. V.; Suslov, M. V.; Lebedev, A. V.; Paraoanu, G. S.; Lesovik, G. B.; Blatter, G.

    2018-02-01

    Making use of coherence and entanglement as metrological quantum resources allows us to improve the measurement precision from the shot-noise or quantum limit to the Heisenberg limit. Quantum metrology then relies on the availability of quantum engineered systems that involve controllable quantum degrees of freedom which are sensitive to the measured quantity. Sensors operating in the qubit mode and exploiting their coherence in a phase-sensitive measurement have been shown to approach the Heisenberg scaling in precision. Here, we show that this result can be further improved by operating the quantum sensor in the qudit mode, i.e., by exploiting d rather than two levels. Specifically, we describe the metrological algorithm for using a superconducting transmon device operating in a qutrit mode as a magnetometer. The algorithm is based on the base-3 semiquantum Fourier transformation and enhances the quantum theoretical performance of the sensor by a factor of 2. Even more, the practical gain of our qutrit implementation is found in a reduction of the number of iteration steps of the quantum Fourier transformation by the factor ln(2 )/ln(3 )≈0.63 compared to the qubit mode. We show that a two-tone capacitively coupled radio-frequency signal is sufficient for implementation of the algorithm.

  5. Sandia National Laboratories: About Sandia: Environmental Responsibility:

    Science.gov Websites

    Environmental Management: Sandia Sandia National Laboratories Exceptional service in the Environmental Responsibility Environmental Management System Pollution Prevention History 60 impacts Diversity ; Verification Research Research Foundations Bioscience Computing & Information Science Electromagnetics

  6. National Storage Laboratory: a collaborative research project

    NASA Astrophysics Data System (ADS)

    Coyne, Robert A.; Hulen, Harry; Watson, Richard W.

    1993-01-01

    The grand challenges of science and industry that are driving computing and communications have created corresponding challenges in information storage and retrieval. An industry-led collaborative project has been organized to investigate technology for storage systems that will be the future repositories of national information assets. Industry participants are IBM Federal Systems Company, Ampex Recording Systems Corporation, General Atomics DISCOS Division, IBM ADSTAR, Maximum Strategy Corporation, Network Systems Corporation, and Zitel Corporation. Industry members of the collaborative project are funding their own participation. Lawrence Livermore National Laboratory through its National Energy Research Supercomputer Center (NERSC) will participate in the project as the operational site and provider of applications. The expected result is the creation of a National Storage Laboratory to serve as a prototype and demonstration facility. It is expected that this prototype will represent a significant advance in the technology for distributed storage systems capable of handling gigabyte-class files at gigabit-per-second data rates. Specifically, the collaboration expects to make significant advances in hardware, software, and systems technology in four areas of need, (1) network-attached high performance storage; (2) multiple, dynamic, distributed storage hierarchies; (3) layered access to storage system services; and (4) storage system management.

  7. What We Do | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory is the only U.S. national lab wholly focused on research, technology, and collaboration in the biomedical sciences- working to discover, to innovate, and to improve human health. We accelerate progress against can

  8. Mobile robotics research at Sandia National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morse, W.D.

    Sandia is a National Security Laboratory providing scientific and engineering solutions to meet national needs for both government and industry. As part of this mission, the Intelligent Systems and Robotics Center conducts research and development in robotics and intelligent machine technologies. An overview of Sandia`s mobile robotics research is provided. Recent achievements and future directions in the areas of coordinated mobile manipulation, small smart machines, world modeling, and special application robots are presented.

  9. In-Process Metrology And Control Of Large Optical Grinders

    NASA Astrophysics Data System (ADS)

    Anderson, D. S.; Ketelsen, D.; Kittrell, W. Cary; Kuhn, Wm; Parks, R. E.; Stahl, P.

    1987-01-01

    The advent of rapid figure generation at the University of Arizona has prompted the development of rapid metrology techniques. The success and efficiency of the generating process is highly dependent on timely and accurate measurements to update the feedback loop between machine and optician. We will describe the advantages and problems associated with the in-process metrology and control systems used at the Optical Sciences Center.

  10. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    NASA Astrophysics Data System (ADS)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  11. Mozambique's journey toward accreditation of the National Tuberculosis Reference Laboratory.

    PubMed

    Viegas, Sofia O; Azam, Khalide; Madeira, Carla; Aguiar, Carmen; Dolores, Carolina; Mandlaze, Ana P; Chongo, Patrina; Masamha, Jessina; Cirillo, Daniela M; Jani, Ilesh V; Gudo, Eduardo S

    2017-01-01

    Internationally-accredited laboratories are recognised for their superior test reliability, operational performance, quality management and competence. In a bid to meet international quality standards, the Mozambique National Institute of Health enrolled the National Tuberculosis Reference Laboratory (NTRL) in a continuous quality improvement process towards ISO 15189 accreditation. Here, we describe the road map taken by the NTRL to achieve international accreditation. The NTRL adopted the Strengthening Laboratory Management Toward Accreditation (SLMTA) programme as a strategy to implement a quality management system. After SLMTA, the Mozambique National Institute of Health committed to accelerate the NTRL's process toward accreditation. An action plan was designed to streamline the process. Quality indicators were defined to benchmark progress. Staff were trained to improve performance. Mentorship from an experienced assessor was provided. Fulfilment of accreditation standards was assessed by the Portuguese Accreditation Board. Of the eight laboratories participating in SLMTA, the NTRL was the best-performing laboratory, achieving a 53.6% improvement over the SLMTA baseline conducted in February 2011 to the Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA) assessment in June 2013. During the accreditation assessment in September 2014, 25 minor nonconformities were identified and addressed. In March 2015, the NTRL received Portuguese Accreditation Board recognition of technical competency for fluorescence smear microscopy, and solid and liquid culture. The NTRL is the first laboratory in Mozambique to achieve ISO 15189 accreditation. From our experience, accreditation was made possible by institutional commitment, strong laboratory leadership, staff motivation, adequate infrastructure and a comprehensive action plan.

  12. Metrology: Calibration and measurement processes guidelines

    NASA Technical Reports Server (NTRS)

    Castrup, Howard T.; Eicke, Woodward G.; Hayes, Jerry L.; Mark, Alexander; Martin, Robert E.; Taylor, James L.

    1994-01-01

    The guide is intended as a resource to aid engineers and systems contracts in the design, implementation, and operation of metrology, calibration, and measurement systems, and to assist NASA personnel in the uniform evaluation of such systems supplied or operated by contractors. Methodologies and techniques acceptable in fulfilling metrology quality requirements for NASA programs are outlined. The measurement process is covered from a high level through more detailed discussions of key elements within the process, Emphasis is given to the flowdown of project requirements to measurement system requirements, then through the activities that will provide measurements with defined quality. In addition, innovations and techniques for error analysis, development of statistical measurement process control, optimization of calibration recall systems, and evaluation of measurement uncertainty are presented.

  13. Accessibility | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research campus is making every effort to ensure that the information available on our website is accessible to all. If you use special adaptive equipment to access the web and encounter problems when usin

  14. NATIONAL ENVIRONMENTAL LABORATORY ACCREDITATION PROGRAM (NELAP) SUPPORT

    EPA Science Inventory

    The nation has long suffered from the inefficiencies and inconsistencies of the current multiple environmental laboratory accreditation programs. In the 1970's, EPA set minimum standards for a drinking water certification program. The drinking water program was adopted by the s...

  15. Argonne National Laboratory Annual Report of Laboratory Directed Research and Development program activities FY 2011.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Office of The Director)

    As a national laboratory Argonne concentrates on scientific and technological challenges that can only be addressed through a sustained, interdisciplinary focus at a national scale. Argonne's eight major initiatives, as enumerated in its strategic plan, are Hard X-ray Sciences, Leadership Computing, Materials and Molecular Design and Discovery, Energy Storage, Alternative Energy and Efficiency, Nuclear Energy, Biological and Environmental Systems, and National Security. The purposes of Argonne's Laboratory Directed Research and Development (LDRD) Program are to encourage the development of novel technical concepts, enhance the Laboratory's research and development (R and D) capabilities, and pursue its strategic goals. projects are selectedmore » from proposals for creative and innovative R and D studies that require advance exploration before they are considered to be sufficiently developed to obtain support through normal programmatic channels. Among the aims of the projects supported by the LDRD Program are the following: establishment of engineering proof of principle, assessment of design feasibility for prospective facilities, development of instrumentation or computational methods or systems, and discoveries in fundamental science and exploratory development.« less

  16. A woman like you: Women scientists and engineers at Brookhaven National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benkovitz, Carmen; Bernholc, Nicole; Cohen, Anita

    1991-01-01

    This publication by the women in Science and Engineering introduces career possibilities in science and engineering. It introduces what work and home life are like for women who have already entered these fields. Women at Brookhaven National Laboratory work in a variety of challenging research roles -- from biologist and environmental scientist to safety engineer, from patent lawyer to technician. Brookhaven National Laboratory is a multi-program laboratory which carries out basic and applied research in the physical, biomedical and environmental sciences and in selected energy technologies. The Laboratory is managed by Associated University, Inc., under contract with the US Departmentmore » of Energy. Brookhaven and the other national laboratories, because of their enormous research resources, can play a critical role in a education and training of the workforce.« less

  17. Legal Time of the Republic of Colombia and its international traceability using the Cesium Atomic Clock - Time and Frequency National Standard

    NASA Astrophysics Data System (ADS)

    Hernández Forero, Liz Catherine; Bahamón Cortés, Nelson

    2017-06-01

    Around the world, there are different providers of timestamp (mobile, radio or television operators, satellites of the GPS network, astronomical measurements, etc.), however, the source of the legal time for a country is either the national metrology institute or another designated laboratory. This activity requires a time standard based on an atomic time scale. The International Bureau of Weights and Measures (BIPM) calculates a weighted average of the time kept in more than 60 nations and produces a single international time scale, called Coordinated Universal Time (UTC). This article presents the current time scale that generates Legal Time for the Republic of Colombia produced by the Instituto Nacional de Metrología (INM) using the time and frequency national standard, a cesium atomic oscillator. It also illustrates how important it is for the academic, scientific and industrial communities, as well as the general public, to be synchronized with this time scale, which is traceable to the International System (SI) of units, through international comparisons that are made in real time.

  18. Dimensional nanometrology at the National Physical Laboratory

    NASA Astrophysics Data System (ADS)

    Yacoot, Andrew; Leach, Richard; Hughes, Ben; Giusca, Claudiu; Jones, Christopher; Wilson, Alan

    2008-10-01

    The growth in nanotechnology has led to an increased requirement for traceable dimensional measurements of nanometre-sized objects and micrometre-sized objects with nanometre tolerances. To meet this challenge NPL has developed both purpose built instrumentation and added metrology to commercially available equipment. This paper describes the development and use of a selection of these instruments that include: atomic force microscopy, x-ray interferometry, a low force balance, a micro coordinate measuring machine and an areal surface texture measuring instrument.

  19. Efficiency improvements of offline metrology job creation

    NASA Astrophysics Data System (ADS)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  20. Sandia National Laboratories: Research: Research Foundations: Radiation

    Science.gov Websites

    Effects and High Energy Density Science Sandia National Laboratories Exceptional service in the Engineering Science Geoscience Materials Science Nanodevices & Microsystems Radiation Effects & High Science Geoscience Materials Science Nanodevices and Microsystems Radiation Effects and High Energy

  1. History | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research was established as the Frederick Cancer Research and Development Center in 1972 when about 70 acres and 67 buildings of the U.S. Army were transferred to the U.S. Department of Health and Huma

  2. Sandia National Laboratories analysis code data base

    NASA Astrophysics Data System (ADS)

    Peterson, C. W.

    1994-11-01

    Sandia National Laboratories' mission is to solve important problems in the areas of national defense, energy security, environmental integrity, and industrial technology. The laboratories' strategy for accomplishing this mission is to conduct research to provide an understanding of the important physical phenomena underlying any problem, and then to construct validated computational models of the phenomena which can be used as tools to solve the problem. In the course of implementing this strategy, Sandia's technical staff has produced a wide variety of numerical problem-solving tools which they use regularly in the design, analysis, performance prediction, and optimization of Sandia components, systems, and manufacturing processes. This report provides the relevant technical and accessibility data on the numerical codes used at Sandia, including information on the technical competency or capability area that each code addresses, code 'ownership' and release status, and references describing the physical models and numerical implementation.

  3. 76 FR 17367 - National Voluntary Laboratory Accreditation Program; Operating Procedures

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-03-29

    ... DEPARTMENT OF COMMERCE National Institute of Standards and Technology 15 CFR Part 285 [Docket No: 110125063-1062-02] RIN 0693-AB61 National Voluntary Laboratory Accreditation Program; Operating Procedures AGENCY: National Institute of Standards and Technology (NIST), Commerce. ACTION: Notice of proposed...

  4. NREL and Sandia National Laboratories to Sharpen Wind Farm Turbine Controls

    Science.gov Websites

    | News | NREL NREL and Sandia National Laboratories to Sharpen Wind Farm Turbine Controls NREL and Sandia National Laboratories to Sharpen Wind Farm Turbine Controls April 1, 2016 Researchers at wind turbine modeling. The NREL controls team have been evaluating their control theory in simulations

  5. Investigations of interpolation errors of angle encoders for high precision angle metrology

    NASA Astrophysics Data System (ADS)

    Yandayan, Tanfer; Geckeler, Ralf D.; Just, Andreas; Krause, Michael; Asli Akgoz, S.; Aksulu, Murat; Grubert, Bernd; Watanabe, Tsukasa

    2018-06-01

    Interpolation errors at small angular scales are caused by the subdivision of the angular interval between adjacent grating lines into smaller intervals when radial gratings are used in angle encoders. They are often a major error source in precision angle metrology and better approaches for determining them at low levels of uncertainty are needed. Extensive investigations of interpolation errors of different angle encoders with various interpolators and interpolation schemes were carried out by adapting the shearing method to the calibration of autocollimators with angle encoders. The results of the laboratories with advanced angle metrology capabilities are presented which were acquired by the use of four different high precision angle encoders/interpolators/rotary tables. State of the art uncertainties down to 1 milliarcsec (5 nrad) were achieved for the determination of the interpolation errors using the shearing method which provides simultaneous access to the angle deviations of the autocollimator and of the angle encoder. Compared to the calibration and measurement capabilities (CMC) of the participants for autocollimators, the use of the shearing technique represents a substantial improvement in the uncertainty by a factor of up to 5 in addition to the precise determination of interpolation errors or their residuals (when compensated). A discussion of the results is carried out in conjunction with the equipment used.

  6. DESALINATION AND WATER TREATMENT RESEARCH AT SANDIA NATIONAL LABORATORIES.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rigali, Mark J.; Miller, James E.; Altman, Susan J.

    Water is the backbone of our economy - safe and adequate supplies of water are vital for agriculture, industry, recreation, and human consumption. While our supply of water today is largely safe and adequate, we as a nation face increasing water supply challenges in the form of extended droughts, demand growth due to population increase, more stringent health-based regulation, and competing demands from a variety of users. To meet these challenges in the coming decades, water treatment technologies, including desalination, will contribute substantially to ensuring a safe, sustainable, affordable, and adequate water supply for the United States. This overview documentsmore » Sandia National Laboratories' (SNL, or Sandia) Water Treatment Program which focused on the development and demonstration of advanced water purification technologies as part of the larger Sandia Water Initiative. Projects under the Water Treatment Program include: (1) the development of desalination research roadmaps (2) our efforts to accelerate the commercialization of new desalination and water treatment technologies (known as the 'Jump-Start Program),' (3) long range (high risk, early stage) desalination research (known as the 'Long Range Research Program'), (4) treatment research projects under the Joint Water Reuse & Desalination Task Force, (5) the Arsenic Water Technology Partnership Program, (6) water treatment projects funded under the New Mexico Small Business Administration, (7) water treatment projects for the National Energy Technology Laboratory (NETL) and the National Renewable Energy Laboratory (NREL), (8) Sandia- developed contaminant-selective treatment technologies, and finally (9) current Laboratory Directed Research and Development (LDRD) funded desalination projects.« less

  7. Subaperture metrology technologies extend capabilities in optics manufacturing

    NASA Astrophysics Data System (ADS)

    Tricard, Marc; Forbes, Greg; Murphy, Paul

    2005-10-01

    Subaperture polishing technologies have radically changed the landscape of precision optics manufacturing and enabled the production of higher precision optics with increasingly difficult figure requirements. However, metrology is a critical piece of the optics fabrication process, and the dependence on interferometry is especially acute for computer-controlled, deterministic finishing. Without accurate full-aperture metrology, figure correction using subaperture polishing technologies would not be possible. QED Technologies has developed the Subaperture Stitching Interferometer (SSI) that extends the effective aperture and dynamic range of a phase measuring interferometer. The SSI's novel developments in software and hardware improve the capacity and accuracy of traditional interferometers, overcoming many of the limitations previously faced. The SSI performs high-accuracy automated measurements of spheres, flats, and mild aspheres up to 200 mm in diameter by stitching subaperture data. The system combines a six-axis precision workstation, a commercial Fizeau interferometer of 4" or 6" aperture, and dedicated software. QED's software automates the measurement design, data acquisition, and mathematical reconstruction of the full-aperture phase map. The stitching algorithm incorporates a general framework for compensating several types of errors introduced by the interferometer and stage mechanics. These include positioning errors, viewing system distortion, the system reference wave error, etc. The SSI has been proven to deliver the accurate and flexible metrology that is vital to precision optics fabrication. This paper will briefly review the capabilities of the SSI as a production-ready, metrology system that enables costeffective manufacturing of precision optical surfaces.

  8. A decade of innovation with laser speckle metrology

    NASA Astrophysics Data System (ADS)

    Ettemeyer, Andreas

    2003-05-01

    Speckle Pattern Interferometry has emerged from the experimental substitution of holographic interferometry to become a powerful problem solving tool in research and industry. The rapid development of computer and digital imaging techniques in combination with minaturization of the optical equipment led to new applications which had not been anticipated before. While classical holographic interferometry had always required careful consideration of the environmental conditions such as vibration, noise, light, etc. and could generally only be performed in the optical laboratory, it is now state of the art, to handle portable speckle measuring equipment at almost any place. During the last decade, the change in design and technique has dramatically influenced the range of applications of speckle metrology and opened new markets. The integration of recent research results into speckle measuring equipment has led to handy equipment, simplified the operation and created high quality data output.

  9. Precision and manufacturing at the Lawrence Livermore National Laboratory

    NASA Technical Reports Server (NTRS)

    Saito, Theodore T.; Wasley, Richard J.; Stowers, Irving F.; Donaldson, Robert R.; Thompson, Daniel C.

    1994-01-01

    Precision Engineering is one of the Lawrence Livermore National Laboratory's core strengths. This paper discusses the past and present current technology transfer efforts of LLNL's Precision Engineering program and the Livermore Center for Advanced Manufacturing and Productivity (LCAMP). More than a year ago the Precision Machine Commercialization project embodied several successful methods of transferring high technology from the National Laboratories to industry. Currently, LCAMP has already demonstrated successful technology transfer and is involved in a broad spectrum of current programs. In addition, this paper discusses other technologies ripe for future transition including the Large Optics Diamond Turning Machine.

  10. Precision and manufacturing at the Lawrence Livermore National Laboratory

    NASA Astrophysics Data System (ADS)

    Saito, Theodore T.; Wasley, Richard J.; Stowers, Irving F.; Donaldson, Robert R.; Thompson, Daniel C.

    1994-02-01

    Precision Engineering is one of the Lawrence Livermore National Laboratory's core strengths. This paper discusses the past and present current technology transfer efforts of LLNL's Precision Engineering program and the Livermore Center for Advanced Manufacturing and Productivity (LCAMP). More than a year ago the Precision Machine Commercialization project embodied several successful methods of transferring high technology from the National Laboratories to industry. Currently, LCAMP has already demonstrated successful technology transfer and is involved in a broad spectrum of current programs. In addition, this paper discusses other technologies ripe for future transition including the Large Optics Diamond Turning Machine.

  11. Battery testing at Argonne National Laboratory

    NASA Astrophysics Data System (ADS)

    Deluca, W. H.; Gillie, K. R.; Kulaga, J. E.; Smaga, J. A.; Tummillo, A. F.; Webster, C. E.

    1993-03-01

    Argonne National Laboratory's Analysis & Diagnostic Laboratory (ADL) tests advanced batteries under simulated electric and hybrid vehicle operating conditions. The ADL facilities also include a post-test analysis laboratory to determine, in a protected atmosphere if needed, component compositional changes and failure mechanisms. The ADL provides a common basis for battery performance characterization and life evaluations with unbiased application of tests and analyses. The battery evaluations and post-test examinations help identify factors that limit system performance and life and the most-promising R&D approaches for overcoming these limitations. Since 1991, performance characterizations and/or life evaluations have been conducted on eight battery technologies: Na/S, Li/S, Zn/Br, Ni/MH, Ni/Zn, Ni/Cd, Ni/Fe, and lead-acid. These evaluations were performed for the Department of Energy's. Office of Transportation Technologies, Electric and Hybrid Propulsion Division (DOE/OTT/EHP), and Electric Power Research Institute (EPRI) Transportation Program. The results obtained are discussed.

  12. Smoking patterns among Los Alamos National Laboratory employees

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahoney, M.C.; Wilkinson, G.S.

    Smoking patterns among 5507 employees at Los Alamos National Laboratory were investigated for those who underwent physical examinations by occupational physicians from 1978 to 1983. More male than female employees smoked, although differences in smoking rates between the sexes were not as large as differences observed for national smoking rates. Employees over 40 were more likely to smoke than younger employees, males consumed more cigarettes than did females, and Anglo employees smoked more cigarettes than did Hispanic employees. Highly educated employees smoked less than did less-educated workers, and staff members exhibited the lowest rates of smoking. Smoking cessation programs formore » Laboratory employees should be directed toward those subpopulations with the highest rates of smoking. 31 refs., 8 figs., 1 tab.« less

  13. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    PubMed

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  14. Implementation of a National Reference Laboratory for Buruli Ulcer Disease in Togo

    PubMed Central

    Badziklou, Kossi; Halatoko, Wemboo Afiwa; Maman, Issaka; Vogel, Felix; Bidjada, Bawimodom; Awoussi, Koffi Somenou; Piten, Ebekalisai; Helfrich, Kerstin; Mengele, Carolin; Nitschke, Jörg; Amekuse, Komi; Wiedemann, Franz Xaver; Diefenhardt, Adolf; Kobara, Basile; Herbinger, Karl–Heinz; Kere, Abiba Banla; Prince-David, Mireille; Löscher, Thomas; Bretzel, Gisela

    2013-01-01

    Background In a previous study PCR analysis of clinical samples from suspected cases of Buruli ulcer disease (BUD) from Togo and external quality assurance (EQA) for local microscopy were conducted at an external reference laboratory in Germany. The relatively poor performance of local microscopy as well as effort and time associated with shipment of PCR samples necessitated the implementation of stringent EQA measures and availability of local laboratory capacity. This study describes the approach to implementation of a national BUD reference laboratory in Togo. Methodology Large scale outreach activities accompanied by regular training programs for health care professionals were conducted in the regions “Maritime” and “Central,” standard operating procedures defined all processes in participating laboratories (regional, national and external reference laboratories) as well as the interaction between laboratories and partners in the field. Microscopy was conducted at regional level and slides were subjected to EQA at national and external reference laboratories. For PCR analysis, sample pairs were collected and subjected to a dry-reagent-based IS2404-PCR (DRB-PCR) at national level and standard IS2404 PCR followed by IS2404 qPCR analysis of negative samples at the external reference laboratory. Principal Findings The inter-laboratory concordance rates for microscopy ranged from 89% to 94%; overall, microscopy confirmed 50% of all suspected BUD cases. The inter-laboratory concordance rate for PCR was 96% with an overall PCR case confirmation rate of 78%. Compared to a previous study, the rate of BUD patients with non-ulcerative lesions increased from 37% to 50%, the mean duration of disease before clinical diagnosis decreased significantly from 182.6 to 82.1 days among patients with ulcerative lesions, and the percentage of category III lesions decreased from 30.3% to 19.2%. Conclusions High inter-laboratory concordance rates as well as case confirmation

  15. Implementation of a national reference laboratory for Buruli ulcer disease in Togo.

    PubMed

    Beissner, Marcus; Huber, Kristina Lydia; Badziklou, Kossi; Halatoko, Wemboo Afiwa; Maman, Issaka; Vogel, Felix; Bidjada, Bawimodom; Awoussi, Koffi Somenou; Piten, Ebekalisai; Helfrich, Kerstin; Mengele, Carolin; Nitschke, Jörg; Amekuse, Komi; Wiedemann, Franz Xaver; Diefenhardt, Adolf; Kobara, Basile; Herbinger, Karl-Heinz; Kere, Abiba Banla; Prince-David, Mireille; Löscher, Thomas; Bretzel, Gisela

    2013-01-01

    In a previous study PCR analysis of clinical samples from suspected cases of Buruli ulcer disease (BUD) from Togo and external quality assurance (EQA) for local microscopy were conducted at an external reference laboratory in Germany. The relatively poor performance of local microscopy as well as effort and time associated with shipment of PCR samples necessitated the implementation of stringent EQA measures and availability of local laboratory capacity. This study describes the approach to implementation of a national BUD reference laboratory in Togo. Large scale outreach activities accompanied by regular training programs for health care professionals were conducted in the regions "Maritime" and "Central," standard operating procedures defined all processes in participating laboratories (regional, national and external reference laboratories) as well as the interaction between laboratories and partners in the field. Microscopy was conducted at regional level and slides were subjected to EQA at national and external reference laboratories. For PCR analysis, sample pairs were collected and subjected to a dry-reagent-based IS2404-PCR (DRB-PCR) at national level and standard IS2404 PCR followed by IS2404 qPCR analysis of negative samples at the external reference laboratory. The inter-laboratory concordance rates for microscopy ranged from 89% to 94%; overall, microscopy confirmed 50% of all suspected BUD cases. The inter-laboratory concordance rate for PCR was 96% with an overall PCR case confirmation rate of 78%. Compared to a previous study, the rate of BUD patients with non-ulcerative lesions increased from 37% to 50%, the mean duration of disease before clinical diagnosis decreased significantly from 182.6 to 82.1 days among patients with ulcerative lesions, and the percentage of category III lesions decreased from 30.3% to 19.2%. High inter-laboratory concordance rates as well as case confirmation rates of 50% (microscopy), 71% (PCR at national level), and 78

  16. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it; Technology Department, European Organization for Nuclear Research; Girone, M., E-mail: mario.girone@cern.ch

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sourcesmore » most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.« less

  17. Final report on the key comparison CCM.P-K4.2012 in absolute pressure from 1 Pa to 10 kPa

    NASA Astrophysics Data System (ADS)

    Ricker, Jacob; Hendricks, Jay; Bock, Thomas; Dominik, Pražák; Kobata, Tokihiko; Torres, Jorge; Sadkovskaya, Irina

    2017-01-01

    The report summarizes the Consultative Committee for Mass (CCM) key comparison CCM.P-K4.2012 for absolute pressure spanning the range of 1 Pa to 10 000 Pa. The comparison was carried out at six National Metrology Institutes (NMIs), including National Institute of Standards and Technology (NIST), Physikalisch-Technische Bundesanstalt (PTB), Czech Metrology Institute (CMI), National Metrology Institute of Japan (NMIJ), Centro Nacional de Metrología (CENAM), and DI Mendeleyev Institute for Metrology (VNIIM). The comparison was made via a calibrated transfer standard measured at each of the NMIs facilities using their laboratory standard during the period May 2012 to September 2013. The transfer package constructed for this comparison preformed as designed and provided a stable artifact to compare laboratory standards. Overall the participants were found to be statistically equivalent to the key comparison reference value. Main text To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by the CCM, according to the provisions of the CIPM Mutual Recognition Arrangement (CIPM MRA).

  18. Collaboration Agreement | Frederick National Laboratory for Cancer Research

    Cancer.gov

    A Collaboration Agreement is appropriate for research collaboration involving intellectual and material contributions by the Frederick National Laboratory and external partner(s). It is useful for proof-of-concept studies. Includes brief re

  19. Frontiers: Research highlights 1946-1996 [50th Anniversary Edition. Argonne National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1996-12-31

    This special edition of 'Frontiers' commemorates Argonne National Laboratory's 50th anniversary of service to science and society. America's first national laboratory, Argonne has been in the forefront of U.S. scientific and technological research from its beginning. Past accomplishments, current research, and future plans are highlighted.

  20. Frontiers: Research Highlights 1946-1996 [50th Anniversary Edition. Argonne National Laboratory

    DOE R&D Accomplishments Database

    1996-01-01

    This special edition of 'Frontiers' commemorates Argonne National Laboratory's 50th anniversary of service to science and society. America's first national laboratory, Argonne has been in the forefront of U.S. scientific and technological research from its beginning. Past accomplishments, current research, and future plans are highlighted.

  1. Ernest Orlando Lawrence Berkeley National Laboratory institutional plan, FY 1996--2001

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1995-11-01

    The FY 1996--2001 Institutional Plan provides an overview of the Ernest Orlando Lawrence Berkeley National Laboratory mission, strategic plan, core business areas, critical success factors, and the resource requirements to fulfill its mission in support of national needs in fundamental science and technology, energy resources, and environmental quality. The Laboratory Strategic Plan section identifies long-range conditions that will influence the Laboratory, as well as potential research trends and management implications. The Core Business Areas section identifies those initiatives that are potential new research programs representing major long-term opportunities for the Laboratory, and the resources required for their implementation. It alsomore » summarizes current programs and potential changes in research program activity, science and technology partnerships, and university and science education. The Critical Success Factors section reviews human resources; work force diversity; environment, safety, and health programs; management practices; site and facility needs; and communications and trust. The Resource Projections are estimates of required budgetary authority for the Laboratory`s ongoing research programs. The Institutional Plan is a management report for integration with the Department of Energy`s strategic planning activities, developed through an annual planning process. The plan identifies technical and administrative directions in the context of the national energy policy and research needs and the Department of Energy`s program planning initiatives. Preparation of the plan is coordinated by the Office of Planning and Communications from information contributed by the Laboratory`s scientific and support divisions.« less

  2. The Remarkable Metrological History of Radiocarbon Dating [II].

    PubMed

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  3. The Remarkable Metrological History of Radiocarbon Dating [II

    PubMed Central

    Currie, Lloyd A.

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural 14C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications. PMID:27366605

  4. Contour metrology using critical dimension atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Orji, Ndubuisi G.; Dixson, Ronald G.; Vladár, András E.; Ming, Bin; Postek, Michael T.

    2012-03-01

    The critical dimension atomic force microscope (CD-AFM), which is used as a reference instrument in lithography metrology, has been proposed as a complementary instrument for contour measurement and verification. Although data from CD-AFM is inherently three dimensional, the planar two-dimensional data required for contour metrology is not easily extracted from the top-down CD-AFM data. This is largely due to the limitations of the CD-AFM method for controlling the tip position and scanning. We describe scanning techniques and profile extraction methods to obtain contours from CD-AFM data. We also describe how we validated our technique, and explain some of its limitations. Potential sources of error for this approach are described, and a rigorous uncertainty model is presented. Our objective is to show which data acquisition and analysis methods could yield optimum contour information while preserving some of the strengths of CD-AFM metrology. We present comparison of contours extracted using our technique to those obtained from the scanning electron microscope (SEM), and the helium ion microscope (HIM).

  5. Los Alamos National Laboratory Human and Intellectual Capital for Sustaining Nuclear Deterrence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McAlpine, Bradley

    2015-04-01

    This paper provides an overview of the current human and intellectual capital at Los Alamos National Laboratory, through specific research into the statistics and demographics as well as numerous personal interviews at all levels of personnel. Based on this information, a series of recommendations are provided to assist Los Alamos National Laboratory in ensuring the future of the human and intellectual capital for the nuclear deterrence mission. While the current human and intellectual capital is strong it stands on the precipice and action must be taken to ensure Los Alamos National Laboratory maintains leadership in developing and sustaining national nuclearmore » capabilities. These recommendations may be applicable to other areas of the nuclear enterprise, including the Air Force, after further research and study.« less

  6. 1990 National Water Quality Laboratory Services Catalog

    USGS Publications Warehouse

    Pritt, Jeffrey; Jones, Berwyn E.

    1989-01-01

    PREFACE This catalog provides information about analytical services available from the National Water Quality Laboratory (NWQL) to support programs of the Water Resources Division of the U.S. Geological Survey. To assist personnel in the selection of analytical services, the catalog lists cost, sample volume, applicable concentration range, detection level, precision of analysis, and preservation techniques for samples to be submitted for analysis. Prices for services reflect operationa1 costs, the complexity of each analytical procedure, and the costs to ensure analytical quality control. The catalog consists of five parts. Part 1 is a glossary of terminology; Part 2 lists the bottles, containers, solutions, and other materials that are available through the NWQL; Part 3 describes the field processing of samples to be submitted for analysis; Part 4 describes analytical services that are available; and Part 5 contains indices of analytical methodology and Chemical Abstract Services (CAS) numbers. Nomenclature used in the catalog is consistent with WATSTORE and STORET. The user is provided with laboratory codes and schedules that consist of groupings of parameters which are measured together in the NWQL. In cases where more than one analytical range is offered for a single element or compound, different laboratory codes are given. Book 5 of the series 'Techniques of Water Resources Investigations of the U.S. Geological Survey' should be consulted for more information about the analytical procedures included in the tabulations. This catalog supersedes U.S. Geological Survey Open-File Report 86-232 '1986-87-88 National Water Quality Laboratory Services Catalog', October 1985.

  7. Reduction of wafer-edge overlay errors using advanced correction models, optimized for minimal metrology requirements

    NASA Astrophysics Data System (ADS)

    Kim, Min-Suk; Won, Hwa-Yeon; Jeong, Jong-Mun; Böcker, Paul; Vergaij-Huizer, Lydia; Kupers, Michiel; Jovanović, Milenko; Sochal, Inez; Ryan, Kevin; Sun, Kyu-Tae; Lim, Young-Wan; Byun, Jin-Moo; Kim, Gwang-Gon; Suh, Jung-Joon

    2016-03-01

    In order to optimize yield in DRAM semiconductor manufacturing for 2x nodes and beyond, the (processing induced) overlay fingerprint towards the edge of the wafer needs to be reduced. Traditionally, this is achieved by acquiring denser overlay metrology at the edge of the wafer, to feed field-by-field corrections. Although field-by-field corrections can be effective in reducing localized overlay errors, the requirement for dense metrology to determine the corrections can become a limiting factor due to a significant increase of metrology time and cost. In this study, a more cost-effective solution has been found in extending the regular correction model with an edge-specific component. This new overlay correction model can be driven by an optimized, sparser sampling especially at the wafer edge area, and also allows for a reduction of noise propagation. Lithography correction potential has been maximized, with significantly less metrology needs. Evaluations have been performed, demonstrating the benefit of edge models in terms of on-product overlay performance, as well as cell based overlay performance based on metrology-to-cell matching improvements. Performance can be increased compared to POR modeling and sampling, which can contribute to (overlay based) yield improvement. Based on advanced modeling including edge components, metrology requirements have been optimized, enabling integrated metrology which drives down overall metrology fab footprint and lithography cycle time.

  8. Contact Us | Frederick National Laboratory for Cancer Research

    Cancer.gov

    E-mail:fnlwebsite@nih.gov Phone:(301) 846-1000 Postal Mail: Frederick National Laboratory for Cancer Research P.O. Box B Frederick, MD 21702-1201 Human Resources Office of Recruitment (301) 846-5362 Jim

  9. Evaluation of Side Stream Filtration Technology at Oak Ridge National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boyd, Brian K.

    2014-08-01

    This technology evaluation was performed by Pacific Northwest National Laboratory and Oak Ridge National Laboratory on behalf of the Federal Energy Management Program. The objective was to quantify the benefits side stream filtration provides to a cooling tower system. The evaluation assessed the performance of an existing side stream filtration system at a cooling tower system at Oak Ridge National Laboratory’s Spallation Neutron Source research facility. This location was selected because it offered the opportunity for a side-by-side comparison of a system featuring side stream filtration and an unfiltered system.

  10. Kite: status of the external metrology testbed for SIM

    NASA Astrophysics Data System (ADS)

    Dekens, Frank G.; Alvarez-Salazar, Oscar S.; Azizi, Alireza; Moser, Steven J.; Nemati, Bijan; Negron, John; Neville, Timothy; Ryan, Daniel

    2004-10-01

    Kite is a system level testbed for the External Metrology System of the Space Interferometry Mission (SIM). The External Metrology System is used to track the fiducials that are located at the centers of the interferometer's siderostats. The relative changes in their positions needs to be tracked to an accuracy of tens of picometers in order to correct for thermal deformations and attitude changes of the spacecraft. Because of the need for such high precision measurements, the Kite testbed was build to test both the metrology gauges and our ability to optically model the system at these levels. The Kite testbed is a redundant metrology truss, in which 6 lengths are measured, but only 5 are needed to define the system. The RMS error between the redundant measurements needs to be less than 140pm for the SIM Wide-Angle observing scenario and less than 8 pm for the Narrow-Angle observing scenario. With our current testbed layout, we have achieved an RMS of 85 pm in the Wide-Angle case, meeting the goal. For the Narrow-Angle case, we have reached 5.8 pm, but only for on-axis observations. We describe the testbed improvements that have been made since our initial results, and outline the future Kite changes that will add further effects that SIM faces in order to make the testbed more representative of SIM.

  11. Kathleen Igo | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Directorate: Clinical Research Program Department or lab: Clinical Monitoring Research Program (CMRP) How many years have you worked at the Frederick National Laboratory? I am in my 7th year of employment.

  12. Locations Accessible | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research campus is located 50 miles northwest of Washington, D.C., and 50 miles west of Baltimore, Maryland, in Frederick, Maryland.Operations and Technical Support contractor Leidos Biomedical Resea

  13. Virtual Special Issue on Catalysis at the U.S. Department of Energy’s National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pruski, Marek; Sadow, Aaron; Slowing, Igor

    Catalysis research at the U.S. Department of Energy's (DOE's) National Laboratories covers a wide range of research topics in heterogeneous catalysis, homogeneous/ molecular catalysis, electrocatalysis, and surface science. Since much of the work at National Laboratories is funded by DOE, the research is largely focused on addressing DOE’s mission to ensure America’s security and prosperity by addressing its energy, environmental, and nuclear challenges through trans-formative science and technology solutions. The catalysis research carried out at the DOE National Laboratories ranges from very fundamental catalysis science, funded by DOE’s Office of Basic Energy Sciences (BES), to applied research and development (R&D)more » in areas such as biomass conversion to fuels and chemicals, fuel cells, and vehicle emission control with primary funding from DOE’s Office of Energy Efficiency and Renewable Energy. National Laboratories are home to many DOE Office of Science national scientific user facilities that provide researchers with the most advanced tools of modern science, including accelerators, colliders, supercomputers, light sources, and neutron sources, as well as facilities for studying the nanoworld and the terrestrial environment. National Laboratory research programs typically feature teams of researchers working closely together, often joining scientists from different disciplines to attack scientific and technical problems using a variety of tools and techniques available at the DOE national scientific user facilities. Along with collaboration between National Laboratory scientists, interactions with university colleagues are common in National Laboratory catalysis R&D. In some cases, scientists have joint appoint-ments at a university and a National Laboratory.« less

  14. Virtual Special Issue on Catalysis at the U.S. Department of Energy’s National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pruski, Marek; Sadow, Aaron D.; Slowing, Igor I.

    Catalysis research at the U.S. Department of Energy’s (DOE’s) National Laboratories covers a wide range of research topics in heterogeneous catalysis, homogeneous/molecular catalysis, biocatalysis, electrocatalysis, and surface science. Since much of the work at National Laboratories is funded by DOE, the research is largely focused on addressing DOE’s mission to ensure America’s security and prosperity by addressing its energy, environmental, and nuclear challenges through transformative science and technology solutions. The catalysis research carried out at the DOE National Laboratories ranges from very fundamental catalysis science, funded by DOE’s Office of Basic Energy Sciences (BES), to applied research and development (R&D)more » in areas such as biomass conversion to fuels and chemicals, fuel cells, and vehicle emission control with primary funding from DOE’s Office of Energy Efficiency and Renewable Energy. National Laboratories are home to many DOE Office of Science national scientific user facilities that provide researchers with the most advanced tools of modern science, including accelerators, colliders, supercomputers, light sources, and neutron sources, as well as facilities for studying the nanoworld and the terrestrial environment. National Laboratory research programs typically feature teams of researchers working closely together, often joining scientists from different disciplines to tackle scientific and technical problems using a variety of tools and techniques available at the DOE national scientific user facilities. Along with collaboration between National Laboratory scientists, interactions with university colleagues are common in National Laboratory catalysis R&D. In some cases, scientists have joint appointments at a university and a National Laboratory.« less

  15. Enabling CD SEM metrology for 5nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  16. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    NASA Astrophysics Data System (ADS)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  17. Practical recommendations for strengthening national and regional laboratory networks in Africa in the Global Health Security era.

    PubMed

    Best, Michele; Sakande, Jean

    2016-01-01

    The role of national health laboratories in support of public health response has expanded beyond laboratory testing to include a number of other core functions such as emergency response, training and outreach, communications, laboratory-based surveillance and data management. These functions can only be accomplished by an efficient and resilient national laboratory network that includes public health, reference, clinical and other laboratories. It is a primary responsibility of the national health laboratory in the Ministry of Health to develop and maintain the national laboratory network in the country. In this article, we present practical recommendations based on 17 years of network development experience for the development of effective national laboratory networks. These recommendations and examples of current laboratory networks, are provided to facilitate laboratory network development in other states. The development of resilient, integrated laboratory networks will enhance each state's public health system and is critical to the development of a robust national laboratory response network to meet global health security threats.

  18. Practical recommendations for strengthening national and regional laboratory networks in Africa in the Global Health Security era

    PubMed Central

    2016-01-01

    The role of national health laboratories in support of public health response has expanded beyond laboratory testing to include a number of other core functions such as emergency response, training and outreach, communications, laboratory-based surveillance and data management. These functions can only be accomplished by an efficient and resilient national laboratory network that includes public health, reference, clinical and other laboratories. It is a primary responsibility of the national health laboratory in the Ministry of Health to develop and maintain the national laboratory network in the country. In this article, we present practical recommendations based on 17 years of network development experience for the development of effective national laboratory networks. These recommendations and examples of current laboratory networks, are provided to facilitate laboratory network development in other states. The development of resilient, integrated laboratory networks will enhance each state’s public health system and is critical to the development of a robust national laboratory response network to meet global health security threats. PMID:28879137

  19. National Research Council Research Associateships Program with Methane Hydrates Fellowships Program/National Energy Technology Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Basques, Eric O.

    2014-03-20

    This report summarizes work carried out over the period from July 5, 2005-January 31, 2014. The work was carried out by the National Research Council Research Associateships Program of the National Academies, under the US Department of Energy's National Energy Technology Laboratory (NETL) program. This Technical Report consists of a description of activity from 2005 through 2014, broken out within yearly timeframes, for NRC/NETL Associateships researchers at NETL laboratories which includes individual tenure reports from Associates over this time period. The report also includes individual tenure reports from associates over this time period. The report also includes descriptions of programmore » promotion efforts, a breakdown of the review competitions, awards offered, and Associate's activities during their tenure.« less

  20. Informal Physics Education: Outreach from a National Laboratory

    NASA Astrophysics Data System (ADS)

    Sanchez, Jose; Dixon, Patricia; Hughes, Roxanne

    2012-02-01

    This presentation highlights strategies for K-20 teaching and learning about materials research in informal settings. The National High Magnetic Field Laboratory's Center for Integrating Research & Learning is in a unique position to conduct programs that reach K-20 students and teachers. As part of a national laboratory the Center provides the infrastructure around which informal education programs are implemented, including the nationally-recognized programming as well as facilitating scientists' educational outreach in the community. Research Experiences for Undergraduates, focuses on encouraging women and other underrepresented groups to pursue STEM careers reaching approximately 200 students many of whom have pursued careers in research as well as academia. The Research Experiences for Teachers program has provided internships for over 150 teachers; the Center also reaches over 10,000 students each year through school and community outreach. Success of informal education programs relies heavily on establishing strong mentoring relationships between scientists and K-20 students and teachers. The Center's success at maintaining diverse programming that transforms how materials education is presented beyond the traditional classroom is the focus for this presentation.

  1. Consultative Committee on Ionizing Radiation: Impact on Radionuclide Metrology

    PubMed Central

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM’s consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. PMID:26688351

  2. Battery testing at Argonne National Laboratory

    NASA Astrophysics Data System (ADS)

    Deluca, W. H.; Gillie, K. R.; Kulaga, J. E.; Smaga, J. A.; Tummillo, A. F.; Webster, C. E.

    Advanced battery technology evaluations are performed under simulated electric-vehicle operating conditions at the Analysis & Diagnostic Laboratory (ADL) of Argonne National Laboratory. The ADL results provide insight into those factors that limit battery performance and life. The ADL facilities include a test laboratory to conduct battery experimental evaluations under simulated application conditions and a post-test analysis laboratory to determine, in a protected atmosphere if needed, component compositional changes and failure mechanisms. This paper summarizes the performance characterizations and life evaluations conducted during FY-92 on both single cells and multi-cell modules that encompass six battery technologies (Na/S, Li/FeS, Ni/Metal-Hydride, Ni/Zn, Ni/Cd, Ni/Fe). These evaluations were performed for the Department of Energy, Office of Transportation Technologies, Electric and Hybrid Propulsion Division, and the Electric Power Research Institute. The ADL provides a common basis for battery performance characterization and life evaluations with unbiased application of tests and analyses. The results help identify the most promising R&D approaches for overcoming battery limitations, and provide battery users, developers, and program managers with a measure of the progress being made in battery R&D programs, a comparison of battery technologies, and basic data for modeling.

  3. Massive metrology using fast e-beam technology improves OPC model accuracy by >2x at faster turnaround time

    NASA Astrophysics Data System (ADS)

    Zhao, Qian; Wang, Lei; Wang, Jazer; Wang, ChangAn; Shi, Hong-Fei; Guerrero, James; Feng, Mu; Zhang, Qiang; Liang, Jiao; Guo, Yunbo; Zhang, Chen; Wallow, Tom; Rio, David; Wang, Lester; Wang, Alvin; Wang, Jen-Shiang; Gronlund, Keith; Lang, Jun; Koh, Kar Kit; Zhang, Dong Qing; Zhang, Hongxin; Krishnamurthy, Subramanian; Fei, Ray; Lin, Chiawen; Fang, Wei; Wang, Fei

    2018-03-01

    Classical SEM metrology, CD-SEM, uses low data rate and extensive frame-averaging technique to achieve high-quality SEM imaging for high-precision metrology. The drawbacks include prolonged data collection time and larger photoresist shrinkage due to excess electron dosage. This paper will introduce a novel e-beam metrology system based on a high data rate, large probe current, and ultra-low noise electron optics design. At the same level of metrology precision, this high speed e-beam metrology system could significantly shorten data collection time and reduce electron dosage. In this work, the data collection speed is higher than 7,000 images per hr. Moreover, a novel large field of view (LFOV) capability at high resolution was enabled by an advanced electron deflection system design. The area coverage by LFOV is >100x larger than classical SEM. Superior metrology precision throughout the whole image has been achieved, and high quality metrology data could be extracted from full field. This new capability on metrology will further improve metrology data collection speed to support the need for large volume of metrology data from OPC model calibration of next generation technology. The shrinking EPE (Edge Placement Error) budget places more stringent requirement on OPC model accuracy, which is increasingly limited by metrology errors. In the current practice of metrology data collection and data processing to model calibration flow, CD-SEM throughput becomes a bottleneck that limits the amount of metrology measurements available for OPC model calibration, impacting pattern coverage and model accuracy especially for 2D pattern prediction. To address the trade-off in metrology sampling and model accuracy constrained by the cycle time requirement, this paper employs the high speed e-beam metrology system and a new computational software solution to take full advantage of the large volume data and significantly reduce both systematic and random metrology errors. The

  4. Mozambique’s journey toward accreditation of the National Tuberculosis Reference Laboratory

    PubMed Central

    Madeira, Carla; Aguiar, Carmen; Dolores, Carolina; Mandlaze, Ana P.; Chongo, Patrina; Masamha, Jessina

    2017-01-01

    Background Internationally-accredited laboratories are recognised for their superior test reliability, operational performance, quality management and competence. In a bid to meet international quality standards, the Mozambique National Institute of Health enrolled the National Tuberculosis Reference Laboratory (NTRL) in a continuous quality improvement process towards ISO 15189 accreditation. Here, we describe the road map taken by the NTRL to achieve international accreditation. Methods The NTRL adopted the Strengthening Laboratory Management Toward Accreditation (SLMTA) programme as a strategy to implement a quality management system. After SLMTA, the Mozambique National Institute of Health committed to accelerate the NTRL’s process toward accreditation. An action plan was designed to streamline the process. Quality indicators were defined to benchmark progress. Staff were trained to improve performance. Mentorship from an experienced assessor was provided. Fulfilment of accreditation standards was assessed by the Portuguese Accreditation Board. Results Of the eight laboratories participating in SLMTA, the NTRL was the best-performing laboratory, achieving a 53.6% improvement over the SLMTA baseline conducted in February 2011 to the Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA) assessment in June 2013. During the accreditation assessment in September 2014, 25 minor nonconformities were identified and addressed. In March 2015, the NTRL received Portuguese Accreditation Board recognition of technical competency for fluorescence smear microscopy, and solid and liquid culture. The NTRL is the first laboratory in Mozambique to achieve ISO 15189 accreditation. Conclusions From our experience, accreditation was made possible by institutional commitment, strong laboratory leadership, staff motivation, adequate infrastructure and a comprehensive action plan. PMID:28879162

  5. Batteries and Energy Storage | Argonne National Laboratory

    Science.gov Websites

    -energy density lithium-ion batteries, while using our fundamental science capabilities to develop storage ), headquartered at Argonne National Laboratory, seeks to develop new technologies that move beyond lithium-ion Transportation SPOTLIGHT Batteries and Energy Storage Argonne's all- encompassing battery research program spans

  6. Overview of theory and simulations in the Heavy Ion Fusion Science Virtual National Laboratory

    NASA Astrophysics Data System (ADS)

    Friedman, Alex

    2007-07-01

    The Heavy Ion Fusion Science Virtual National Laboratory (HIFS-VNL) is a collaboration of Lawrence Berkeley National Laboratory, Lawrence Livermore National Laboratory, and Princeton Plasma Physics Laboratory. These laboratories, in cooperation with researchers at other institutions, are carrying out a coordinated effort to apply intense ion beams as drivers for studies of the physics of matter at extreme conditions, and ultimately for inertial fusion energy. Progress on this endeavor depends upon coordinated application of experiments, theory, and simulations. This paper describes the state of the art, with an emphasis on the coordination of modeling and experiment; developments in the simulation tools, and in the methods that underly them, are also treated.

  7. Strengthening national health laboratories in sub-Saharan Africa: a decade of remarkable progress

    PubMed Central

    Alemnji, G. A.; Zeh, C.; Yao, K.; Fonjungo, P. N.

    2016-01-01

    OBJECTIVES Efforts to combat the HIV/AIDS pandemic have underscored the fragile and neglected nature of some national health laboratories in Africa. In response, national and international partners and various governments have worked collaboratively over the last several years to build sustainable laboratory capacities within the continent. Key accomplishments reflecting this successful partnership include the establishment of the African-based World Health Organization Regional Office for Africa (WHO-AFRO) Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA); development of the Strengthening Laboratory Management Toward Accreditation (SLMTA) training programme; and launching of a Pan African-based institution, the African Society for Laboratory Medicine (ASLM). These platforms continue to serve as the foundations for national health laboratory infrastructure enhancement, capacity development and overall quality system improvement. Further targeted interventions should encourage countries to aim at integrated tiered referral networks, promote quality system improvement and accreditation, develop laboratory policies and strategic plans, enhance training and laboratory workforce development and a retention strategy, create career paths for laboratory professionals and establish public–private partnerships. Maintaining the gains and ensuring sustainability will require concerted action by all stakeholders with strong leadership and funding from African governments and from the African Union. PMID:24506521

  8. Beverly Hayes | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Employee name: Bev Hayes Directorate: Management Operations Department or lab: Contracts and Acquisitions How many years have you worked at the Frederick National Laboratory? Four months going on one year! Job responsibilities: With the C&A manageme

  9. Airbags to Martian Landers: Analyses at Sandia National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gwinn, K.W.

    1994-03-01

    A new direction for the national laboratories is to assist US business with research and development, primarily through cooperative research and development agreements (CRADAs). Technology transfer to the private sector has been very successful as over 200 CRADAs are in place at Sandia. Because of these cooperative efforts, technology has evolved into some new areas not commonly associated with the former mission of the national laboratories. An example of this is the analysis of fabric structures. Explicit analyses and expertise in constructing parachutes led to the development of a next generation automobile airbag; which led to the construction, testing, andmore » analysis of the Jet Propulsion Laboratory Mars Environmental Survey Lander; and finally led to the development of CAD based custom garment designs using 3D scanned images of the human body. The structural analysis of these fabric structures is described as well as a more traditional example Sandia with the test/analysis correlation of the impact of a weapon container.« less

  10. Pacific Northwest National Laboratory Annual Site Environmental Report for Calendar Year 2013

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duncan, Joanne P.; Sackschewsky, Michael R.; Tilden, Harold T.

    2014-09-30

    Pacific Northwest National Laboratory (PNNL), one of the U.S. Department of Energy (DOE) Office of Science’s 10 national laboratories, provides innovative science and technology development in the areas of energy and the environment, fundamental and computational science, and national security. DOE’s Pacific Northwest Site Office (PNSO) is responsible for oversight of PNNL at its Campus in Richland, Washington, as well as its facilities in Sequim, Seattle, and North Bonneville, Washington, and Corvallis and Portland, Oregon.

  11. Absolute optical metrology : nanometers to kilometers

    NASA Technical Reports Server (NTRS)

    Dubovitsky, Serge; Lay, O. P.; Peters, R. D.; Liebe, C. C.

    2005-01-01

    We provide and overview of the developments in the field of high-accuracy absolute optical metrology with emphasis on space-based applications. Specific work on the Modulation Sideband Technology for Absolute Ranging (MSTAR) sensor is described along with novel applications of the sensor.

  12. In-field Raman amplification on coherent optical fiber links for frequency metrology.

    PubMed

    Clivati, C; Bolognini, G; Calonico, D; Faralli, S; Mura, A; Levi, F

    2015-04-20

    Distributed Raman amplification (DRA) is widely exploited for the transmission of broadband, modulated signals used in data links, but not yet in coherent optical links for frequency metrology, where the requirements are rather different. After preliminary tests on fiber spools, in this paper we deeper investigate Raman amplification on deployed in-field optical metrological links. We actually test a Doppler-stabilized optical link both on a 94 km-long metro-network implementation with multiplexed ITU data channels and on a 180 km-long dedicated fiber haul connecting two cities, where DRA is employed in combination with Erbium-doped fiber amplification (EDFA). The performance of DRA is detailed in both experiments, indicating that it does not introduce noticeable penalties for the metrological signal or for the ITU data channels. We hence show that Raman amplification of metrological signals can be compatible with a wavelength division multiplexing architecture and that it can be used as an alternative or in combination with dedicated bidirectional EDFAs. No deterioration is noticed in the coherence properties of the delivered signal, which attains frequency instability at the 10(-19) level in both cases. This study can be of interest also in view of the undergoing deployment of continental fiber networks for frequency metrology.

  13. International documentary standards and comparison of national physical measurement standards for the calibration of accelerometers

    NASA Astrophysics Data System (ADS)

    Evans, David J.

    2002-11-01

    The documentary standards defining internationally adopted methodologies and protocols for calibrating transducers used to measure vibration are currently developed under the International Organization for Standardization (ISO) Technical Committee 108 Sub Committee 3 (Use and calibration of vibration and shock measuring instruments). Recent revisions of the documentary standards on primary methods for the calibration of accelerometers used to measure rectilinear motion have been completed. These standards can be, and have been, used as references in the technical protocols of key international and regional comparisons between National Measurement Institutes (NMIs) on the calibration of accelerometers. These key comparisons are occurring in part as a result of the creation of the Mutual Recognition Arrangement between NMIs which has appendices that document the uncertainties, and the comparisons completed in support of the uncertainties, claimed by the National Laboratories that are signatories of the MRA. The measurements for the first international and the first Interamerican System of Metrology (SIM) regional key comparisons in vibration have been completed. These intercomparisons were promulgated via the relatively new Consultative Committee for Acoustics, Ultrasound and Vibration (CCAUV) of the International Committee for Weights and Measures (CIPM) and SIM Metrology Working Group (MWG) 9, respectively.

  14. Metrology Optical Power Budgeting in SIM Using Statistical Analysis Techniques

    NASA Technical Reports Server (NTRS)

    Kuan, Gary M

    2008-01-01

    The Space Interferometry Mission (SIM) is a space-based stellar interferometry instrument, consisting of up to three interferometers, which will be capable of micro-arc second resolution. Alignment knowledge of the three interferometer baselines requires a three-dimensional, 14-leg truss with each leg being monitored by an external metrology gauge. In addition, each of the three interferometers requires an internal metrology gauge to monitor the optical path length differences between the two sides. Both external and internal metrology gauges are interferometry based, operating at a wavelength of 1319 nanometers. Each gauge has fiber inputs delivering measurement and local oscillator (LO) power, split into probe-LO and reference-LO beam pairs. These beams experience power loss due to a variety of mechanisms including, but not restricted to, design efficiency, material attenuation, element misalignment, diffraction, and coupling efficiency. Since the attenuation due to these sources may degrade over time, an accounting of the range of expected attenuation is needed so an optical power margin can be book kept. A method of statistical optical power analysis and budgeting, based on a technique developed for deep space RF telecommunications, is described in this paper and provides a numerical confidence level for having sufficient optical power relative to mission metrology performance requirements.

  15. The remarkable metrological history of 14C dating: From ancient Egyptian artifacts to particles of soot and grains of pollen

    NASA Astrophysics Data System (ADS)

    Currie, L. A.

    2003-01-01

    Radiocarbon dating would not have been possible if 14C had not had the “wrong” half-life—a fact that delayed its discovery [1]. Following the discovery of this 5730 year radionuclide in laboratory experiments by Ruben and Kamen, it became clear to W. F. Libby that 14C should exist in nature, and that it could serve as a quantitative means for dating artifacts and events marking the history of civilization. The search for natural radiocarbon was a metrological challenge; the level in the living biosphere [ca. 230 Bq/kg] lay far beyond the then current state of the measurement art. This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 μg to 100 μg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that spawned new multidisciplinary areas of application, ranging from cosmic ray physics to oceanography to the reconstruction of environmental history.

  16. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    NASA Astrophysics Data System (ADS)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  17. 2016 Annual Site Environmental Report Sandia National Laboratories/New Mexico.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Salas, Angela Maria; Griffith, Stacy R.

    Sandia National Laboratories (SNL) is a multimission laboratory managed and operated by National Technology & Engineering Solutions of Sandia, LLC, a wholly owned subsidiary of Honeywell International Inc., for the U.S. Department of Energy’s (DOE’s), National Nuclear Security Administration (NNSA). The DOE/NNSA Sandia Field Office administers the contract and oversees contractor operations at SNL, New Mexico. This Annual Site Environmental Report (ASER) summarizes data and the compliance status of sustainability, environmental protection, and monitoring programs at SNL/NM during calendar year 2016. Major environmental programs include air quality, water quality, groundwater protection, terrestrial and ecological surveillance, waste management, pollution prevention, environmentalmore » restoration, oil and chemical spill prevention, and implementation of the National Environmental Policy Act. This ASER is prepared in accordance with and required by DOE O 231.1B, Admin Change 1, Environment, Safety, and Health Reporting.« less

  18. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    NASA Astrophysics Data System (ADS)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  19. 78 FR 66964 - International Space Station National Laboratory Advisory Committee; Charter Renewal

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-11-07

    ... NATIONAL AERONAUTICS AND SPACE ADMINISTRATION [Notice: (13-129)] International Space Station National Laboratory Advisory Committee; Charter Renewal AGENCY: National Aeronautics and Space Administration (NASA). ACTION: Notice of renewal of the charter of the International Space Station National...

  20. Introduction to the National Information Display Laboratory

    NASA Technical Reports Server (NTRS)

    Carlson, Curtis R.

    1992-01-01

    The goals of the National Information Display Laboratory (NIDL) are described in viewgraph form. The NIDL is a Center of Excellence in softcopy technology with the overall goal to develop new ways to satisfy government information needs through aggressive user support and the development of advanced technology. Government/industry/academia participation, standards development, and various display technologies are addressed.

  1. XPS-XRF hybrid metrology enabling FDSOI process

    NASA Astrophysics Data System (ADS)

    Hossain, Mainul; Subramanian, Ganesh; Triyoso, Dina; Wahl, Jeremy; Mcardle, Timothy; Vaid, Alok; Bello, A. F.; Lee, Wei Ti; Klare, Mark; Kwan, Michael; Pois, Heath; Wang, Ying; Larson, Tom

    2016-03-01

    Planar fully-depleted silicon-on-insulator (FDSOI) technology potentially offers comparable transistor performance as FinFETs. pFET FDOSI devices are based on a silicon germanium (cSiGe) layer on top of a buried oxide (BOX). Ndoped interfacial layer (IL), high-k (HfO2) layer and the metal gate stacks are then successively built on top of the SiGe layer. In-line metrology is critical in precisely monitoring the thickness and composition of the gate stack and associated underlying layers in order to achieve desired process control. However, any single in-line metrology technique is insufficient to obtain the thickness of IL, high-k, cSiGe layers in addition to Ge% and N-dose in one single measurement. A hybrid approach is therefore needed that combines the capabilities of more than one measurement technique to extract multiple parameters in a given film stack. This paper will discuss the approaches, challenges, and results associated with the first-in-industry implementation of XPS-XRF hybrid metrology for simultaneous detection of high-k thickness, IL thickness, N-dose, cSiGe thickness and %Ge, all in one signal measurement on a FDSOI substrate in a manufacturing fab. Strong correlation to electrical data for one or more of these measured parameters will also be presented, establishing the reliability of this technique.

  2. Digital terrain modelling and industrial surface metrology - Converging crafts

    USGS Publications Warehouse

    Pike, R.J.

    2001-01-01

    Quantitative characterisation of surface form, increasingly from digital 3-D height data, is cross-disciplinary and can be applied at any scale. Thus, separation of industrial-surface metrology from its Earth-science counterpart, (digital) terrain modelling, is artificial. Their growing convergence presents an opportunity to develop in surface morphometry a unified approach to surface representation. This paper introduces terrain modelling and compares it with metrology, noting their differences and similarities. Examples of potential redundancy among parameters illustrate one of the many issues common to both disciplines. ?? 2001 Elsevier Science Ltd. All rights reserved.

  3. The laboratory efficiencies initiative: partnership for building a sustainable national public health laboratory system.

    PubMed

    Ridderhof, John C; Moulton, Anthony D; Ned, Renée M; Nicholson, Janet K A; Chu, May C; Becker, Scott J; Blank, Eric C; Breckenridge, Karen J; Waddell, Victor; Brokopp, Charles

    2013-01-01

    Beginning in early 2011, the Centers for Disease Control and Prevention and the Association of Public Health Laboratories launched the Laboratory Efficiencies Initiative (LEI) to help public health laboratories (PHLs) and the nation's entire PHL system achieve and maintain sustainability to continue to conduct vital services in the face of unprecedented financial and other pressures. The LEI focuses on stimulating substantial gains in laboratories' operating efficiency and cost efficiency through the adoption of proven and promising management practices. In its first year, the LEI generated a strategic plan and a number of resources that PHL directors can use toward achieving LEI goals. Additionally, the first year saw the formation of a dynamic community of practitioners committed to implementing the LEI strategic plan in coordination with state and local public health executives, program officials, foundations, and other key partners.

  4. The Laboratory Efficiencies Initiative: Partnership for Building a Sustainable National Public Health Laboratory System

    PubMed Central

    Moulton, Anthony D.; Ned, Renée M.; Nicholson, Janet K.A.; Chu, May C.; Becker, Scott J.; Blank, Eric C.; Breckenridge, Karen J.; Waddell, Victor; Brokopp, Charles

    2013-01-01

    Beginning in early 2011, the Centers for Disease Control and Prevention and the Association of Public Health Laboratories launched the Laboratory Efficiencies Initiative (LEI) to help public health laboratories (PHLs) and the nation's entire PHL system achieve and maintain sustainability to continue to conduct vital services in the face of unprecedented financial and other pressures. The LEI focuses on stimulating substantial gains in laboratories' operating efficiency and cost efficiency through the adoption of proven and promising management practices. In its first year, the LEI generated a strategic plan and a number of resources that PHL directors can use toward achieving LEI goals. Additionally, the first year saw the formation of a dynamic community of practitioners committed to implementing the LEI strategic plan in coordination with state and local public health executives, program officials, foundations, and other key partners. PMID:23997300

  5. 3D interconnect metrology in CMS/ITRI

    NASA Astrophysics Data System (ADS)

    Ku, Y. S.; Shyu, D. M.; Hsu, W. T.; Chang, P. Y.; Chen, Y. C.; Pang, H. L.

    2011-05-01

    Semiconductor device packaging technology is rapidly advancing, in response to the demand for thinner and smaller electronic devices. Three-dimensional chip/wafer stacking that uses through-silicon vias (TSV) is a key technical focus area, and the continuous development of this novel technology has created a need for non-contact characterization. Many of these challenges are novel to the industry due to the relatively large variety of via sizes and density, and new processes such as wafer thinning and stacked wafer bonding. This paper summarizes the developing metrology that has been used during via-middle & via-last TSV process development at EOL/ITRI. While there is a variety of metrology and inspection applications for 3D interconnect processing, the main topics covered here are via CD/depth measurement, thinned wafer inspection and wafer warpage measurement.

  6. Materials Science Laboratory

    NASA Technical Reports Server (NTRS)

    Jackson, Dionne

    2005-01-01

    The NASA Materials Science Laboratory (MSL) provides science and engineering services to NASA and Contractor customers at KSC, including those working for the Space Shuttle. International Space Station. and Launch Services Programs. These services include: (1) Independent/unbiased failure analysis (2) Support to Accident/Mishap Investigation Boards (3) Materials testing and evaluation (4) Materials and Processes (M&P) engineering consultation (5) Metrology (6) Chemical analysis (including ID of unknown materials) (7) Mechanical design and fabrication We provide unique solutions to unusual and urgent problems associated with aerospace flight hardware, ground support equipment and related facilities.

  7. Toward Advancing Nano-Object Count Metrology: A Best Practice Framework

    PubMed Central

    Boyko, Volodymyr; Meyers, Greg; Voetz, Matthias; Wohlleben, Wendel

    2013-01-01

    Background: A movement among international agencies and policy makers to classify industrial materials by their number content of sub–100-nm particles could have broad implications for the development of sustainable nanotechnologies. Objectives: Here we highlight current particle size metrology challenges faced by the chemical industry due to these emerging number percent content thresholds, provide a suggested best-practice framework for nano-object identification, and identify research needs as a path forward. Discussion: Harmonized methods for identifying nanomaterials by size and count for many real-world samples do not currently exist. Although particle size remains the sole discriminating factor for classifying a material as “nano,” inconsistencies in size metrology will continue to confound policy and decision making. Moreover, there are concerns that the casting of a wide net with still-unproven metrology methods may stifle the development and judicious implementation of sustainable nanotechnologies. Based on the current state of the art, we propose a tiered approach for evaluating materials. To enable future risk-based refinements of these emerging definitions, we recommend that this framework also be considered in environmental and human health research involving the implications of nanomaterials. Conclusion: Substantial scientific scrutiny is needed in the area of nanomaterial metrology to establish best practices and to develop suitable methods before implementing definitions based solely on number percent nano-object content for regulatory purposes. Strong cooperation between industry, academia, and research institutions will be required to fully develop and implement detailed frameworks for nanomaterial identification with respect to emerging count-based metrics. Citation: Brown SC, Boyko V, Meyers G, Voetz M, Wohlleben W. 2013. Toward advancing nano-object count metrology: a best practice framework. Environ Health Perspect 121:1282–1291;

  8. Sub-microradian Surface Slope Metrology with the ALS Developmental Long Trace Profiler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V.; Barber, Samuel; Domning, Edward E.

    2009-06-15

    Development of X-ray optics for 3rd and 4th generation X-ray light sources with a level of surface slope precision of 0.1-0.2 {micro}rad requires the development of adequate fabrication technologies and dedicated metrology instrumentation and methods. Currently, the best performance of surface slope measurement has been achieved with the NOM (Nanometer Optical Component Measuring Machine) slope profiler at BESSY (Germany) [1] and the ESAD (Extended Shear Angle Difference) profiler at the PTB (Germany) [2]. Both instruments are based on electronic autocollimators (AC) precisely calibrated for the specific application [3] with small apertures of 2.5-5 mm in diameter. In the present work,more » we describe the design, initial alignment and calibration procedures, the instrumental control and data acquisition system, as well as the measurement performance of the Developmental Long Trace Profiler (DLTP) slope measuring instrument recently brought into operation at the Advanced Light Source (ALS) Optical Metrology Laboratory (OML). Similar to the NOM and ESAD, the DLTP is based on a precisely calibrated autocollimator. However, this is a reasonably low budget instrument used at the ALS OML for the development and testing of new measuring techniques and methods. Some of the developed methods have been implemented into the ALS LTP-II (slope measuring long trace profiler [4]) which was recently upgraded and has demonstrated a capability for 0.25 {micro}rad surface metrology [5]. Performance of the DLTP was verified via a number of measurements with high quality reference mirrors. A comparison with the corresponding results obtained with the world's best slope measuring instrument, the BESSY NOM, proves the accuracy of the DLTP measurements on the level of 0.1-0.2 {micro}rad depending on the curvature of a surface under test. The directions of future work to develop a surface slope measuring profiler with nano-radian performance are also discussed.« less

  9. The future of 2D metrology for display manufacturing

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  10. Understanding Imaging and Metrology with the Helium Ion Microscope

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladár, András E.; Ming, Bin

    2009-09-01

    One barrier to innovation confronting all phases of nanotechnology is the lack of accurate metrology for the characterization of nanomaterials. Ultra-high resolution microscopy is a key technology needed to achieve this goal. But, current microscope technology is being pushed to its limits. The scanning and transmission electron microscopes have incrementally improved in performance and other scanned probe technologies such as atomic force microscopy, scanning tunneling microscopy and focused ion beam microscopes have all been applied to nanotechnology with various levels of success. A relatively new tool for nanotechnology is the scanning helium ion microscope (HIM). The HIM is a new complementary imaging and metrology technology for nanotechnology which may be able to push the current resolution barrier lower. But, successful imaging and metrology with this instrument entails new ion beam/specimen interaction physics which must be fully understood. As a new methodology, HIM is beginning to show promise and the abundance of potentially advantageous applications for nanotechnology have yet to be fully exploited. This presentation will discuss some of the progress made at NIST in understanding the science behind this new technique.

  11. Frederick National Laboratory Celebrates 40 Years | Poster

    Cancer.gov

    By Ashley DeVine, Staff Writer Forty years ago, what we now call the Frederick National Laboratory for Cancer Research was born. Here are some highlights in the facility’s history. October 19, 1971 – President Richard Nixon announced that Fort Detrick would be converted from a biological warfare facility to a cancer research center (Covert, Norman M., Cutting Edge: A History

  12. 76 FR 4133 - National Environmental Policy Act; Mars Science Laboratory (MSL) Mission

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-01-24

    ... NATIONAL AERONAUTICS AND SPACE ADMINISTRATION [Notice (11-008)] National Environmental Policy Act; Mars Science Laboratory (MSL) Mission AGENCY: National Aeronautics and Space Administration (NASA...). SUMMARY: Pursuant to the National Environmental Policy Act, as amended, (NEPA) (42 U.S.C. 4321 et seq...

  13. Metrology requirements for the serial production of ELT primary mirror segments

    NASA Astrophysics Data System (ADS)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  14. Metrology to quantify wear and creep of polyethylene tibial knee inserts.

    PubMed

    Muratoglu, Orhun K; Perinchief, Rebecca S; Bragdon, Charles R; O'Connor, Daniel O; Konrad, Reto; Harris, William H

    2003-05-01

    Assessment of damage on articular surfaces of ultrahigh molecular weight polyethylene tibial knee inserts primarily has been limited to qualitative methods, such as visual observation and classification of features such as pitting, delamination, and subsurface cracking. Semiquantitative methods also have been proposed to determine the linear penetration and volume of the scar that forms on articular surfaces of tibial knee inserts. The current authors report a new metrologic method that uses a coordinate measuring machine to quantify the dimensions of this scar. The articular surface of the insert is digitized with the coordinate measuring machine before and after regular intervals of testing on a knee simulator. The volume and linear penetration of the scar are calculated by mathematically taking the difference between the digitized surface maps of the worn and unworn articular surfaces. Three conventional polyethylene tibial knee inserts of a posterior cruciate-sparing design were subjected to five million cycles of normal gait on a displacement-driven knee wear simulator in bovine serum. A metrologic method was used to calculate creep and wear contributions to the scar formation on each tibial plateau. Weight loss of the inserts was determined gravimetrically with the appropriate correction for fluid absorption. The total average wear volume was 43 +/- 9 and 41 +/- 4 mm3 measured by the metrologic and gravimetric methods, respectively. The wear rate averaged 8.3 +/- 0.9 and 8.5 +/- 1.6 mm3 per million cycles measured by the metrologic and gravimetric methods, respectively. These comparisons reflected strong agreement between the metrologic and gravimetric methods.

  15. NATIONAL LABORATORIES: Better Performance Reporting Could Aid Oversight of Laboratory-Directed R&D Program

    DTIC Science & Technology

    2001-09-01

    Development ( LDRD ) program, which formalized a long-standing policy of allowing its multi-program national laboratories discretion to conduct self...initiated, independent research and development (R&D). DOE requires that LDRD work must focus on the advanced study of scientific or technical problems...

  16. NRMRL SCIENCE PUBLICATIONS (NATIONAL RISK MANAGEMENT RESEARCH LABORATORY, EPA, CINCINNATI, OH)

    EPA Science Inventory

    The National Risk Management Research Laboratory (NRMRL)is the U.S.EPA's center for investigating technological and management approaches for preventing and reducing risks from pollution that threaten human health and the environment. The focus of the Laboratory's research progra...

  17. NATIONAL RISK MANAGEMENT RESEARCH LABORATORY - PROVIDING SOLUTIONS FOR A BETTER TOMORROW

    EPA Science Inventory

    As part of the U.S. Environmental Protection Agency's Office of Research and Development, the National Risk Management Research Laboratory (NRMRL) conducts research into ways to prevent and reduce pollution risks that threaten human health and the environment. The laboratory inve...

  18. Beta-Testing Agreement | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Beta-Testing Agreements are appropriate forlimited term evaluation and applications development of new software, technology, or equipment platforms by the Frederick National Laboratory in collaboration with an external commercial partner. It ma

  19. Metrological traceability of holmium oxide solution

    NASA Astrophysics Data System (ADS)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  20. Technology Innovation for the CTBT, the National Laboratory Contribution

    NASA Astrophysics Data System (ADS)

    Goldstein, W. H.

    2016-12-01

    The Comprehensive Nuclear-Test-Ban Treaty (CTBT) and its Protocol are the result of a long history of scientific engagement and international technical collaboration. The U.S. Department of Energy National Laboratories have been conducting nuclear explosive test-ban research for over 50 years and have made significant contributions to this legacy. Recent examples include the RSTT (regional seismic travel time) computer code and the Smart Sampler—both of these products are the result of collaborations among Livermore, Sandia, Los Alamos, and Pacific Northwest National Laboratories. The RSTT code enables fast and accurate seismic event locations using regional data. This code solves the long-standing problem of using teleseismic and regional seismic data together to locate events. The Smart Sampler is designed for use in On-site Inspections to sample soil gases to look for noble gas fission products from a potential underground nuclear explosive test. The Smart Sampler solves the long-standing problem of collecting soil gases without contaminating the sample with gases from the atmosphere by operating only during atmospheric low-pressure events. Both these products are being evaluated by the Preparatory Commission for the CTBT Organization and the international community. In addition to R&D, the National Laboratories provide experts to support U.S. policy makers in ongoing discussions such as CTBT Working Group B, which sets policy for the development of the CTBT monitoring and verification regime.

  1. Internship at Los Alamos National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dunham, Ryan Q.

    2012-07-11

    Los Alamos National Laboratory (LANL) is located in Los Alamos, New Mexico. It provides support for our country's nuclear weapon stockpile as well as many other scientific research projects. I am an Undergraduate Student Intern in the Systems Design and Analysis group within the Nuclear Nonproliferation division of the Global Security directorate at LANL. I have been tasked with data analysis and modeling of particles in a fluidized bed system for the capture of carbon dioxide from power plant flue gas.

  2. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    ERIC Educational Resources Information Center

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  3. National laboratory policies and plans in sub-Saharan African countries: gaps and opportunities

    PubMed Central

    van der Broek, Ankie; Jansen, Christel; de Bruijn, Hilde; Schultsz, Constance

    2017-01-01

    Background The 2008 Maputo Declaration calls for the development of dedicated national laboratory policies and strategic plans supporting the enhancement of laboratory services in response to the long-lasting relegation of medical laboratory systems in sub-Saharan Africa. Objectives This study describes the extent to which laboratories are addressed in the national health policies and plans created directly following the 2008 momentum for laboratory strengthening. Method National health policies and plans from 39 sub-Saharan African countries, valid throughout and beyond 31 December 2010 were collected in March 2012 and analysed during 2013. Results Laboratories were addressed by all countries. Human resources were the most addressed topic (38/39) and finances and budget were the least addressed (< 5/39). Countries lagging behind in national laboratory strategic planning at the end of 2013 (17/39) were more likely to be francophone countries located in West-Central Africa (13/17) and have historically low HIV prevalence. The most common gaps anticipated to compromise the implementation of the policies and plans were the disconnect between policies and plans, under-developed finance sections and monitoring and evaluating frameworks, absence of points of reference to define gaps and shortages, and inappropriate governance structure. Conclusion The availability of laboratory policy and plan implementation can be improved by strictly applying a more standardised methodology for policy development, using harmonised norms to set targets for improvement and intensifying the establishment of directorates of laboratory services directly under the authority of Ministries of Health. Horizontal programmes such as the Global Health Security Agenda could provide the necessary impulse to take the least advanced countries on board. PMID:28879152

  4. Customer satisfaction assessment at the Pacific Northwest National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DN Anderson; ML Sours

    2000-03-23

    The Pacific Northwest National Laboratory (PNNL) is developing and implementing a customer satisfaction assessment program (CSAP) to assess the quality of research and development provided by the laboratory. This report presents the customer survey component of the PNNL CSAP. The customer survey questionnaire is composed of two major sections: Strategic Value and Project Performance. Both sections contain a set of questions that can be answered with a 5-point Likert scale response. The strategic value section consists of five questions that are designed to determine if a project directly contributes to critical future national needs. The project Performance section consists ofmore » nine questions designed to determine PNNL performance in meeting customer expectations. A statistical model for customer survey data is developed and this report discusses how to analyze the data with this model. The properties of the statistical model can be used to establish a gold standard or performance expectation for the laboratory, and then to assess progress. The gold standard is defined using laboratory management input--answers to four questions, in terms of the information obtained from the customer survey: (1) What should the average Strategic Value be for the laboratory project portfolio? (2) What Strategic Value interval should include most of the projects in the laboratory portfolio? (3) What should average Project Performance be for projects with a Strategic Value of about 2? (4) What should average Project Performance be for projects with a Strategic Value of about 4? To be able to provide meaningful answers to these questions, the PNNL customer survey will need to be fully implemented for several years, thus providing a link between management perceptions of laboratory performance and customer survey data.« less

  5. Lawrence Livermore National Laboratory Environmental Report 2014

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jones, H. E.; Bertoldo, N. A.; Blake, R. G.

    The purposes of the Lawrence Livermore National Laboratory Environmental Report 2014 are to record Lawrence Livermore National Laboratory’s (LLNL’s) compliance with environmental standards and requirements, describe LLNL’s environmental protection and remediation programs, and present the results of environmental monitoring at the two LLNL sites—the Livermore Site and Site 300. The report is prepared for the U.S. Department of Energy (DOE) by LLNL’s Environmental Functional Area. Submittal of the report satisfies requirements under DOE Order 231.1B, “Environment, Safety and Health Reporting,” and DOE Order 458.1, “Radiation Protection of the Public and Environment.”

  6. Lawrence Livermore National Laboratory Environmental Report 2015

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rosene, C. A.; Jones, H. E.

    The purposes of the Lawrence Livermore National Laboratory Environmental Report 2015 are to record Lawrence Livermore National Laboratory’s (LLNL’s) compliance with environmental standards and requirements, describe LLNL’s environmental protection and remediation programs, and present the results of environmental monitoring at the two LLNL sites—the Livermore Site and Site 300. The report is prepared for the U.S. Department of Energy (DOE) by LLNL’s Environmental Functional Area. Submittal of the report satisfies requirements under DOE Order 231.1B, “Environment, Safety and Health Reporting,” and DOE Order 458.1, “Radiation Protection of the Public and Environment.”

  7. What We Offer | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Opportunities We recognize that employee benefit programs are an important part of the total compensation package, and are committed to providing you with comprehensive benefit options. The Frederick National Laboratory's prime contractor, Leidos

  8. Testing activities at the National Battery Test Laboratory

    NASA Astrophysics Data System (ADS)

    Hornstra, F.; Deluca, W. H.; Mulcahey, T. P.

    The National Battery Test Laboratory (NBTL) is an Argonne National Laboratory facility for testing, evaluating, and studying advanced electric storage batteries. The facility tests batteries developed under Department of Energy programs and from private industry. These include batteries intended for future electric vehicle (EV) propulsion, electric utility load leveling (LL), and solar energy storage. Since becoming operational, the NBTL has evaluated well over 1400 cells (generally in the form of three- to six-cell modules, but up to 140-cell batteries) of various technologies. Performance characterization assessments are conducted under a series of charge/discharge cycles with constant current, constant power, peak power, and computer simulated dynamic load profile conditions. Flexible charging algorithms are provided to accommodate the specific needs of each battery under test. Special studies are conducted to explore and optimize charge procedures, to investigate the impact of unique load demands on battery performance, and to analyze the thermal management requirements of battery systems.

  9. Metrology Mount and Optics Mandrels

    NASA Technical Reports Server (NTRS)

    Tananbaum, H.; Russell, Kevin (Technical Monitor)

    2000-01-01

    This document is the Final Report for NASA Grant NAG8-1198 from NASA Marshall Space Flight Center (MSFC) to the Smithsonian Astrophysical Observatory (SAO). The Grant is entitled "Metrology Mount and Optics Materials." This final report is required by the terms of the Grant. The period of performance was from September 15, 1995 through January 14, 1999. Total funding received by SAO from MSFC for this effort was, $254,000. Mr. Lester Cohen carried out most of the work at SAO, but received limited support from other engineers, technicians, and designers. Dr. Harvey Tananbaum, the Principal Investigator for the grant provided overall direction and work- assessment. The Grant has had two funding augmentations to its basic amount and has, over time, emphasized three different research areas - each of which has been an extension of earlier research. The research activity was in 2 areas: (1) Expert opto-structural studies related to separation mechanics and effects of electro formed nickel X-ray mirrors. and (2) Design, fabrication and evaluation of a low force metrology and assembly station for light weight full shell electroformed X-ray mirrors.

  10. Strengthening national health laboratories in sub-Saharan Africa: a decade of remarkable progress.

    PubMed

    Alemnji, G A; Zeh, C; Yao, K; Fonjungo, P N

    2014-04-01

    Efforts to combat the HIV/AIDS pandemic have underscored the fragile and neglected nature of some national health laboratories in Africa. In response, national and international partners and various governments have worked collaboratively over the last several years to build sustainable laboratory capacities within the continent. Key accomplishments reflecting this successful partnership include the establishment of the African-based World Health Organization Regional Office for Africa (WHO-AFRO) Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA); development of the Strengthening Laboratory Management Toward Accreditation (SLMTA) training programme; and launching of a Pan African-based institution, the African Society for Laboratory Medicine (ASLM). These platforms continue to serve as the foundations for national health laboratory infrastructure enhancement, capacity development and overall quality system improvement. Further targeted interventions should encourage countries to aim at integrated tiered referral networks, promote quality system improvement and accreditation, develop laboratory policies and strategic plans, enhance training and laboratory workforce development and a retention strategy, create career paths for laboratory professionals and establish public-private partnerships. Maintaining the gains and ensuring sustainability will require concerted action by all stakeholders with strong leadership and funding from African governments and from the African Union. Published 2014. This article is a U.S. Government work and is in the public domain in the U.S.A.

  11. Nanotechnology Laboratory Collaborates with Army to Develop Botulism Vaccine | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Nanotechnology Characterization Laboratory (NCL) is collaborating with the Army to develop a candidate vaccine against botulism. Under a collaboration agreement between the National Cancer Institute and the U.S. Army Medical Research Institute of

  12. Transient dynamics capability at Sandia National Laboratories

    NASA Technical Reports Server (NTRS)

    Attaway, Steven W.; Biffle, Johnny H.; Sjaardema, G. D.; Heinstein, M. W.; Schoof, L. A.

    1993-01-01

    A brief overview of the transient dynamics capabilities at Sandia National Laboratories, with an emphasis on recent new developments and current research is presented. In addition, the Sandia National Laboratories (SNL) Engineering Analysis Code Access System (SEACAS), which is a collection of structural and thermal codes and utilities used by analysts at SNL, is described. The SEACAS system includes pre- and post-processing codes, analysis codes, database translation codes, support libraries, Unix shell scripts for execution, and an installation system. SEACAS is used at SNL on a daily basis as a production, research, and development system for the engineering analysts and code developers. Over the past year, approximately 190 days of CPU time were used by SEACAS codes on jobs running from a few seconds up to two and one-half days of CPU time. SEACAS is running on several different systems at SNL including Cray Unicos, Hewlett Packard PH-UX, Digital Equipment Ultrix, and Sun SunOS. An overview of SEACAS, including a short description of the codes in the system, are presented. Abstracts and references for the codes are listed at the end of the report.

  13. [Information system of the national network of public health laboratories in Peru (Netlab)].

    PubMed

    Vargas-Herrera, Javier; Segovia-Juarez, José; Garro Nuñez, Gladys María

    2015-01-01

    Clinical laboratory information systems produce improvements in the quality of information, reduce service costs, and diminish wait times for results, among other things. In the construction process of this information system, the National Institute of Health (NIH) of Peru has developed and implemented a web-based application to communicate to health personnel (laboratory workers, epidemiologists, health strategy managers, physicians, etc.) the results of laboratory tests performed at the Peruvian NIH or in the laboratories of the National Network of Public Health Laboratories which is called NETLAB. This article presents the experience of implementing NETLAB, its current situation, perspectives of its use, and its contribution to the prevention and control of diseases in Peru.

  14. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicabilitymore » in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.« less

  15. The pressing energy innovation challenge of the US National Laboratories

    NASA Astrophysics Data System (ADS)

    Anadon, Laura Diaz; Chan, Gabriel; Bin-Nun, Amitai Y.; Narayanamurti, Venkatesh

    2016-10-01

    Accelerating the development and deployment of energy technologies is a pressing challenge. Doing so will require policy reform that improves the efficacy of public research organizations and strengthens the links between public and private innovators. With their US$14 billion annual budget and unique mandates, the US National Laboratories have the potential to critically advance energy innovation, yet reviews of their performance find several areas of weak organizational design. Here, we discuss the challenges the National Laboratories face in engaging the private sector, increasing their contributions to transformative research, and developing culture and management practices to better support innovation. We also offer recommendations for how policymakers can address these challenges.

  16. CD-SEM metrology and OPC modeling for 2D patterning in advanced technology nodes (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Wallow, Thomas I.; Zhang, Chen; Fumar-Pici, Anita; Chen, Jun; Laenens, Bart; Spence, Christopher A.; Rio, David; van Adrichem, Paul; Dillen, Harm; Wang, Jing; Yang, Peng-Cheng; Gillijns, Werner; Jaenen, Patrick; van Roey, Frieda; van de Kerkhove, Jeroen; Babin, Sergey

    2017-03-01

    In the course of assessing OPC compact modeling capabilities and future requirements, we chose to investigate the interface between CD-SEM metrology methods and OPC modeling in some detail. Two linked observations motivated our study: 1) OPC modeling is, in principle, agnostic of metrology methods and best practice implementation. 2) Metrology teams across the industry use a wide variety of equipment, hardware settings, and image/data analysis methods to generate the large volumes of CD-SEM measurement data that are required for OPC in advanced technology nodes. Initial analyses led to the conclusion that many independent best practice metrology choices based on systematic study as well as accumulated institutional knowledge and experience can be reasonably made. Furthermore, these choices can result in substantial variations in measurement of otherwise identical model calibration and verification patterns. We will describe several experimental 2D test cases (i.e., metal, via/cut layers) that examine how systematic changes in metrology practice impact both the metrology data itself and the resulting full chip compact model behavior. Assessment of specific methodology choices will include: • CD-SEM hardware configurations and settings: these may range from SEM beam conditions (voltage, current, etc.,) to magnification, to frame integration optimizations that balance signal-to-noise vs. resist damage. • Image and measurement optimization: these may include choice of smoothing filters for noise suppression, threshold settings, etc. • Pattern measurement methodologies: these may include sampling strategies, CD- and contour- based approaches, and various strategies to optimize the measurement of complex 2D shapes. In addition, we will present conceptual frameworks and experimental methods that allow practitioners of OPC metrology to assess impacts of metrology best practice choices on model behavior. Finally, we will also assess requirements posed by node scaling

  17. Sediment certified reference materials for the determination of polychlorinated biphenyls and organochlorine pesticides from the National Metrology Institute of Japan (NMIJ).

    PubMed

    Numata, Masahiko; Yarita, Takashi; Aoyagi, Yoshie; Tsuda, Yoko; Yamazaki, Misako; Takatsu, Akiko; Ishikawa, Keiichiro; Chiba, Koichi; Okamaoto, Kensaku

    2007-04-01

    Two marine sediment certified reference materials, NMIJ CRM 7304-a and 7305-a, have been issued by the National Metrology Institute of Japan in the National Institute of Advanced Industrial Science and Technology (NMIJ/AIST) for the determination of polychlorinated biphenyls (PCBs) and organochlorine pesticides (OCPs). The raw materials of the CRMs were collected from a bay near industrial activity in Japan. Characterization of these CRMs was conducted by NMIJ, where the sediments were analyzed using multiple analytical methods such as pressurized liquid extraction (PLE), microwave-assisted extraction (MAE), saponification, Soxhlet extraction, supercritical fluid extraction (SFE), and ultrasonic extraction; the target compounds were determined by one of the primary methods of measurements, isotope dilution-mass spectrometry (ID-MS). Certified values have been provided for 14 PCB congeners (PCB numbers 3, 15, 28, 31, 70, 101, 105, 138, 153, 170, 180, 194, 206, 209) and 4 OCPs (gamma-HCH, 4,4'-DDT, 4,4'-DDE, 4,4'-DDD) in both CRMs. NMIJ CRM 7304-a has concentrations of the contaminants that are a factor of 2-15 greater than in CRM 7305-a. Both CRMs have information values for PCB homolog concentrations determined by collaborative analysis using a Japanese official method for determination of PCBs. The total PCB concentrations in the CRMs are approximately 920 and 86 microg kg(-1) dry mass respectively.

  18. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    PubMed

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  19. DABAM: an open-source database of X-ray mirrors metrology

    PubMed Central

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele; Glass, Mark; Idir, Mourad; Metz, Jim; Raimondi, Lorenzo; Rebuffi, Luca; Reininger, Ruben; Shi, Xianbo; Siewert, Frank; Spielmann-Jaeggi, Sibylle; Takacs, Peter; Tomasset, Muriel; Tonnessen, Tom; Vivo, Amparo; Yashchuk, Valeriy

    2016-01-01

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database. PMID:27140145

  20. World wide matching of registration metrology tools of various generations

    NASA Astrophysics Data System (ADS)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  1. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    PubMed Central

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  2. [Laboratory management fee in national health insurance; what is required from clinical laboratory physicians? --message from Chairpersons].

    PubMed

    Kimura, Satoshi; Koshiba, Masahiro

    2013-06-01

    The laboratory management fee (LMF) in national health insurance ("Kentai-Kensa-Kanri-Kasan" in Japanese) has had a major impact on Japanese clinical laboratories, especially in recent years. In 2012, the fee was raised to approximately 5,000 yen per admitted patient. In order to address this national support, clinical pathologists are required to increase their knowledge and skills. On the other hand, there are insufficient clinical pathologists in Japan. In order to solve this problem, the Japanese Society of Laboratory Medicine (JSLM) approved a new license for Qualified Clinical Laboratory Managing Physicians (CLMPs), in addition to Certified Clinical Laboratory Physicians (CCLPs). The requirements to become a CLMP are less strict than for CCLP. There are approximately 500 CLMPs and 600 CCLPs in this country. The aim of this symposium was to offer opportunities to increase attendees' clinical skills, especially CLMPs and young clinical pathologists. Four CCLPs were chosen as speakers from a university hospital, a major city hospital, a medium-sized acute care hospital, and a university hospital anatomical pathologist, together with a chief medical technologist from a university hospital. All the speakers presented their ideal role models of clinical pathologists matching LMF requirements. JSLM together with the Japanese Association of Clinical Laboratory Physicians (JACLaP) sponsored this symposium. It was a successful meeting with more than two hundred attendees.

  3. 2013 Los Alamos National Laboratory Hazardous Waste Minimization Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Salzman, Sonja L.; English, Charles J.

    2015-08-24

    Waste minimization and pollution prevention are inherent goals within the operating procedures of Los Alamos National Security, LLC (LANS). The US Department of Energy (DOE) and LANS are required to submit an annual hazardous waste minimization report to the New Mexico Environment Department (NMED) in accordance with the Los Alamos National Laboratory (LANL or the Laboratory) Hazardous Waste Facility Permit. The report was prepared pursuant to the requirements of Section 2.9 of the LANL Hazardous Waste Facility Permit. This report describes the hazardous waste minimization program (a component of the overall Waste Minimization/Pollution Prevention [WMin/PP] Program) administered by the Environmentalmore » Stewardship Group (ENV-ES). This report also supports the waste minimization and pollution prevention goals of the Environmental Programs Directorate (EP) organizations that are responsible for implementing remediation activities and describes its programs to incorporate waste reduction practices into remediation activities and procedures. LANS was very successful in fiscal year (FY) 2013 (October 1-September 30) in WMin/PP efforts. Staff funded four projects specifically related to reduction of waste with hazardous constituents, and LANS won four national awards for pollution prevention efforts from the National Nuclear Security Administration (NNSA). In FY13, there was no hazardous, mixedtransuranic (MTRU), or mixed low-level (MLLW) remediation waste generated at the Laboratory. More hazardous waste, MTRU waste, and MLLW was generated in FY13 than in FY12, and the majority of the increase was related to MTRU processing or lab cleanouts. These accomplishments and analysis of the waste streams are discussed in much more detail within this report.« less

  4. The Role of a National Biocontainment Laboratory in Emergencies.

    PubMed

    Le Duc, James W; Ksiazek, Thomas G

    2015-01-01

    Over a decade ago, the National Institutes of Health awarded partial support for the construction and operation of 2 National Biocontainment Laboratories, with the condition that they would be available to assist in the event of public health emergencies-although how a biocontainment facility located on an academic campus might contribute was not defined. Here we offer examples of how one of these laboratories has contributed to a coordinated response to 2 recent international public health emergencies. Essential assets for success include highly trained and experienced staff, access to reference pathogens and reagents, cutting-edge knowledge of the field, appropriate biocontainment facilities, robust biosafety and biosecurity programs, and availability of modern instrumentation. The ability to marry the strengths of academia in basic and applied research with access to appropriate biocontainment facilities while drawing on a highly skilled cadre of experienced experts has proven extremely valuable in the response to recent national emergencies and will continue to do so in the future. Areas where additional planning and preparation are needed have also been identified through these experiences.

  5. FOREWORD: The 4th CCM International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa)

    NASA Astrophysics Data System (ADS)

    Legras, Jean-Claude; Jousten, Karl; Severn, Ian

    2005-12-01

    The fourth CCM (Consultative Committee for Mass and related quantities) International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa) was held at the Institute of Physics in London from 19-21 April 2005. The event, which was organized by the Low, Medium and High Pressure working groups of the CCM, was attended by in excess of one hundred participants with representatives from five continents and every regional metrology organization. The purpose of this conference is to review all the work that is devoted to the highest quality of pressure measurement by primary standards as well as the dissemination of the pressure scale. A total of 52 papers were presented orally, and 26 as posters, in sessions that covered the following topics: Latest scientific advances in pressure and vacuum metrology Innovative transfer standards, advanced sensors and new instrument development Primary (top-level) measurement standards International and regional key comparisons New approaches to calibration It is interesting the note that since the third conference in 1999 the pressure range covered has increased by two orders of magnitude to 109 Pa, to take into account more exacting scientific and industrial demands for traceable vacuum measurement. A further feature of the conference was the increased range of instrumentation and techniques used in the realization and potential realization of pressure standards. Seton Bennett, Director of International Metrology at the National Physical Laboratory, opened the conference and Andrew Wallard, Director of the Bureau International des Poids et Mesures (BIPM), gave the keynote address which described the implementation of the mutual recognition arrangement and the resulting removal of metrological barriers to international trade. Many experts have contributed significant amounts of their time to organize the event and to review the submitted papers. Thanks are due to all of these people

  6. 76 FR 65752 - International Space Station (ISS) National Laboratory Advisory Committee; Charter Renewal

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-10-24

    ... NATIONAL AERONAUTICS AND SPACE ADMINISTRATION [Notice (11-104)] International Space Station (ISS) National Laboratory Advisory Committee; Charter Renewal AGENCY: National Aeronautics and Space... International and Interagency Relations, (202) 358-0550, National Aeronautics and Space Administration...

  7. Sandia National Laboratories: Sandia National Laboratories: Missions:

    Science.gov Websites

    ; Technology Defense Systems & Assessments About Defense Systems & Assessments Program Areas Robotics R&D 100 Awards Laboratory Directed Research & Development Technology Deployment Centers Audit Sandia's Economic Impact Licensing & Technology Transfer Browse Technology Portfolios

  8. Abstract - Cooperative Research and Development Agreement between Ames National Laboratory and National Energy Technology Laboratory AGMT-0609

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryden, Mark; Tucker, David A.

    The goal of this project is to develop a merged environment for simulation and analysis (MESA) at the National Energy Technology Laboratory’s (NETL) Hybrid Performance (Hyper) project laboratory. The MESA sensor lab developed as a component of this research will provide a development platform for investigating: 1) advanced control strategies, 2) testing and development of sensor hardware, 3) various modeling in-the-loop algorithms and 4) other advanced computational algorithms for improved plant performance using sensors, real-time models, and complex systems tools.

  9. A metrological approach to improve accuracy and reliability of ammonia measurements in ambient air

    NASA Astrophysics Data System (ADS)

    Pogány, Andrea; Balslev-Harder, David; Braban, Christine F.; Cassidy, Nathan; Ebert, Volker; Ferracci, Valerio; Hieta, Tuomas; Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Peltola, Jari; Persijn, Stefan; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-11-01

    The environmental impacts of ammonia (NH3) in ambient air have become more evident in the recent decades, leading to intensifying research in this field. A number of novel analytical techniques and monitoring instruments have been developed, and the quality and availability of reference gas mixtures used for the calibration of measuring instruments has also increased significantly. However, recent inter-comparison measurements show significant discrepancies, indicating that the majority of the newly developed devices and reference materials require further thorough validation. There is a clear need for more intensive metrological research focusing on quality assurance, intercomparability and validations. MetNH3 (Metrology for ammonia in ambient air) is a three-year project within the framework of the European Metrology Research Programme (EMRP), which aims to bring metrological traceability to ambient ammonia measurements in the 0.5-500 nmol mol-1 amount fraction range. This is addressed by working in three areas: (1) improving accuracy and stability of static and dynamic reference gas mixtures, (2) developing an optical transfer standard and (3) establishing the link between high-accuracy metrological standards and field measurements. In this article we describe the concept, aims and first results of the project.

  10. 2016 Los Alamos National Laboratory Hazardous Waste Minimization Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Salzman, Sonja L.; English, Charles Joe

    Waste minimization and pollution prevention are goals within the operating procedures of Los Alamos National Security, LLC (LANS). The US Department of Energy (DOE), inclusive of the National Nuclear Security Administration (NNSA) and the Office of Environmental Management, and LANS are required to submit an annual hazardous waste minimization report to the New Mexico Environment Department (NMED) in accordance with the Los Alamos National Laboratory (LANL or the Laboratory) Hazardous Waste Facility Permit. The report was prepared pursuant to the requirements of Section 2.9 of the LANL Hazardous Waste Facility Permit. This report describes the hazardous waste minimization program, whichmore » is a component of the overall Pollution Prevention (P2) Program, administered by the Environmental Stewardship Group (EPC-ES). This report also supports the waste minimization and P2 goals of the Associate Directorate of Environmental Management (ADEM) organizations that are responsible for implementing remediation activities and describes its programs to incorporate waste reduction practices into remediation activities and procedures. This report includes data for all waste shipped offsite from LANL during fiscal year (FY) 2016 (October 1, 2015 – September 30, 2016). LANS was active during FY2016 in waste minimization and P2 efforts. Multiple projects were funded that specifically related to reduction of hazardous waste. In FY2016, there was no hazardous, mixed-transuranic (MTRU), or mixed low-level (MLLW) remediation waste shipped offsite from the Laboratory. More non-remediation hazardous waste and MLLW was shipped offsite from the Laboratory in FY2016 compared to FY2015. Non-remediation MTRU waste was not shipped offsite during FY2016. These accomplishments and analysis of the waste streams are discussed in much more detail within this report.« less

  11. Who We Are | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Frederick National Laboratory is addressing some of the most urgent problems in the biomedical sciences – in cancer and AIDS, drug development and first-in-human clinical trials, applications of nanotechnology in medicine, and rapid response to

  12. Kite: Status of the External Metrology Testbed for SIM

    NASA Technical Reports Server (NTRS)

    Dekens, Frank G.; Alvarez-Salazar, Oscar; Azizi, Alireza; Moser, Steven; Nemati, Bijan; Negron, John; Neville, Timothy; Ryan, Daniel

    2004-01-01

    Kite is a system level testbed for the External Metrology system of the Space Interferometry Mission (SIM). The External Metrology System is used to track the fiducial that are located at the centers of the interferometer's siderostats. The relative changes in their positions needs to be tracked to tens of picometers in order to correct for thermal measurements, the Kite testbed was build to test both the metrology gauges and out ability to optically model the system at these levels. The Kite testbed is an over-constraint system where 6 lengths are measured, but only 5 are needed to determine the system. The agreement in the over-constrained length needs to be on the order of 140 pm for the SIM Wide-Angle observing scenario and 8 pm for the Narrow-Angle observing scenario. We demonstrate that we have met the Wide-Angle goal with our current setup. For the Narrow-Angle case, we have only reached the goal for on-axis observations. We describe the testbed improvements that have been made since our initial results, and outline the future Kite changes that will add further effects that SIM faces in order to make the testbed more SIM like.

  13. National Water Quality Laboratory, 1995 services catalog

    USGS Publications Warehouse

    Timme, P.J.

    1995-01-01

    This Services Catalog contains information about field supplies and analytical services available from the National Water Quality Laboratory in Denver, Colo., and field supplies available from the Quality Water Service Unit in Ocala, Fla., to members of the U.S. Geological Survey. To assist personnel in the selection of analytical services, this catalog lists sample volume, required containers, applicable concentration range, detection level, precision of analysis, and preservation requirements for samples.

  14. Dynamic metrology and data processing for precision freeform optics fabrication and testing

    NASA Astrophysics Data System (ADS)

    Aftab, Maham; Trumper, Isaac; Huang, Lei; Choi, Heejoo; Zhao, Wenchuan; Graves, Logan; Oh, Chang Jin; Kim, Dae Wook

    2017-06-01

    Dynamic metrology holds the key to overcoming several challenging limitations of conventional optical metrology, especially with regards to precision freeform optical elements. We present two dynamic metrology systems: 1) adaptive interferometric null testing; and 2) instantaneous phase shifting deflectometry, along with an overview of a gradient data processing and surface reconstruction technique. The adaptive null testing method, utilizing a deformable mirror, adopts a stochastic parallel gradient descent search algorithm in order to dynamically create a null testing condition for unknown freeform optics. The single-shot deflectometry system implemented on an iPhone uses a multiplexed display pattern to enable dynamic measurements of time-varying optical components or optics in vibration. Experimental data, measurement accuracy / precision, and data processing algorithms are discussed.

  15. Earthquakes and sea level - Space and terrestrial metrology on a changing planet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bilham, R.

    1991-02-01

    A review is presented of the stability and scale of crustal deformation metrology which has particular relevance to monitoring deformation associated with sea level and earthquakes. Developments in space geodesy and crustal deformation metrology in the last two decades have the potential to acquire a homogeneous global data set for monitoring relative horizontal and vertical motions of the earth's surface to within several millimeters. New tools discussed for forecasting sea level rise and damaging earthquakes include: very long baseline interferometry, satellite laser ranging, the principles of GPS geodesy, and new sea level sensors. Space geodesy permits a unified global basismore » for future metrology of the earth, and the continued availability of the GPS is currently fundamental to this unification.« less

  16. [Report of the NEDO project "Research and development to promote the creation and utilization of an intellectual infrastructure: development of reference materials for laboratory medicine" "Development of pure substance-type certified reference materials"].

    PubMed

    Takatsu, Akiko

    2009-06-01

    There is an increasing demand to establish a metrological traceability system for in vitro diagnostics and medical devices. Pure substance-type reference materials are playing key roles in metrological traceability, because they form the basis for many traceability chains in chemistry. The National Metrology Institute of Japan (NMIJ), in the National Institute of Advanced Industrial Science and Technology (AIST), has been developing purity-certified reference materials (CRMs) in this field, such as cholesterol, creatinine, and urea. In the New Energy and Industrial Technology Development Organization (NEDO) project, entitled: "Research and Development to Promote the Creation and Utilization of an Intellectual Infrastructure: Development of Reference Materials for Laboratory Medicine", several pure substance-type CRMs were developed. For a pure protein solution CRM, amino acid analysis and nitrogen determination were chosen as the certification methods. The development and certification processes for the C-reactive protein (CRP) solution CRM were completed, with the recombinant human CRP solution as a candidate material. This CRP solution CRM is now available as NMIJ CRM. For cortisol CRM, a purified candidate material and highly pure primary reference material were prepared. Each impure compound in the materials was identified and quantified. The pure cortisol CRM will be available in 2009. These two CRMs provide a traceability link between routine clinical methods and the SI unit.

  17. Customer Satisfaction Assessment at the Pacific Northwest National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, Dale N.; Sours, Mardell L.

    2000-03-20

    The Pacific Northwest National Laboratory (PNNL) is developing and implementing a customer satisfaction assessment program (CSAP) to assess the quality of research and development provided by the laboratory. We present the customer survey component of the PNNL CSAP. The customer survey questionnaire is composed of 2 major sections, Strategic Value and Project Performance. The Strategic Value section of the questionnaire consists of 5 questions that can be answered with a 5 point Likert scale response. These questions are designed to determine if a project is directly contributing to critical future national needs. The Project Performance section of the questionnaire consistsmore » of 9 questions that can be answered with a 5 point Likert scale response. These questions determine PNNL performance in meeting customer expectations. Many approaches could be used to analyze customer survey data. We present a statistical model that can accurately capture the random behavior of customer survey data. The properties of this statistical model can be used to establish a "gold standard'' or performance expectation for the laboratory, and then assess progress. The gold standard is defined from input from laboratory management --- answers to 4 simple questions, in terms of the information obtained from the CSAP customer survey, define the standard: *What should the average Strategic Value be for the laboratory project portfolio? *What Strategic Value interval should include most of the projects in the laboratory portfolio? *What should average Project Performance be for projects with a Strategic Value of about 2? *What should average Project Performance be for projects with a Strategic Value of about 4? We discuss how to analyze CSAP customer survey data with this model. Our discussion will include "lessons learned" and issues that can invalidate this type of assessment.« less

  18. Energy Secretary Rick Perry Visits Oak Ridge National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    Energy Secretary Rick Perry visited Oak Ridge National Laboratory on May 22, 2017. During his visit, the secretary not only toured the lab's premier research facilities, but also had some fun with two of its 3D-printed vehicles.

  19. Gloss evaluation from soft and hard metrologies.

    PubMed

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  20. The total spectral radiant flux calibration using a spherical spectrometer at National Institute of Metrology China

    NASA Astrophysics Data System (ADS)

    Zhao, Weiqiang; Liu, Hui; Liu, Jian

    2016-11-01

    At present day, in the field of lighting the incandescent lamps are phasing out. The solid state lighting products, i.e. LED, and the related market are developing very fast in China for its promising application, due to the energy-saving and the colorful features. For the quality control and the commercial trade purpose, it is highly necessary to measure the optical parameters of LED light sources with a fast, easy and affordable facility. Therefore, more test labs use the spherical spectrometer to measure LED. The quasi- monochrome of LED and the V(lambda) of silicon photodetector mismatch problem is reduced or avoided, because the total spectral radiant flux (TSRF) is measured, and all the optical parameters are calculate from the TSRF. In such a way, the spherical spectrometer calibration requires TSRF standard lamps instead of the traditional total flux standard lamps. National Institute of Metrology China (NIM) has studied and developed the facilities for TSRF measurement and provides related calibration services. This paper shows the TSRF standard lamp calibration procedure using a spherical spectrometer in every-day calibration and its traceable link to the primary SI unit at NIM. The sphere is of 1.5 m diameter, and installed with a spectrometer and a silicon photodetector. It also shows the detail of data process, such as the spectral absorption correction method and the calculation of the result derived from the spectral readings. The TSRF calibration covers the spectra range of 350 nm to 1050 nm, with a measurement uncertainty of 3.6% 1.8% (k=2).

  1. Lab Plays Central Role in Groundbreaking National Clinical Trial in Precision Medicine | Frederick National Laboratory for Cancer Research

    Cancer.gov

    The Molecular Characterization Laboratory at the Frederick National Laboratory for Cancer Research lies at the heart of an ambitious new approach for testing cancer drugs that will use the newest tools of precision medicine to select the best treatme

  2. Argonne National Laboratory annual report of Laboratory Directed Research and Development Program Activities FY 2009.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Office of the Director

    2010-04-09

    I am pleased to submit Argonne National Laboratory's Annual Report on its Laboratory Directed Research and Development (LDRD) activities for fiscal year 2009. Fiscal year 2009 saw a heightened focus by DOE and the nation on the need to develop new sources of energy. Argonne scientists are investigating many different sources of energy, including nuclear, solar, and biofuels, as well as ways to store, use, and transmit energy more safely, cleanly, and efficiently. DOE selected Argonne as the site for two new Energy Frontier Research Centers (EFRCs) - the Institute for Atom-Efficient Chemical Transformations and the Center for Electrical Energymore » Storage - and funded two other EFRCs to which Argonne is a major partner. The award of at least two of the EFRCs can be directly linked to early LDRD-funded efforts. LDRD has historically seeded important programs and facilities at the lab. Two of these facilities, the Advanced Photon Source and the Center for Nanoscale Materials, are now vital contributors to today's LDRD Program. New and enhanced capabilities, many of which relied on LDRD in their early stages, now help the laboratory pursue its evolving strategic goals. LDRD has, since its inception, been an invaluable resource for positioning the Laboratory to anticipate, and thus be prepared to contribute to, the future science and technology needs of DOE and the nation. During times of change, LDRD becomes all the more vital for facilitating the necessary adjustments while maintaining and enhancing the capabilities of our staff and facilities. Although I am new to the role of Laboratory Director, my immediate prior service as Deputy Laboratory Director for Programs afforded me continuous involvement in the LDRD program and its management. Therefore, I can attest that Argonne's program adhered closely to the requirements of DOE Order 413.2b and associated guidelines governing LDRD. Our LDRD program management continually strives to be more efficient. In addition

  3. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    NASA Astrophysics Data System (ADS)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  4. Sandia National Laboratories Institutional Plan FY1994--1999

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Not Available

    1993-10-01

    This report presents a five year plan for the laboratory. This plan takes advantage of the technical strengths of the lab and its staff to address issues of concern to the nation on a scope much broader than Sandia`s original mission, while maintaining the general integrity of the laboratory. The plan proposes initiatives in a number of technologies which overlap the needs of its customers and the strengths of its staff. They include: advanced manufacturing technology; electronics; information and computational technology; transportation energy technology and infrastructure; environmental technology; energy research and technology development; biomedical systems engineering; and post-cold war defensemore » imperatives.« less

  5. Forensic-metrological considerations on assessment of compliance (or non-compliance) in forensic blood alcohol content determinations: A case study with software application.

    PubMed

    Zamengo, Luca; Frison, Giampietro; Tedeschi, Gianpaola; Frasson, Samuela

    2016-08-01

    Blood alcohol concentration is the most frequent analytical determination carried out in forensic toxicology laboratories worldwide. It is usually required to assess if an offence has been committed by comparing blood alcohol levels with specified legal limits, which can vary widely among countries. Due to possible serious legal consequences associated with non-compliant alcohol levels, measurement uncertainty should be carefully evaluated, along with other metrological aspects which can influence the final result. The whole procedure can be time-consuming and error-generating in routine practice, increasing the risks for unreliable assessments. A software application named Ethanol WorkBook (EtWB) was developed at the author's laboratory by using Visual Basic for Application language and MS Excel(®), with the aim of providing help to forensic analysts involved in blood alcohol determinations. The program can (i) calculate measurement uncertainties and decision limits with different methodologies; (ii) assess compliance to specification limits with a guard-band approach; (iii) manage quality control (QC) data and create control charts for QC samples; (iv) create control maps from real cases data archives; (v) provide laboratory reports with graphical outputs for elaborated data and (vi) create comprehensive searchable case archives. A typical example of drink driving case is presented and discussed to illustrate the importance of a metrological approach for reliable compliance assessment and to demonstrate software application in routine practice. The tool is made freely available to the scientific community at request. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  6. Frederick National Laboratory Celebrates 40 Years | Poster

    Cancer.gov

    By Ashley DeVine, Staff Writer Forty years ago, what we now call the Frederick National Laboratory for Cancer Research was born. Here are some highlights in the facility’s history. October 19, 1971 – President Richard Nixon announced that Fort Detrick would be converted from a biological warfare facility to a cancer research center (Covert, Norman M., Cutting Edge: A History of Fort Detrick, Maryland, 1943–1993, pp. 85–87).

  7. 78 FR 24154 - Notice of Availability of a National Animal Health Laboratory Network Reorganization Concept Paper

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-04-24

    ...] Notice of Availability of a National Animal Health Laboratory Network Reorganization Concept Paper AGENCY... Network (NAHLN) for public review and comment. The NAHLN is a nationally coordinated network and... Coordinator, National Animal Health Laboratory Network, Veterinary Services, APHIS, 2140 Centre Avenue...

  8. DABAM: an open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    2016-04-20

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  9. DABAM: an open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  10. DABAM: An open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. In conclusion, some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  11. DABAM: An open-source database of X-ray mirrors metrology

    DOE PAGES

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele; ...

    2016-05-01

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. In conclusion, some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  12. Sandia National Laboratories, California Environmental Management System program manual.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Larsen, Barbara L.

    2012-03-01

    The Sandia National Laboratories, California (SNL/CA) Environmental Management System (EMS) Program Manual documents the elements of the site EMS Program. The SNL/CA EMS Program conforms to the International Standard on Environmental Management Systems, ISO 14001:2004and Department of Energy (DOE) Order 436.1. Sandia National Laboratories, California (SNL/CA) has maintained functional environmental programs to assist with regulatory compliance for more than 30 years. During 2005, these existing programs were rolled into a formal environmental management system (EMS) that expands beyond the traditional compliance focus to managing and improving environmental performance and stewardship practices for all site activities. An EMS is a setmore » of inter-related elements that represent a continuing cycle of planning, implementing, evaluating, and improving processes and actions undertaken to achieve environmental policy and goals. The SNL/CA EMS Program conforms to the International Standard for Environmental Management Systems, ISO 14001:2004 (ISO 2004). The site first received ISO 14001 certification in September 2006 and recertification in 2009. SNL/CA's EMS Program is applicable to the Sandia, Livermore site only. Although SNL/CA operates as one organizational division of the overall Sandia National Laboratories, the EMS Program is site-specific, with site-specific objectives and targets. SNL/CA (Division 8000) benefits from the organizational structure as it provides corporate level policies, procedures, and standards, and established processes that connect to and support elements of the SNL/CA EMS Program. Additionally, SNL/CA's EMS Program benefits from two corporate functional programs (Facilities Energy and Water Resource Management and Fleet Services programs) that maintain responsibility for energy management and fleet services for all Sandia locations. Each EMS element is further enhanced with site-specific processes and standards. Division 8000 has

  13. Photogrammetric Metrology for the James Webb Space Telescope Integrated Science Instrument Module

    NASA Technical Reports Server (NTRS)

    Nowak, Maria; Crane, Allen; Davila, Pam; Eichhorn, William; Gill, James; Herrera, Acey; Hill, Michael; Hylan, Jason; Jetten, Mark; Marsh, James; hide

    2007-01-01

    The James Webb Space Telescope (JWST) is a 6.6m diameter, segmented, deployable telescope for cryogenic IR space astronomy (approximately 40K). The JWST Observatory architecture includes the Optical Telescope Element and the Integrated Science Instrument Module (ISIM) element that contains four science instruments (SI) including a Guider. The ISM optical metering structure is a roughly 2.2x1.7x2.2m, asymmetric frame that is composed of carbon fiber and resin tubes bonded to invar end fittings and composite gussets and clips. The structure supports the SIs, isolates the SIs from the OTE, and supports thermal and electrical subsystems. The structure is attached to the OTE structure via strut-like kinematic mounts. The ISIM structure must meet its requirements at the approximately 40K cryogenic operating temperature. The SIs are aligned to the structure's coordinate system under ambient, clean room conditions using laser tracker and theodolite metrology. The ISIM structure is thermally cycled for stress relief and in order to measure temperature-induced mechanical, structural changes. These ambient-to-cryogenic changes in the alignment of SI and OTE-related interfaces are an important component in the JWST Observatory alignment plan and must be verified. We report on the planning for and preliminary testing of a cryogenic metrology system for ISIM based on photogrammetry. Photogrammetry is the measurement of the location of custom targets via triangulation using images obtained at a suite of digital camera locations and orientations. We describe metrology system requirements, plans, and ambient photogrammetric measurements of a mock-up of the ISIM structure to design targeting and obtain resolution estimates. We compare these measurements with those taken from a well known ambient metrology system, namely, the Leica laser tracker system. We also describe the data reduction algorithm planned to interpret cryogenic data from the Flight structure. Photogrammetry was

  14. Nuclear energy related capabilities at Sandia National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pickering, Susan Y.

    2014-02-01

    Sandia National Laboratories' technology solutions are depended on to solve national and global threats to peace and freedom. Through science and technology, people, infrastructure, and partnerships, part of Sandia's mission is to meet the national needs in the areas of energy, climate and infrastructure security. Within this mission to ensure clean, abundant, and affordable energy and water is the Nuclear Energy and Fuel Cycle Programs. The Nuclear Energy and Fuel Cycle Programs have a broad range of capabilities, with both physical facilities and intellectual expertise. These resources are brought to bear upon the key scientific and engineering challenges facing themore » nation and can be made available to address the research needs of others. Sandia can support the safe, secure, reliable, and sustainable use of nuclear power worldwide by incorporating state-of-the-art technologies in safety, security, nonproliferation, transportation, modeling, repository science, and system demonstrations.« less

  15. A woman like you: Women scientists and engineers at Brookhaven National Laboratory. Careers in action

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Not Available

    1991-12-31

    This publication by the women in Science and Engineering introduces career possibilities in science and engineering. It introduces what work and home life are like for women who have already entered these fields. Women at Brookhaven National Laboratory work in a variety of challenging research roles -- from biologist and environmental scientist to safety engineer, from patent lawyer to technician. Brookhaven National Laboratory is a multi-program laboratory which carries out basic and applied research in the physical, biomedical and environmental sciences and in selected energy technologies. The Laboratory is managed by Associated University, Inc., under contract with the US Departmentmore » of Energy. Brookhaven and the other national laboratories, because of their enormous research resources, can play a critical role in a education and training of the workforce.« less

  16. Gran Sasso National Laboratory: Outreach and communication activities

    NASA Astrophysics Data System (ADS)

    Antolini, R.; Di Giovanni, A.; Galeota, M.; Sebastiani, S.

    2010-01-01

    Due to its fascinating structures, the Gran Sasso National Laboratory (LNGS) offers huge opportunities for communication and outreach activities conceived for students and general public. A great effort is devoted to the organisation of the "OPEN DAY", in which the scientific staff of Gran Sasso introduces non expert people to the main relevant research topics of the laboratory through interactive demonstrations and particle detectors. In particular, a portable cosmic rays telescope has been realized: the detector is used by LNGS team in pubblic events as well as to promote the scientific activities of the Laboratory. In order to point out the importance of the scientific culture for young people, LNGS is involved in the organisation of several training courses for students and teachers focused on the improvement of the knowledge on modern physics topics. Since May 2008 is operating in Teramo the "Galileium", an interactive museum for physics and astrophysics.

  17. Developments of Spent Nuclear Fuel Pyroprocessing Technology at Idaho National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Michael F. Simpson

    This paper summarizes research in used fuel pyroprocessing that has been published by Idaho National Laboratory over the last decade. It includes work done both on treatment of Experimental Breeder Reactor-II and development of advanced technology for potential scale-up and commercialization. Collaborations with universities and other laboratories is included in the cited work.

  18. Material Transfer Agreement (MTA) | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Material Transfer Agreements are appropriate for exchange of materials into or out of the Frederick National Laboratory for research or testing purposes, with no collaborative research by parties involving the materials.

  19. Integration of National Laboratory and Low-Activity Waste Pre-Treatment System Technology Service Providers - 16435

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Subramanian, Karthik H.; Thien, Michael G.; Wellman, Dawn M.

    The National Laboratories are a critical partner and provide expertise in numerous aspects of the successful execution of the Direct-Feed Low Activity Waste Program. The National Laboratories are maturing the technologies of the Low-Activity Waste Pre-Treatment System (LAWPS) consistent with DOE Order 413.3B “Program and Project Management for the Acquisition of Capital Assets” expectations. The National Laboratories continue to mature waste forms, i.e. glass and secondary waste grout, for formulations and predictions of long-term performance as inputs to performance assessments. The working processes with the National Laboratories have been developed in procurements, communications, and reporting to support the necessary delivery-basedmore » technology support. The relationship continues to evolve from planning and technology development to support of ongoing operations and integration of multiple highly coordinated facilities.« less

  20. Quantum metrology and estimation of Unruh effect

    PubMed Central

    Wang, Jieci; Tian, Zehua; Jing, Jiliang; Fan, Heng

    2014-01-01

    We study the quantum metrology for a pair of entangled Unruh-Dewitt detectors when one of them is accelerated and coupled to a massless scalar field. Comparing with previous schemes, our model requires only local interaction and avoids the use of cavities in the probe state preparation process. We show that the probe state preparation and the interaction between the accelerated detector and the external field have significant effects on the value of quantum Fisher information, correspondingly pose variable ultimate limit of precision in the estimation of Unruh effect. We find that the precision of the estimation can be improved by a larger effective coupling strength and a longer interaction time. Alternatively, the energy gap of the detector has a range that can provide us a better precision. Thus we may adjust those parameters and attain a higher precision in the estimation. We also find that an extremely high acceleration is not required in the quantum metrology process. PMID:25424772

  1. Evaluating diffraction based overlay metrology for double patterning technologies

    NASA Astrophysics Data System (ADS)

    Saravanan, Chandra Saru; Liu, Yongdong; Dasari, Prasad; Kritsun, Oleg; Volkman, Catherine; Acheta, Alden; La Fontaine, Bruno

    2008-03-01

    Demanding sub-45 nm node lithographic methodologies such as double patterning (DPT) pose significant challenges for overlay metrology. In this paper, we investigate scatterometry methods as an alternative approach to meet these stringent new metrology requirements. We used a spectroscopic diffraction-based overlay (DBO) measurement technique in which registration errors are extracted from specially designed diffraction targets for double patterning. The results of overlay measurements are compared to traditional bar-in-bar targets. A comparison between DBO measurements and CD-SEM measurements is done to show the correlation between the two approaches. We discuss the total measurement uncertainty (TMU) requirements for sub-45 nm nodes and compare TMU from the different overlay approaches.

  2. Nanotechnology Characterization Laboratory Unveils New Technical Services for Drug Developers | Frederick National Laboratory for Cancer Research

    Cancer.gov

    FREDERICK, Md. -- Drug developers now have access to a shared analytical technology, developed and provided by the Frederick National Laboratory for Cancer Research, that helps fine-tune nanomedicine formulations and overcomes a key hurdle on the pat

  3. THE NATIONAL EXPOSURE RESEARCH LABORATORY'S COMPREHENSIVE HUMAN ACTIVITY DATABASE

    EPA Science Inventory

    EPA's National Exposure Research Laboratory (NERL) has combined data from nine U.S. studies related to human activities into one comprehensive data system that can be accessed via the world-wide web. The data system is called CHAD-Consolidated Human Activity Database-and it is ...

  4. Aqueous Nitrate Recovery Line at Los Alamos National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Finstad, Casey Charles

    2016-06-15

    This powerpoint is part of the ADPSM Plutonium Engineering Lecture Series, which is an opportunity for new hires at LANL to get an overview of work done at TA55. It goes into detail about the aqueous nitrate recovery line at Los Alamos National Laboratory.

  5. THE NATIONAL EXPOSURE RESEARCH LABORATORY'S CONSOLIDATED HUMAN ACTIVITY DATABASE

    EPA Science Inventory

    EPA's National Exposure Research Laboratory (NERL) has combined data from 12 U.S. studies related to human activities into one comprehensive data system that can be accessed via the Internet. The data system is called the Consolidated Human Activity Database (CHAD), and it is ...

  6. EPA/ORD NATIONAL EXPOSURE RESEARCH LABORATORY MEASUREMENT SCIENCE SUPPORT FOR HOMELAND SECURITY

    EPA Science Inventory

    This product describes the National Exposure Research Laboratory research and development support for homeland security through the proposed National Exposure Measurements Center (NEMC). Key NEMC functional areas depicted in this poster are: standardized analytical method develo...

  7. Idaho National Laboratory Quarterly Event Performance Analysis FY 2013 4th Quarter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchell, Lisbeth A.

    2013-11-01

    This report is published quarterly by the Idaho National Laboratory (INL) Performance Assurance Organization. The Department of Energy Occurrence Reporting and Processing System (ORPS) as prescribed in DOE Order 232.2 “Occurrence Reporting and Processing of Operations Information” requires a quarterly analysis of events, both reportable and not reportable for the previous twelve months. This report is the analysis of occurrence reports and deficiency reports (including not reportable events) identified at the Idaho National Laboratory (INL) during the period of October 2012 through September 2013.

  8. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  9. Development of metrology for freeform optics in reflection mode

    NASA Astrophysics Data System (ADS)

    Burada, Dali R.; Pant, Kamal K.; Mishra, Vinod; Bichra, Mohamed; Khan, Gufran S.; Sinzinger, Stefan; Shakher, Chandra

    2017-06-01

    The increased range of manufacturable freeform surfaces offered by the new fabrication techniques is giving opportunities to incorporate them in the optical systems. However, the success of these fabrication techniques depends on the capabilities of metrology procedures and a feedback mechanism to CNC machines for optimizing the manufacturing process. Therefore, a precise and in-situ metrology technique for freeform optics is in demand. Though all the techniques available for aspheres have been extended for the freeform surfaces by the researchers, but none of the techniques has yet been incorporated into the manufacturing machine for in-situ measurement. The most obvious reason is the complexity involved in the optical setups to be integrated in the manufacturing platforms. The Shack-Hartmann sensor offers the potential to be incorporated into the machine environment due to its vibration insensitivity, compactness and 3D shape measurement capability from slope data. In the present work, a measurement scheme is reported in which a scanning Shack-Hartmann Sensor has been employed and used as a metrology tool for measurement of freeform surface in reflection mode. Simulation studies are conducted for analyzing the stitching accuracy in presence of various misalignment errors. The proposed scheme is experimentally verified on a freeform surface of cubic phase profile.

  10. Ernest Orlando Lawrence Berkeley National Laboratory Institutional Plan FY 2000-2004

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chartock, Mike; Hansen, Todd

    1999-08-01

    The FY 2000-2004 Institutional Plan provides an overview of the Ernest Orlando Lawrence Berkeley National Laboratory (Berkeley Lab, the Laboratory) mission, strategic plan, initiatives, and the resources required to fulfill its role in support of national needs in fundamental science and technology, energy resources, and environmental quality. To advance the Department of Energy's ongoing efforts to define the Integrated Laboratory System, the Berkeley Lab Institutional Plan reflects the strategic elements of our planning efforts. The Institutional Plan is a management report that supports the Department of Energy's mission and programs and is an element of the Department of Energy's strategicmore » management planning activities, developed through an annual planning process. The Plan supports the Government Performance and Results Act of 1993 and complements the performance-based contract between the Department of Energy and the Regents of the University of California. It identifies technical and administrative directions in the context of the national energy policy and research needs and the Department of Energy's program planning initiatives. Preparation of the plan is coordinated by the Office of Planning and Communications from information contributed by Berkeley Lab's scientific and support divisions.« less

  11. On the benefit of high resolution and low aberrations for in-die mask registration metrology

    NASA Astrophysics Data System (ADS)

    Beyer, Dirk; Seidel, Dirk; Heisig, Sven; Steinert, Steffen; Töpfer, Susanne; Scherübl, Thomas; Hetzler, Jochen

    2014-10-01

    With the introduction of complex lithography schemes like double and multi - patterning and new design principles like gridded designs with cut masks the requirements for mask to mask overlay have increased dramatically. Still, there are some good news too for the mask industry since more mask are needed and qualified. Although always confronted with throughput demands, latest writing tool developments are able to keep pace with ever increasing pattern placement specs not only for global signatures but for in-die features within the active area. Placement specs less than 3nm (max. 3 Sigma) are expected and needed in all cases in order to keep the mask contribution to the overall overlay budget at an accepted level. The qualification of these masks relies on high precision metrology tools which have to fulfill stringent metrology as well as resolution constrains at the same time. Furthermore, multi-patterning and gridded designs with pinhole type cut masks are drivers for a paradigm shift in registration metrology from classical registration crosses to in-die registration metrology on production features. These requirements result in several challenges for registration metrology tools. The resolution of the system must be sufficiently high to resolve small production features. At the same time tighter repeatability is required. Furthermore, tool induced shift (TIS) limit the accuracy of in-die measurements. This paper discusses and demonstrates the importance of low illumination wavelength together with low aberrations for best contrast imaging for in-die registration metrology. Typical effects like tool induced shift are analyzed and evaluated using the ZEISS PROVE® registration metrology tool. Additionally, we will address performance gains when going to higher resolution. The direct impact on repeatability for small features by registration measurements will be discussed as well.

  12. The Fiftieth Anniversary of Brookhaven National Laboratory: A Turbulent Time

    NASA Astrophysics Data System (ADS)

    Bond, Peter D.

    2018-03-01

    The fiftieth anniversary year of Brookhaven National Laboratory was momentous, but for reasons other than celebrating its scientific accomplishments. Legacy environmental contamination, community unrest, politics, and internal Department of Energy issues dominated the year. It was the early days of perhaps the most turbulent time in the lab's history. The consequences resulted in significant changes at the lab, but in addition they brought a change to contracts to manage the Department of Energy laboratories.

  13. The Fiftieth Anniversary of Brookhaven National Laboratory: A Turbulent Time

    NASA Astrophysics Data System (ADS)

    Bond, Peter D.

    2018-06-01

    The fiftieth anniversary year of Brookhaven National Laboratory was momentous, but for reasons other than celebrating its scientific accomplishments. Legacy environmental contamination, community unrest, politics, and internal Department of Energy issues dominated the year. It was the early days of perhaps the most turbulent time in the lab's history. The consequences resulted in significant changes at the lab, but in addition they brought a change to contracts to manage the Department of Energy laboratories.

  14. Importance of the Primary Radioactivity Standard Laboratory and Implementation of its Quality Management

    NASA Astrophysics Data System (ADS)

    Sahagia, Maria; Razdolescu, Anamaria Cristina; Luca, Aurelian; Ivan, Constantin

    2007-04-01

    The paper presents some specific aspects of the implementation of the quality management in the Radionuclide Metrology Laboratory, from IFIN-HH, the owner of the primary Romanian standard in radioactivity. The description of the accreditation, according to the EN ISO/IEC 17025:2005, is presented.

  15. New Visiting Scholars Program at Frederick National Laboratory | Office of Cancer Clinical Proteomics Research

    Cancer.gov

    The Frederick National Laboratory for Cancer Research is now accepting Expressions of Interest to its new Visiting Scholars Program (VSP). VSP is a unique opportunity for researchers to work on important cancer and AIDS projects with teams of scientists at the only federal national laboratory in the United States devoted exclusively to biomedical research.

  16. Technical Service Agreement (TSA) | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Frederick National Laboratory for Cancer Research (FNLCR) scientists provide services and solutions to collaborators through the Technical Services Program, whose portfolio includes more than 200 collaborations with more than 80 partners. The Frederi

  17. Site environmental report for 2009 : Sandia National Laboratories, California.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Larsen, Barbara L.

    2010-06-01

    Sandia National Laboratories, California (SNL/CA) is a government-owned/contractor-operated laboratory. Sandia Corporation, a Lockheed Martin Company, operates the laboratory for the Department of Energy's National Nuclear Security Administration (NNSA). The NNSA Sandia Site Office oversees operations at the site, using Sandia Corporation as a management and operating contractor. This Site Environmental Report for 2009 was prepared in accordance with DOE Order 231.1A (DOE 2004a). The report provides a summary of environmental monitoring information and compliance activities that occurred at SNL/CA during calendar year 2009. General site and environmental program information is also included. The Site Environmental Report is divided into tenmore » chapters. Chapter 1, the Executive Summary, highlights compliance and monitoring results obtained in 2009. Chapter 2 provides a brief introduction to SNL/CA and the existing environment found on site. Chapter 3 summarizes SNL/CA's compliance activities with the major environmental requirements applicable to site operations. Chapter 4 presents information on environmental management, performance measures, and environmental programs. Chapter 5 presents the results of monitoring and surveillance activities in 2009. Chapter 6 discusses quality assurance. Chapters 7 through 9 provide supporting information for the report and Chapter 10 is the report distribution list.« less

  18. Site Environmental Report for 2010 Sandia National Laboratories, California.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Larsen, Barbara L.

    2011-06-01

    Sandia National Laboratories, California (SNL/CA) is a government-owned/contractor-operated laboratory. Sandia Corporation, a Lockheed Martin Company, manages and operates the laboratory for the Department of Energy's National Nuclear Security Administration (NNSA). The NNSA Sandia Site Office administers the contract and oversees contractor operations at the site. This Site Environmental Report for 2010 was prepared in accordance with DOE Order 231.1A (DOE 2004a). The report provides a summary of environmental monitoring information and compliance activities that occurred at SNL/CA during calendar year 2010. General site and environmental program information is also included. The Site Environmental Report is divided into ten chapters. Chaptermore » 1, the Executive Summary, highlights compliance and monitoring results obtained in 2010. Chapter 2 provides a brief introduction to SNL/CA and the existing environment found on site. Chapter 3 summarizes SNL/CA's compliance activities with the major environmental requirements applicable to site operations. Chapter 4 presents information on environmental management, performance measures, and environmental programs. Chapter 5 presents the results of monitoring and surveillance activities in 2010. Chapter 6 discusses quality assurance. Chapters 7 through 9 provide supporting information for the report and Chapter 10 is the report distribution list.« less

  19. On-orbit Metrology and Calibration Requirements for Space Station Activities Definition Study

    NASA Technical Reports Server (NTRS)

    Cotty, G. M.; Ranganathan, B. N.; Sorrell, A. L.

    1989-01-01

    The Space Station is the focal point for the commercial development of space. The long term routine operation of the Space Station and the conduct of future commercial activities suggests the need for in-space metrology capabilities analogous when possible to those on-Earth. The ability to perform periodic calibrations and measurements with proper traceability is imperative for the routine operation of the Space Station. An initial review, however, indicated a paucity of data related to metrology and calibration requirements for in-space operations. This condition probably exists because of the highly developmental aspect of space activities to date, their short duration, and nonroutine nature. The on-orbit metrology and calibration needs of the Space Station were examined and assessed. In order to achieve this goal, the following tasks were performed: an up-to-date literature review; identification of on-orbit calibration techniques; identification of sensor calibration requirements; identification of calibration equipment requirements; definition of traceability requirements; preparation of technology development plans; and preparation of the final report. Significant information and major highlights pertaining to each task is presented. In addition, some general (generic) conclusions/observations and recommendations that are pertinent to the overall in-space metrology and calibration activities are presented.

  20. Target-Tracking Camera for a Metrology System

    NASA Technical Reports Server (NTRS)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  1. 1.5 nm fabrication of test patterns for characterization of metrological systems

    DOE PAGES

    Babin, Sergey; Calafiore, Giuseppe; Peroz, Christophe; ...

    2015-11-06

    Any metrology tool is only as good as it is calibrated. The characterization of metrology systems requires test patterns at a scale about ten times smaller than the measured features. The fabrication of patterns with linewidths down to 1.5 nm is described. The test sample was designed in such a way that the distribution of linewidths appears to be random at any location. This pseudorandom test pattern is used to characterize dimensional metrology equipment over its entire dynamic range by extracting the modulation transfer function of the system. The test pattern contains alternating lines of silicon and tungsten silicide, eachmore » according to its designed width. As a result, the fabricated test samples were imaged using a transmission electron microscope, a scanning electron microscope, and an atomic force microscope. (C) 2015 American Vacuum Society.« less

  2. 77 FR 65374 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-10-26

    ... DEPARTMENT OF ENERGY Environmental Management Site-Specific Advisory Board, Idaho National... meeting of the Environmental Management Site-Specific Advisory Board (EM SSAB), Idaho National Laboratory... management in the areas of environmental restoration, waste management, and related activities. Tentative...

  3. Public health microbiology in Germany: 20 years of national reference centers and consultant laboratories.

    PubMed

    Beermann, Sandra; Allerberger, Franz; Wirtz, Angela; Burger, Reinhard; Hamouda, Osamah

    2015-10-01

    In 1995, in agreement with the German Federal Ministry of Health, the Robert Koch Institute established a public health microbiology system consisting of national reference centers (NRCs) and consultant laboratories (CLs). The goal was to improve the efficiency of infection protection by advising the authorities on possible measures and to supplement infectious disease surveillance by monitoring selected pathogens that have high public health relevance. Currently, there are 19 NRCs and 40 CLs, each appointed for three years. In 2009, an additional system of national networks of NRCs and CLs was set up in order to enhance effectiveness and cooperation within the national reference laboratory system. The aim of these networks was to advance exchange in diagnostic methods and prevention concepts among reference laboratories and to develop geographic coverage of services. In the last two decades, the German public health laboratory reference system coped with all major infectious disease challenges. The European Union and the European Centre for Disease Prevention and Control (ECDC) are considering implementing a European public health microbiology reference laboratory system. The German reference laboratory system should be well prepared to participate actively in this upcoming endeavor. Copyright © 2015 Elsevier GmbH. All rights reserved.

  4. Characterization of the ASPIICS/OPSE metrology sub-system and PSF centroiding procedure

    NASA Astrophysics Data System (ADS)

    Loreggia, D.; Fineschi, S.; Capobianco, G.; Bemporad, A.; Focardi, M.; Landini, F.; Massone, G.; Casti, M.; Nicolini, G.; Pancrazi, M.; Romoli, M.; Noce, V.; Baccani, C.; Cernica, I.; Purica, M.; Nisulescu, M.; Thizy, C.; Servaye, J. S.; Renotte, E.

    2016-07-01

    years have raised increasing interest. Many applications of astronomical observation techniques, as coronography and interferometry get great benefit when moved in space and the employment of diluted systems represents a milestone to step-over in astronomical research. In this work, we present the Optical Position Sensors Emitter (OPSE) metrological sub-system on-board of the PROBA3. PROBA3 is an ESA technology mission that will test in-orbit many metrology techniques for the maintenance of a Formation Flying with two satellites, in this case an occulter and a main satellite housing a coronagraph named ASPIICS, kept at an average inter-distance of 144m. The scientific task is the observation of the Sun's Corona at high spatial and temporal resolution down to 1.08R⊙. The OPSE will monitor the relative position of the two satellites and consists of 3 emitters positioned on the rear surface of the occulter, that will be observed by the coronagraph itself. A Centre of Gravity (CoG) algorithm is used to monitor the emitter's PSF at the focal plane of the Coronagraph retrieving the Occulter position with respect to the main spacecraft. The 3σ location target accuracy is 300μm for lateral movement and 21cm for longitudinal movements. A description of the characterization tests on the OPSE LED sources, and of the design for a laboratory set-up for on ground testing is given with a preliminary assessment of the performances expected from the OPSE images centroiding algorithm.

  5. Idaho National Laboratory Annual Report FY 2013 LDRD Project Summaries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dena Tomchak

    The FY 2013 LDRD Annual Report is a compendium of the diverse research performed to develop and ensure the INL’s technical capabilities support the current and future DOE missions and national research priorities. LDRD is essential to INL—it provides a means for the Laboratory to maintain scientific and technical vitality while funding highly innovative, high-risk science and technology research and development (R&D) projects. The program enhances technical capabilities at the Laboratory, providing scientific and engineering staff with opportunities to explore proof-of-principle ideas, advanced studies of innovative concepts, and preliminary technical analyses. Established by Congress in 1991, the LDRD Program provesmore » its benefit each year through new programs, intellectual property, patents, copyrights, national and international awards, and publications.« less

  6. Recent progress in understanding the imaging and metrology using the helium ion microscope

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladar, Andras E.; Ming, Bin

    2009-05-01

    Nanotechnology is pushing imaging and measurement instrument technology to high levels of required performance. As this continues, new barriers confronting innovation in this field are encountered. Particle beam instrument resolution remains one of these barriers. A new tool for imaging and metrology for nanotechnology is the scanning Helium Ion Microscope (HIM). The HIM is a new approach to imaging and metrology for nanotechnology which may be able to push this barrier lower. As a new methodology, it is just beginning to show promise and the number of potentially advantageous applications for nanotechnology and nanometrology has yet to be fully exploited. This presentation will discuss some of the progress made at NIST in collaboration with the manufacturing community in understanding the imaging and metrology for this new technology.

  7. Non-null full field X-ray mirror metrology using SCOTS: a reflection deflectometry approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Su P.; Kaznatcheev K.; Wang, Y.

    In a previous paper, the University of Arizona (UA) has developed a measurement technique called: Software Configurable Optical Test System (SCOTS) based on the principle of reflection deflectometry. In this paper, we present results of this very efficient optical metrology method applied to the metrology of X-ray mirrors. We used this technique to measure surface slope errors with precision and accuracy better than 100 nrad (rms) and {approx}200 nrad (rms), respectively, with a lateral resolution of few mm or less. We present results of the calibration of the metrology systems, discuss their accuracy and address the precision in measuring amore » spherical mirror.« less

  8. Metrology for industrial quantum communications: the MIQC project

    NASA Astrophysics Data System (ADS)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  9. AmeriFlux US-IB2 Fermi National Accelerator Laboratory- Batavia (Prairie site)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matamala, Roser

    2016-01-01

    This is the AmeriFlux version of the carbon flux data for the site US-IB2 Fermi National Accelerator Laboratory- Batavia (Prairie site). Site Description - Two eddy correlation systems are installed at Fermi National Accelerator Laboratory: one on a restored prairie (established October 2004) and one on a corn/soybean rotation agricultural field (established in July 2005). The prairie site had been farmed for more than 100 years, but was converted to prairie in 1989. April annual to bi-annual prescribed burns have taken place from 1994 - 2007.

  10. 76 FR 68179 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-11-03

    ... DEPARTMENT OF ENERGY Environmental Management Site-Specific Advisory Board, Idaho National... November 14, 2011, of the Environmental Management Site-Specific Advisory Board, Idaho National Laboratory...: Robert L. Pence, Federal Coordinator, Department of Energy, Idaho Operations Office, 1955 Fremont Avenue...

  11. Optics for Processes, Products and Metrology

    NASA Astrophysics Data System (ADS)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  12. Surface water data at Los Alamos National Laboratory: 2009 water year

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ortiz, David; McCullough, Betsy

    2010-05-01

    The principal investigators collected and computed surface water discharge data from 73 stream-gage stations that cover most of Los Alamos National Laboratory and one at Bandelier National Monument. Also included are discharge data from three springs— two that flow into Cañon de Valle and one that flows into Water Canyon.

  13. Surface water data at Los Alamos National Laboratory: 2008 water year

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ortiz, David; Cata, Betsy; Kuyumjian, Gregory

    2009-09-01

    The principal investigators collected and computed surface water discharge data from 69 stream-gage stations that cover most of Los Alamos National Laboratory and one at Bandelier National Monument. Also included are discharge data from three springs— two that flow into Cañon de Valle and one that flows into Water Canyon.

  14. Frederick National Laboratory Advisory Committee Welcomes New FNL, NCI Leaders | Poster

    Cancer.gov

    The Frederick National Laboratory Advisory Committee recently met to discuss the future of several high-profile Frederick National Lab initiatives in a meeting that included a chance to meet the new NCI and FNLCR leaders. Here is a look at a few of the highlights from the last of the 2017 FNLAC meetings.

  15. NATIONAL ENVIRONMENTAL LABORATORY ACCREDITATION CONFERENCE (NELAC): CONSTITUTION, BYLAWS, AND STANDARDS

    EPA Science Inventory

    The principles and operating procedures for the National Environmental Laboratory Accreditation Conference (NELAC) are contained in the NELAC Constitution and Bylaws. The major portion of this document (standards) contains detailed requirements for accrediting environmental labo...

  16. Natural Gas Storage Research at Savannah River National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anton, Don; Sulic, Martin; Tamburello, David A.

    As an alternative to imported oil, scientists at the Department of Energy’s Savannah River National Laboratory are looking at abundant, domestically sourced natural gas, as an alternative transportation fuel. SRNL is investigating light, inexpensive, adsorbed natural gas storage systems that may fuel the next generation of automobiles.

  17. Four Argonne National Laboratory scientists receive Early Career Research

    Science.gov Websites

    Media Contacts Social Media Photos Videos Fact Sheets, Brochures and Reports Summer Science Writing Writing Internship Four Argonne National Laboratory scientists receive Early Career Research Program economic impact of cascading shortages. He will also seek to enable scaling on high-performance computing

  18. 75 FR 24685 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-05

    ... DEPARTMENT OF ENERGY Environmental Management Site-Specific Advisory Board, Idaho National... meeting of the Environmental Management Site-Specific Advisory Board (EM SSAB), Idaho National Laboratory... prior to the meeting. ADDRESSES: Hilton Garden Inn, 700 Lindsay Boulevard, Idaho Falls, Idaho 83402. FOR...

  19. 76 FR 39080 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-07-05

    ... DEPARTMENT OF ENERGY Environmental Management Site-Specific Advisory Board, Idaho National... meeting of the Environmental Management Site-Specific Advisory Board (EM SSAB), Idaho National Laboratory... recommendations to DOE-EM and site management in the areas of environmental restoration, waste management, and...

  20. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    PubMed

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  1. Sandia National Laboratories: Sandia National Laboratories: News: Events

    Science.gov Websites

    Programs Nuclear Weapons About Nuclear Weapons Safety & Security Weapons Science & Technology Robotics R&D 100 Awards Laboratory Directed Research & Development Technology Deployment Centers Audit Sandia's Economic Impact Licensing & Technology Transfer Browse Technology Portfolios

  2. Nano-metrology and terrain modelling - convergent practice in surface characterisation

    USGS Publications Warehouse

    Pike, R.J.

    2000-01-01

    The quantification of magnetic-tape and disk topography has a macro-scale counterpart in the Earth sciences - terrain modelling, the numerical representation of relief and pattern of the ground surface. The two practices arose independently and continue to function separately. This methodological paper introduces terrain modelling, discusses its similarities to and differences from industrial surface metrology, and raises the possibility of a unified discipline of quantitative surface characterisation. A brief discussion of an Earth-science problem, subdividing a heterogeneous terrain surface from a set of sample measurements, exemplifies a multivariate statistical procedure that may transfer to tribological applications of 3-D metrological height data.

  3. The National Superconducting Cyclotron Laboratory

    NASA Astrophysics Data System (ADS)

    Gelbke, C. Korad; Morrissey, D. J.; York, R. C.

    1996-10-01

    The National Superconducting Cyclotron Laboratory (NSCL) at Michigan State University has constructed and operates two superconducting cyclotrons for research in nuclear science, accelerator and instrumental physics. The K500, the world's first superconducting cyclotron, was commissioned in 1982 and the K1200, the world's most powerful cyclotron, was commissioned in 1988. Heavy-ion beams across the entire periodic table produced in a pair of ECR ion sources and accelerated to energies on the order of 100 MeV/A are delivered to a modern and versatile complement of experimental apparatus, including the new S800 high-resolution superconducting magnetic spectrograph now undergoing initial testing. The diverse variety of beams are used for studies of the quantum-statistical properties of hot nuclei, the liquid-gas phase transition in nuclear matter, and for nuclear structure research, particularly with radioactive ion beams from the A1200 fragment separator. The NSCL provides radioactive nuclear beams out to the limits of stability on both the neutron-rich and the proton-rich sides of the valley of stability. The laboratory is also used for multi-disciplinary research in astrophysics, condensed matter physics, geophysics, medicine, and biology. The NSCL has recently proposed a major upgrade of its facility based on coupled operation of the two cyclotrons. The upgrade will provide large increases in beam intensities for radioactive beam production and increased energies of the heaviest beams.

  4. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Settens, Charles M.

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron criticalmore » dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.« less

  5. Keeping the Momentum and Nuclear Forensics at Los Alamos National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Steiner, Robert Ernest; Dion, Heather M.; Dry, Donald E.

    LANL has 70 years of experience in nuclear forensics and supports the community through a wide variety of efforts and leveraged capabilities: Expanding the understanding of nuclear forensics, providing training on nuclear forensics methods, and developing bilateral relationships to expand our understanding of nuclear forensic science. LANL remains highly supportive of several key organizations tasked with carrying forth the Nuclear Security Summit messages: IAEA, GICNT, and INTERPOL. Analytical chemistry measurements on plutonium and uranium matrices are critical to numerous programs including safeguards accountancy verification measurements. Los Alamos National Laboratory operates capable actinide analytical chemistry and material science laboratories suitable formore » nuclear material and environmental forensic characterization. Los Alamos National Laboratory uses numerous means to validate and independently verify that measurement data quality objectives are met. Numerous LANL nuclear facilities support the nuclear material handling, preparation, and analysis capabilities necessary to evaluate samples containing nearly any mass of an actinide (attogram to kilogram levels).« less

  6. 75 FR 56527 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-09-16

    ... DEPARTMENT OF ENERGY Environmental Management Site-Specific Advisory Board, Idaho National... meeting of the Environmental Management Site-Specific Advisory Board (EM SSAB), Idaho National Laboratory... prior to the meeting. ADDRESSES: Coeur d'Alene Resort, 115 South Second Street, Coeur d'Alene, Idaho...

  7. 75 FR 25294 - Notice Pursuant to the National Cooperative Research and Production Act of 1993-High Definition...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-07

    ... DEPARTMENT OF JUSTICE Antitrust Division Notice Pursuant to the National Cooperative Research and Production Act of 1993--High Definition Metrology and Process-2 Micron Manufacturing Under ATP Award No... Metrology and Process-2 Micron Manufacturing under ATP Award No. 70NANB7H7041 has filed written...

  8. NWTC Helps Guide U.S. Offshore R&D; NREL (National Renewable Energy Laboratory)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    2015-07-01

    The National Wind Technology Center (NWTC) at the National Renewable Energy Laboratory (NREL) is helping guide our nation's research-and-development effort in offshore renewable energy, which includes: Design, modeling, and analysis tools; Device and component testing; Resource characterization; Economic modeling and analysis; Grid integration.

  9. A new matrix for scoring the functionality of national laboratory networks in Africa: introducing the LABNET scorecard.

    PubMed

    Ondoa, Pascale; Datema, Tjeerd; Keita-Sow, Mah-Sere; Ndihokubwayo, Jean-Bosco; Isadore, Jocelyn; Oskam, Linda; Nkengasong, John; Lewis, Kim

    2016-01-01

    Functional national laboratory networks and systems are indispensable to the achievement of global health security targets according to the International Health Regulations. The lack of indicators to measure the functionality of national laboratory network has limited the efficiency of past and current interventions to enhance laboratory capacity in resource-limited-settings. We have developed a matrix for the assessment of national laboratory network functionality and progress thereof, with support from the African Society of Laboratory Medicine and the Association of Public Health Laboratories. The laboratory network (LABNET) scorecard was designed to: (1) Measure the status of nine overarching core capabilities of laboratory network required to achieve global health security targets, as recommended by the main normative standards; (2) Complement the World Health Organization joint external evaluation tool for the assessment of health system preparedness to International Health Regulations (2005) by providing detailed information on laboratory systems; and (3) Serve as a clear roadmap to guide the stepwise implementation of laboratory capability to prevent, detect and act upon infectious threats. The application of the LABNET scorecard under the coordination of the African Society of Laboratory Medicine and the Association of Public Health Laboratories could contribute to the design, monitoring and evaluation of upcoming Global Health Security Agenda-supported laboratory capacity building programmes in sub Saharan-Africa and other resource-limited settings, and inform the development of national laboratory policies and strategic plans. Endorsement by the World Health Organization Regional Office for Africa is foreseen.

  10. Natural Gas Storage Research at Savannah River National Laboratory

    ScienceCinema

    Anton, Don; Sulic, Martin; Tamburello, David A.

    2018-01-16

    As an alternative to imported oil, scientists at the Department of Energy’s Savannah River National Laboratory are looking at abundant, domestically sourced natural gas, as an alternative transportation fuel. SRNL is investigating light, inexpensive, adsorbed natural gas storage systems that may fuel the next generation of automobiles.

  11. National Media Laboratory media testing results

    NASA Technical Reports Server (NTRS)

    Mularie, William

    1993-01-01

    The government faces a crisis in data storage, analysis, archive, and communication. The sheer quantity of data being poured into the government systems on a daily basis is overwhelming systems ability to capture, analyze, disseminate, and store critical information. Future systems requirements are even more formidable: with single government platforms having data rate of over 1 Gbit/sec, greater than Terabyte/day storage requirements, and with expected data archive lifetimes of over 10 years. The charter of the National Media Laboratory (NML) is to focus the resources of industry, government, and academia on government needs in the evaluation, development, and field support of advanced recording systems.

  12. Change in argonne national laboratory: a case study.

    PubMed

    Mozley, A

    1971-10-01

    , William B. Cannon, who is vice president of programs and projects of the University of Chicago, and a small selection of staff members believe that the Laboratory is going through a natural and inevitable process of change consonant with altered missions and objectives in an atomic energy laboratory. The general mood, however, demonstrates the Jeffersonian insight, as relevant in science as in politics, that only democratic governance provides salutary checks and balances when things go wrong. The point deserves close scrutiny when Argonne's tripartite contract comes up for renegotiation in October 1971. Fundamentally Argonne's relations with its sponsoring agency remain at the center of its progress and future plans. Despite administrative and management changes, there is little doubt that he who pays the piper calls the tune. In common with other federal contract research and development adjuncts, Argonne has undoubtedly undergone tightening and winnowing away of flexibility in the past 6 years. In the nuclear reactor program the consequences have been strongly felt, and stringent national budgets have widened the tendency in the research domain. The impact of these changes and of AEC's attitude to basic research raise large questions for the future of the national laboratories. Few doubt that these "major national assets," with their outstanding scientific and technical personnel and equipment, fulfill a unique function and are here to stay, though their missions may undergo some change; the question of their most effective direction and handling, however, remains crucial for those concerned with priorities and decision-making for science. A recent review of 40 national federal adjuncts (30,31) has indicated that the primary sponsoring agency obtains better performance from a center that has a relatively high degree of independence than from one that is tightly controlled. The point is confirmed at Argonne where the present tendency (particularly on the nuclear reactor

  13. Surface Water Data at Los Alamos National Laboratory: 2002 Water Year

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    D.A. Shaull; D. Ortiz; M.R. Alexander

    2003-03-03

    The principal investigators collected and computed surface water discharge data from 34 stream-gaging stations that cover most of Los Alamos National Laboratory and one at Bandelier National Monument. Also included are discharge data from three springs--two that flow into Canon de Valle and one that flows into Water Canyon--and peak flow data from 16 stations.

  14. Surface Water Data at Los Alamos National Laboratory 2006 Water Year

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    R.P. Romero, D. Ortiz, G. Kuyumjian

    2007-08-01

    The principal investigators collected and computed surface water discharge data from 44 stream-gaging stations that cover most of Los Alamos National Laboratory and one at Bandelier National Monument. Also included are discharge data from three springs--two that flow into Canon de Valle and one that flows into Water Canyon--and peak flow data for 44 stations.

  15. Energy and Water Conservation Assessment of the Radiochemical Processing Laboratory (RPL) at Pacific Northwest National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Stephanie R.; Koehler, Theresa M.; Boyd, Brian K.

    2014-05-31

    This report summarizes the results of an energy and water conservation assessment of the Radiochemical Processing Laboratory (RPL) at Pacific Northwest National Laboratory (PNNL). The assessment was performed in October 2013 by engineers from the PNNL Building Performance Team with the support of the dedicated RPL staff and several Facilities and Operations (F&O) department engineers. The assessment was completed for the Facilities and Operations (F&O) department at PNNL in support of the requirements within Section 432 of the Energy Independence and Security Act (EISA) of 2007.

  16. DEMONSTRATION BULLETIN: IN SITU ELECTROKINETIC EXTRACTION SYSTEM - SANDIA NATIONAL LABORATORIES

    EPA Science Inventory

    Sandia National Laboratories (SNL) has developed an in situ soil remediation system that uses electrokinetic principles to remediate hexavalent chromium-contaminated unsaturated or partially saturated soils. The technology involves the in situ application of direct current to the...

  17. Influence of the air’s refractive index on precision angle metrology with autocollimators

    NASA Astrophysics Data System (ADS)

    Geckeler, Ralf D.; Křen, Petr; Just, Andreas; Schumann, Matthias; Krause, Michael

    2018-07-01

    In this paper, we discuss a substantial—though previously neglected—error source in precision metrology with autocollimators, specifically, changes in the air’s refractive index, with a focus on the dominant impact of pressure changes. Pressure decreases with increasing elevation above sea level and is subject to substantial variation due to weather changes. It causes changes in an autocollimator’s angle response which are proportional to the measured angle and which increase linearly with the beam length and air pressure. We characterise this important influence in detail by using extended theoretical and experimental investigations and derive strategies for correcting it. We discuss its implications for the comparison of autocollimator calibrations performed at different metrology institutes which is crucial for validating their calibration capabilities. This work aims at approaching fundamental limits in angle metrology with autocollimators.

  18. Optical truss and retroreflector modeling for picometer laser metrology

    NASA Astrophysics Data System (ADS)

    Hines, Braden E.

    1993-09-01

    Space-based astrometric interferometer concepts typically have a requirement for the measurement of the internal dimensions of the instrument to accuracies in the picometer range. While this level of resolution has already been achieved for certain special types of laser gauges, techniques for picometer-level accuracy need to be developed to enable all the various kinds of laser gauges needed for space-based interferometers. Systematic errors due to retroreflector imperfections become important as soon as the retroreflector is allowed to either translate in position or articulate in angle away from its nominal zero-point. Also, when combining several laser interferometers to form a three-dimensional laser gauge (a laser optical truss), systematic errors due to imperfect knowledge of the truss geometry are important as the retroreflector translates away from its nominal zero-point. In order to assess the astrometric performance of a proposed instrument, it is necessary to determine how the effects of an imperfect laser metrology system impact the astrometric accuracy. This paper show the development of an error propagation model from errors in the 1-D metrology measurements through the impact on the overall astrometric accuracy for OSI. Simulations are then presented based on this development which were used to define a multiplier which determines the 1-D metrology accuracy required to produce a given amount of fringe position error.

  19. Nonlinear Quantum Metrology of Many-Body Open Systems

    NASA Astrophysics Data System (ADS)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  20. Measuring optical phase digitally in coherent metrology systems

    NASA Astrophysics Data System (ADS)

    Kelly, Damien P.; Ryle, James; Zhao, Liang; Sheridan, John T.

    2017-05-01

    The accurate measurement of optical phase has many applications in metrology. For biological samples, which appear transparent, the phase data provides information about the refractive index of the sample. In speckle metrology, the phase can be used to estimate stress and strains of a rough surface with high sensitivity. In this theoretical manuscript we compare and contrast the properties of two techniques for estimating the phase distribution of a wave field under the paraxial approximation: (I) A digital holographic system, and (II) An idealized phase retrieval system. Both systems use a CCD or CMOS array to measure the intensities of the wave fields that are reflected from or transmitted through the sample of interest. This introduces a numerical aspect to the problem. For the two systems above we examine how numerical calculations can limit the performance of these systems leading to a near-infinite number of possible solutions.

  1. 75 FR 11872 - Environmental Management Site-Specific Advisory Board, Idaho National Laboratory

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-12

    ... DEPARTMENT OF ENERGY Environmental Management Site-Specific Advisory Board, Idaho National... Site- Specific Advisory Board, Idaho National Laboratory to be held on March 16, 2010 75 FR 9590. In that notice, the meeting address was Hilton Garden Inn, 700 Lindsay Boulevard, Idaho Falls, Idaho 83402...

  2. Development and analysis of a meteorological database, Argonne National Laboratory, Illinois

    USGS Publications Warehouse

    Over, Thomas M.; Price, Thomas H.; Ishii, Audrey L.

    2010-01-01

    A database of hourly values of air temperature, dewpoint temperature, wind speed, and solar radiation from January 1, 1948, to September 30, 2003, primarily using data collected at the Argonne National Laboratory station, was developed for use in continuous-time hydrologic modeling in northeastern Illinois. Missing and apparently erroneous data values were replaced with adjusted values from nearby stations used as 'backup'. Temporal variations in the statistical properties of the data resulting from changes in measurement and data-storage methodologies were adjusted to match the statistical properties resulting from the data-collection procedures that have been in place since January 1, 1989. The adjustments were computed based on the regressions between the primary data series from Argonne National Laboratory and the backup series using data obtained during common periods; the statistical properties of the regressions were used to assign estimated standard errors to values that were adjusted or filled from other series. Each hourly value was assigned a corresponding data-source flag that indicates the source of the value and its transformations. An analysis of the data-source flags indicates that all the series in the database except dewpoint have a similar fraction of Argonne National Laboratory data, with about 89 percent for the entire period, about 86 percent from 1949 through 1988, and about 98 percent from 1989 through 2003. The dewpoint series, for which observations at Argonne National Laboratory did not begin until 1958, has only about 71 percent Argonne National Laboratory data for the entire period, about 63 percent from 1948 through 1988, and about 93 percent from 1989 through 2003, indicating a lower reliability of the dewpoint sensor. A basic statistical analysis of the filled and adjusted data series in the database, and a series of potential evapotranspiration computed from them using the computer program LXPET (Lamoreux Potential

  3. Strategic Plan for the ORD National Exposure Research Laboratory (NERL)

    EPA Science Inventory

    The National Exposure Research Laboratory (NERL) has a valued reputation for supporting the Agency’s mission of protecting human health and the environment with multidisciplinary expertise that brings cutting-edge research and technology to address critical exposure questions and...

  4. A new matrix for scoring the functionality of national laboratory networks in Africa: introducing the LABNET scorecard

    PubMed Central

    Datema, Tjeerd; Keita-Sow, Mah-Sere; Ndihokubwayo, Jean-Bosco; Isadore, Jocelyn; Oskam, Linda; Nkengasong, John; Lewis, Kim

    2016-01-01

    Background Functional national laboratory networks and systems are indispensable to the achievement of global health security targets according to the International Health Regulations. The lack of indicators to measure the functionality of national laboratory network has limited the efficiency of past and current interventions to enhance laboratory capacity in resource-limited-settings. Scorecard for laboratory networks We have developed a matrix for the assessment of national laboratory network functionality and progress thereof, with support from the African Society of Laboratory Medicine and the Association of Public Health Laboratories. The laboratory network (LABNET) scorecard was designed to: (1) Measure the status of nine overarching core capabilities of laboratory network required to achieve global health security targets, as recommended by the main normative standards; (2) Complement the World Health Organization joint external evaluation tool for the assessment of health system preparedness to International Health Regulations (2005) by providing detailed information on laboratory systems; and (3) Serve as a clear roadmap to guide the stepwise implementation of laboratory capability to prevent, detect and act upon infectious threats. Conclusions The application of the LABNET scorecard under the coordination of the African Society of Laboratory Medicine and the Association of Public Health Laboratories could contribute to the design, monitoring and evaluation of upcoming Global Health Security Agenda-supported laboratory capacity building programmes in sub Saharan-Africa and other resource-limited settings, and inform the development of national laboratory policies and strategic plans. Endorsement by the World Health Organization Regional Office for Africa is foreseen. PMID:28879141

  5. Dose profile modeling of Idaho National Laboratory's active neutron interrogation laboratory.

    PubMed

    Chichester, D L; Seabury, E H; Zabriskie, J M; Wharton, J; Caffrey, A J

    2009-06-01

    A new laboratory has been commissioned at Idaho National Laboratory for performing active neutron interrogation research and development. The facility is designed to provide radiation shielding for deuterium-tritium (DT) fusion (14.1 MeV) neutron generators (2 x 10(8) n/s), deuterium-deuterium (DD) fusion (2.5 MeV) neutron generators (1 x 10(7) n/s), and (252)Cf spontaneous fission neutron sources (6.96 x 10(7) n/s, 30 microg). Shielding at the laboratory is comprised of modular concrete shield blocks 0.76 m thick with tongue-in-groove features to prevent radiation streaming, arranged into one small and one large test vault. The larger vault is designed to allow operation of the DT generator and has walls 3.8m tall, an entrance maze, and a fully integrated electrical interlock system; the smaller test vault is designed for (252)Cf and DD neutron sources and has walls 1.9 m tall and a simple entrance maze. Both analytical calculations and numerical simulations were used in the design process for the building to assess the performance of the shielding walls and to ensure external dose rates are within required facility limits. Dose rate contour plots have been generated for the facility to visualize the effectiveness of the shield walls and entrance mazes and to illustrate the spatial profile of the radiation dose field above the facility and the effects of skyshine around the vaults.

  6. National Risk Management Research Laboratory Strategic plan and Implementation - Overview

    EPA Science Inventory

    This publication provides an overview of the strategic plan recently developed by the National Risk Management Research Laboratory (NRMRL). It includes a description of NRMRL's mission and goals and their alignment with Agency goals. Additionally, the overview contains a brief se...

  7. International Safeguards and the Pacific Northwest National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Olsen, Khris B.; Smith, Leon E.; Frazar, Sarah L.

    Established in 1965, Pacific Northwest National Laboratory’s (PNNL) strong technical ties and shared heritage with the nearby U.S. Department of Energy Hanford Site were central to the early development of expertise in nuclear fuel cycle signatures, separations chemistry, plutonium chemistry, environmental monitoring, modeling and analysis of reactor systems, and nuclear material safeguards and security. From these Hanford origins, PNNL has grown into a multi-program science and engineering enterprise that utilizes this diversity to strengthen the international safeguards regime. Today, PNNL supports the International Atomic Energy Agency (IAEA) in its mission to provide assurances to the international community that nations domore » not use nuclear materials and equipment outside of peaceful uses. PNNL also serves in the IAEA’s Network of Analytical Laboratories (NWAL) by providing analysis of environmental samples gathered around the world. PNNL is involved in safeguards research and development activities in support of many U.S. Government programs such as the National Nuclear Security Administration’s (NNSA) Office of Research and Development, NNSA Office of Nonproliferation and Arms Control, and the U.S. Support Program to IAEA Safeguards. In addition to these programs, PNNL invests internal resources including safeguards-specific training opportunities for staff, and laboratory-directed research and development funding to further ideas that may grow into new capabilities. This paper and accompanying presentation highlight some of PNNL’s contributions in technology development, implementation concepts and approaches, policy, capacity building, and human capital development, in the field of international safeguards.« less

  8. PREFACE: Advanced Metrology for Ultrasound in Medicine12-14 May 2010

    NASA Astrophysics Data System (ADS)

    Shaw, Adam

    2011-02-01

    Conference logo AMUM 2010 is the successor to the first AMUM conference held in 2004, which was the conference featured in the very first issue of the Journal of Physics: Conference Series (http://iopscience.iop.org/1742-6596/1/1). Like its predecessor, AMUM 2010 proved to be very successful and provided a fantastic opportunity for the world's ultrasound experts from medicine, industry and academia to explore the measurement challenges presented by new and emerging clinical ultrasound equipment. 2010 was a very difficult year economically for much of the world, and then air travel over Europe was thrown into chaos by prolonged ash clouds from the Icelandic volcano Eyjafjallajökull in April. So it was something of a relief to see such good attendance; this is a clear indication of the need for this conference with its focus on metrology in medical ultrasound. There were a total of 70 attendees: of these 34 were from the UK, 16 from the rest of Europe, and 20 from outside Europe. National Metrology Institutes from no fewer than nine different countries were represented, some of them very new to the field, some with a recent growth of interest in ultrasound, and others with a well-established reputation. I was particularly pleased to see younger researchers from those NMIs new to ultrasound attend and have the chance to mix with such a wide grouping of their peers and present their work. There was also a strong attendance by industry including the major imaging companies such as GE Healthcare, Siemens, Philips Healthcare, Hitachi Medical, Aloka, Medison and the newer Supersonic Imagine; and more specialist companies such as Imasonic, Onda Corporation, Unisyn Medical Technologies, and Polytec and Precision Acoustics, our partner in organizing the event. The conference was spread over 3 days with plenty of time for discussions over coffee; the afternoon of the 3rd day was dedicated to laboratory visits within NPL. We were fortunate to have some excellent Keynote

  9. Clean focus, dose and CD metrology for CD uniformity improvement

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  10. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    NASA Astrophysics Data System (ADS)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  11. Sandia National Laboratories/New Mexico Environmental Information Document - Volume 1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    BAYLISS, LINDA S.; GUERRERO, JOSEPH V.; JOHNS, WILLIAM H.

    This Sandia National Laboratories/New Mexico Environmental Information Document (EID) compiles information on the existing environment, or environmental baseline, for SNUNM. Much of the information is drawn from existing reports and databases supplemented by new research and data. The SNL/NM EID, together with the Sandia National Laboratories/New Mexico Facilities and Safety Information Document, provide a basis for assessing the environment, safety, and health aspects of operating selected facilities at SNL/NM. The environmental baseline provides a record of the existing physical, biological, and socioeconomic environment at SNL/NLM prior to being altered (beneficially or adversely) by proposed programs or projects. More specifically, themore » EID provides information on the following topics: Geology; Land Use; Hydrology and Water Resources; Air Quality and Meteorology; Ecology; Noise and Vibration; Cultural Resources; Visual Resources; Socioeconomic and Community Services; Transportation; Material Management; Waste Management; and Regulatory Requirements.« less

  12. Self-Mixing Thin-Slice Solid-State Laser Metrology

    PubMed Central

    Otsuka, Kenju

    2011-01-01

    This paper reviews the dynamic effect of thin-slice solid-state lasers subjected to frequency-shifted optical feedback, which led to the discovery of the self-mixing modulation effect, and its applications to quantum-noise-limited versatile laser metrology systems with extreme optical sensitivity. PMID:22319406

  13. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    NASA Astrophysics Data System (ADS)

    Rolle, F.; Pessana, E.; Sega, M.

    2017-05-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO2), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO2 in the atmosphere. The well established activity to assure the metrological traceability of CO2 in the atmosphere will be applied to the determination of CO2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use.

  14. Relativistic Quantum Metrology: Exploiting relativity to improve quantum measurement technologies

    PubMed Central

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-01-01

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects. PMID:24851858

  15. The elusive Heisenberg limit in quantum-enhanced metrology

    PubMed Central

    Demkowicz-Dobrzański, Rafał; Kołodyński, Jan; Guţă, Mădălin

    2012-01-01

    Quantum precision enhancement is of fundamental importance for the development of advanced metrological optical experiments, such as gravitational wave detection and frequency calibration with atomic clocks. Precision in these experiments is strongly limited by the 1/√N shot noise factor with N being the number of probes (photons, atoms) employed in the experiment. Quantum theory provides tools to overcome the bound by using entangled probes. In an idealized scenario this gives rise to the Heisenberg scaling of precision 1/N. Here we show that when decoherence is taken into account, the maximal possible quantum enhancement in the asymptotic limit of infinite N amounts generically to a constant factor rather than quadratic improvement. We provide efficient and intuitive tools for deriving the bounds based on the geometry of quantum channels and semi-definite programming. We apply these tools to derive bounds for models of decoherence relevant for metrological applications including: depolarization, dephasing, spontaneous emission and photon loss. PMID:22990859

  16. U.S. Department of Energy, Sandia National Laboratories: Printing Case Study

    EPA Pesticide Factsheets

    The U.S. Department of Energy, Sandia National Laboratories (SNL), New Mexico quantified the costs associated with individual desktop printing devices, for comparison with costs associated with using networked copiers as printers

  17. Sub-Planck structures and Quantum Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Panigrahi, Prasanta K.; Kumar, Abhijeet; Roy, Utpal

    The significance of sub-Planck structures in relation to quantum metrology is explored, in close contact with experimental setups. It is shown that an entangled cat state can enhance the accuracy of parameter estimations. The possibility of generating this state, in dissipative systems has also been demonstrated. Thereafter, the quantum Cramer-Rao bound for phase estimation through a pair coherent state is calculated, which achieves the maximum possible resolution in an interferometer.

  18. Optical Metrology for the Segmented Optics on the Constellation-X Spectroscopy X-Ray Telescope

    NASA Technical Reports Server (NTRS)

    Content, David; Colella, David; Fleetwood, Charles; Hadjimichael, Theo; Lehan, John; McMann, Joseph; Reid, Paul; Saha, Timo; Wright, Geraldine; Zhang, William

    2004-01-01

    We present the metrology requirements and metrology implementation necessary to prove out the reflector technology for the Constellation X(C-X) spectroscopy X-ray telescope (SXT). This segmented, 1.6m diameter highly nested Wolter-1 telescope presents many metrology and alignment challenges. In particular, these mirrors have a stringent imaging error budget as compared to their intrinsic stiffness; This is required for Constellation-X to have sufficient effective area with the weight requirement. This has implications for the metrology that can be used. A variety of contract and noncontact optical profiling and interferometric methods are combined to test the formed glass substrates before replication and the replicated reflector segments.The reflectors are tested both stand-alone and in-situ in an alignment tower.Some of these methods have not been used on prior X-ray telescopes and some are feasible only because of the segmented approach used on the SXT. Methods discussed include high precision coordinate measurement machines using very low force or optical probe axial interferometric profiling azimuthal circularity profiling and use of advanced null optics such as conical computer generated hologram (CGHs).

  19. Signal processing for order 10 PM accuracy displacement metrology in real-world scientific applications

    NASA Astrophysics Data System (ADS)

    Halverson, Peter G.; Loya, Frank M.

    2017-11-01

    Projects such as the Space Interferometry Mission (SIM) [1] and Terrestrial Planet Finder (TPF) [2] rely heavily on sub-nanometer accuracy metrology systems to define their optical paths and geometries. The James Web Space Telescope (JWST) is using this metrology in a cryogenic dilatometer for characterizing material properties (thermal expansion, creep) of optical materials. For all these projects, a key issue has been the reliability and stability of the electronics that convert displacement metrology signals into real-time distance determinations. A particular concern is the behavior of the electronics in situations where laser heterodyne signals are weak or noisy and subject to abrupt Doppler shifts due to vibrations or the slewing of motorized optics. A second concern is the long-term (hours to days) stability of the distance measurements under conditions of drifting laser power and ambient temperature. This paper describes heterodyne displacement metrology gauge signal processing methods that achieve satisfactory robustness against low signal strength and spurious signals, and good long-term stability. We have a proven displacement-measuring approach that is useful not only to space-optical projects at JPL, but also to the wider field of distance measurements.

  20. Virtual special issue on catalysis at the U.S. Department of Energy's National Laboratories

    DOE PAGES

    Pruski, Marek; Sadow, Aaron D.; Slowing, Igor I.; ...

    2016-04-21

    Here the catalysis research at the U.S. Department of Energy's (DOE's) National Laboratories covers a wide range of research topics in heterogeneous catalysis, homogeneous/molecular catalysis, biocatalysis, electrocatalysis, and surface science. Since much of the work at National Laboratories is funded by DOE, the research is largely focused on addressing DOE's mission to ensure America's security and prosperity by addressing its energy, environmental, and nuclear challenges through transformative science and technology solutions.

  1. Using the H Index to Assess Impact of DOE National Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Springer, Everett P.

    The most readily accessible elements of the Emerald Matrix by quantitative measures are the knowledge and economy related measures. In this paper, the H Index for an institution will be used to assess STE impact, which is in the knowledge generation element. The H Index was developed by Hirsch (2005) as a measure of an individual’s scientific impact. The H Index is defined as the number of publications that have been cited h or more times for a given author. It has been generalized to organizations. Doing so leads to a complication in that H index scales with the numbermore » of publications. Although this may not be problematic when comparing individual researchers, it systematically favors larger institutions. Molinari and Molinari (2008) proposed an alternative index (hm) designed to assess organizational impact. It transforms the H Index for an organization into an impact index by removing a factor dependent on the number of publications. The hm provides another approach to compare institutions provided that differences in the citation patterns associated with fields of study are addressed. Kinney (2007) used the Molinari and Molinari (2008) approach to compare various scientific institutions in nonbiomedical research areas. Kinney (2007) used the Thomson Reuters Web of Science (WoS) as the source and used publications in nonbiomedical research areas, which is very important because the research areas of universities are much broader than say a DOE national laboratory. Also there are differences in citation rates for the various research fields that make comparisons between individuals or organizations difficult. The results from Kinney (2007) are given in Table 1 and indicate that the DOE national laboratories compare favorably with the selected universities in terms of impact (hm) in the research areas used in Kinney’s analysis. This report will compare hm for DOE national laboratories using an approach similar to Kinney (2007) providing a measure of

  2. National survey on intra-laboratory turnaround time for some most common routine and stat laboratory analyses in 479 laboratories in China.

    PubMed

    Fei, Yang; Zeng, Rong; Wang, Wei; He, Falin; Zhong, Kun; Wang, Zhiguo

    2015-01-01

    To investigate the state of the art of intra-laboratory turnaround time (intra-TAT), provide suggestions and find out whether laboratories accredited by International Organization for Standardization (ISO) 15189 or College of American Pathologists (CAP) will show better performance on intra-TAT than non-accredited ones. 479 Chinese clinical laboratories participating in the external quality assessment programs of chemistry, blood gas, and haematology tests organized by the National Centre for Clinical Laboratories in China were included in our study. General information and the median of intra-TAT of routine and stat tests in last one week were asked in the questionnaires. The response rate of clinical biochemistry, blood gas, and haematology testing were 36% (479/1307), 38% (228/598), and 36% (449/1250), respectively. More than 50% of laboratories indicated that they had set up intra-TAT median goals and almost 60% of laboratories declared they had monitored intra-TAT generally for every analyte they performed. Among all analytes we investigated, the intra-TAT of haematology analytes was shorter than biochemistry while the intra-TAT of blood gas analytes was the shortest. There were significant differences between median intra-TAT on different days of the week for routine tests. However, there were no significant differences in median intra-TAT reported by accredited laboratories and non-accredited laboratories. Many laboratories in China are aware of intra-TAT control and are making effort to reach the target. There is still space for improvement. Accredited laboratories have better status on intra-TAT monitoring and target setting than the non-accredited, but there are no significant differences in median intra-TAT reported by them.

  3. National survey on intra-laboratory turnaround time for some most common routine and stat laboratory analyses in 479 laboratories in China

    PubMed Central

    Fei, Yang; Zeng, Rong; Wang, Wei; He, Falin; Zhong, Kun

    2015-01-01

    Introduction To investigate the state of the art of intra-laboratory turnaround time (intra-TAT), provide suggestions and find out whether laboratories accredited by International Organization for Standardization (ISO) 15189 or College of American Pathologists (CAP) will show better performance on intra-TAT than non-accredited ones. Materials and methods 479 Chinese clinical laboratories participating in the external quality assessment programs of chemistry, blood gas, and haematology tests organized by the National Centre for Clinical Laboratories in China were included in our study. General information and the median of intra-TAT of routine and stat tests in last one week were asked in the questionnaires. Results The response rate of clinical biochemistry, blood gas, and haematology testing were 36% (479 / 1307), 38% (228 / 598), and 36% (449 / 1250), respectively. More than 50% of laboratories indicated that they had set up intra-TAT median goals and almost 60% of laboratories declared they had monitored intra-TAT generally for every analyte they performed. Among all analytes we investigated, the intra-TAT of haematology analytes was shorter than biochemistry while the intra-TAT of blood gas analytes was the shortest. There were significant differences between median intra-TAT on different days of the week for routine tests. However, there were no significant differences in median intra-TAT reported by accredited laboratories and non-accredited laboratories. Conclusions Many laboratories in China are aware of intra-TAT control and are making effort to reach the target. There is still space for improvement. Accredited laboratories have better status on intra-TAT monitoring and target setting than the non-accredited, but there are no significant differences in median intra-TAT reported by them. PMID:26110033

  4. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    NASA Astrophysics Data System (ADS)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  5. BROOKHAVEN NATIONAL LABORATORY INSTITUTIONAL PLAN FY2003-2007.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    This document presents the vision for Brookhaven National Laboratory (BNL) for the next five years, and a roadmap for implementing that vision. Brookhaven is a multidisciplinary science-based laboratory operated for the U.S. Department of Energy (DOE), supported primarily by programs sponsored by the DOE's Office of Science. As the third-largest funding agency for science in the U.S., one of the DOE's goals is ''to advance basic research and the instruments of science that are the foundations for DOE's applied missions, a base for U.S. technology innovation, and a source of remarkable insights into our physical and biological world, and themore » nature of matter and energy'' (DOE Office of Science Strategic Plan, 2000 http://www.osti.gov/portfolio/science.htm). BNL shapes its vision according to this plan.« less

  6. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    NASA Astrophysics Data System (ADS)

    Pendrill, L. R.; Fisher, William P., Jr.

    2013-09-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity.

  7. Single-Grating Talbot Imaging for Wavefront Sensing and X-Ray Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grizolli, Walan; Shi, Xianbo; Kolodziej, Tomasz

    2017-01-01

    Single-grating Talbot imaging relies on high-spatial-resolution detectors to perform accurate measurements of X-ray beam wavefronts. The wavefront can be retrieved with a single image, and a typical measurement and data analysis can be performed in few seconds. These qualities make it an ideal tool for synchrotron beamline diagnostics and in-situ metrology. The wavefront measurement can be used both to obtain a phase contrast image of an object and to characterize an X-ray beam. In this work, we explore the concept in two cases: at-wavelength metrology of 2D parabolic beryllium lenses and a wavefront sensor using a diamond crystal beam splitter.

  8. Characterization and metrology implications of the 1997 NTRS

    NASA Astrophysics Data System (ADS)

    Class, W.; Wortman, J. J.

    1998-11-01

    In the Front-end (transistor forming) area of silicon CMOS device processing, several NTRS difficult challenges have been identified including; scaled and alternate gate dielectric materials, new DRAM dielectric materials, alternate gate materials, elevated contact structures, engineered channels, and large-area cost-effective silicon substrates. This paper deals with some of the characterization and metrology challenges facing the industry if it is to meet the projected needs identified in the NTRS. In the areas of gate and DRAM dielectric, scaling requires that existing material layers be thinned to maximize capacitance. For the current gate dielectric, SiO2 and its nitrided derivatives, direct tunneling will limit scaling to approximately 1.5nm for logic applications before power losses become unacceptable. Low power logic and memory applications may limit scaling to the 2.0-2.2nm range. Beyond these limits, dielectric materials having higher dielectric constant, will permit continued capacitance increases while allowing for the use of thicker dielectric layers, where tunneling may be minimized. In the near term silicon nitride is a promising SiO2 substitute material while in the longer term "high-k" materials such as tantalum pentoxide and barium strontium titanate (BST) will be required. For these latter materials, it is likely that a multilayer dielectric stack will be needed, consisting of an ultra-thin (1-2 atom layer) interfacial SiO2 layer and a high-k overlayer. Silicon wafer surface preparation control, as well as the control of composition, crystal structure, and thickness for such stacks pose significant characterization and metrology challenges. In addition to the need for new gate dielectric materials, new gate materials will be required to overcome the limitations of the current doped polysilicon gate materials. Such a change has broad ramifications on device electrical performance and manufacturing process robustness which again implies a broad

  9. [The external quality assessment schemes for lead in blood organized by the French national agency for medicine and health product safety: a synthesis of 15 years of activity].

    PubMed

    Pineau, Alain; Otz, Jocelyne; Guillard, Olivier; Fauconneau, Bernard; Dumont, Gilles; François-Burg, Elisabeth

    2014-01-01

    In 1992, at the request of the French labor ministry following questions on the ability of medical biology laboratories to satisfactorily measure blood lead level (PbB), a national PbB quality control came into being. Only in 1996 did this external quality control include a number of laboratories sufficient to allow for a significant retrospective evaluation. After fifteen years (1996-2011), The French National Agency for Medicines and Health Products Safety wished to exploit the database collected. The number of participating laboratories went down from 73 to 41. On the other hand, the key finding pertained to the highly improved performance of the laboratories, which was associated with a spread decrease of the results over the entire range of tested PbBs (9 to 700 μg/L). Since 2006, we have observed increasing use of the inductively coupled plasma with mass spectrometry and decreasing use of electrothermal atomic absorption spectrometry. Provided that they rely on identical metrology expertise, the two analytical techniques lead to results on all the tested concentrations that are not statistically different.

  10. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    NASA Astrophysics Data System (ADS)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  11. Implementation and performance of the metrology system for the multi-object optical and near-infrared spectrograph MOONS

    NASA Astrophysics Data System (ADS)

    Drass, Holger; Vanzi, Leonardo; Torres-Torriti, Miguel; Dünner, Rolando; Shen, Tzu-Chiang; Belmar, Francisco; Dauvin, Lousie; Staig, Tomás.; Antognini, Jonathan; Flores, Mauricio; Luco, Yerko; Béchet, Clémentine; Boettger, David; Beard, Steven; Montgomery, David; Watson, Stephen; Cabral, Alexandre; Hayati, Mahmoud; Abreu, Manuel; Rees, Phil; Cirasuolo, Michele; Taylor, William; Fairley, Alasdair

    2016-08-01

    The Multi-Object Optical and Near-infrared Spectrograph (MOONS) will cover the Very Large Telescope's (VLT) field of view with 1000 fibres. The fibres will be mounted on fibre positioning units (FPU) implemented as two-DOF robot arms to ensure a homogeneous coverage of the 500 square arcmin field of view. To accurately and fast determine the position of the 1000 fibres a metrology system has been designed. This paper presents the hardware and software design and performance of the metrology system. The metrology system is based on the analysis of images taken by a circular array of 12 cameras located close to the VLTs derotator ring around the Nasmyth focus. The system includes 24 individually adjustable lamps. The fibre positions are measured through dedicated metrology targets mounted on top of the FPUs and fiducial markers connected to the FPU support plate which are imaged at the same time. A flexible pipeline based on VLT standards is used to process the images. The position accuracy was determined to 5 μm in the central region of the images. Including the outer regions the overall positioning accuracy is 25 μm. The MOONS metrology system is fully set up with a working prototype. The results in parts of the images are already excellent. By using upcoming hardware and improving the calibration it is expected to fulfil the accuracy requirement over the complete field of view for all metrology cameras.

  12. NATIONAL HEALTH AND ENVIRONMENTAL EFFECTS RESEARCH LABORATORY - ACCOMPLISHMENTS FOR FY 2001

    EPA Science Inventory

    This Annual Report showcases some of the scientific activities of the National Health and Environmental Effects Research Laboratory (NHEERL) in various health and environmental effects research areas. Where appropriate, the contributions of other collaborating research organizat...

  13. Inaugural Technology Showcase Draws Hundreds | Frederick National Laboratory for Cancer Research

    Cancer.gov

    Before a crowded auditorium of science and business professionals at the Frederick National Laboratory for Cancer Research’s Advanced Technology Research Facility (ATRF), Joost Oppenheim, M.D., had just finished his presentation about a compound th

  14. The radiation metrology network related to the field of mammography: implementation and uncertainty analysis of the calibration system

    NASA Astrophysics Data System (ADS)

    Peixoto, J. G. P.; de Almeida, C. E.

    2001-09-01

    It is recognized by the international guidelines that it is necessary to offer calibration services for mammography beams in order to improve the quality of clinical diagnosis. Major efforts have been made by several laboratories in order to establish an appropriate and traceable calibration infrastructure and to provide the basis for a quality control programme in mammography. The contribution of the radiation metrology network to the users of mammography is reviewed in this work. Also steps required for the implementation of a mammography calibration system using a constant potential x-ray and a clinical mammography x-ray machine are presented. The various qualities of mammography radiation discussed in this work are in accordance with the IEC 61674 and the AAPM recommendations. They are at present available at several primary standard dosimetry laboratories (PSDLs), namely the PTB, NIST and BEV and a few secondary standard dosimetry laboratories (SSDLs) such as at the University of Wisconsin and at the IAEA's SSDL. We discuss the uncertainties involved in all steps of the calibration chain in accord with the ISO recommendations.

  15. Technical Capabilities of the National Vehicle and Fuel Emissions Laboratory (NVFEL)

    EPA Pesticide Factsheets

    National Vehicle and Fuel Emissions Laboratory (NVFEL) is a state-of-the-art test facility that conducts a wide range of emissions testing and analysis for EPA’s motor vehicle, heavy-duty engine, and nonroad engine programs.

  16. Site Environmental Report for 2016 Sandia National Laboratories California.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Larsen, Barbara L.

    Sandia National Laboratories, California (SNL/CA) is a Department of Energy (DOE) facility. The management and operations of the facility are under a contract with the DOE’s National Nuclear Security Administration (NNSA). On May 1, 2017, the name of the management and operating contractor changed from Sandia Corporation to National Technology and Engineering Solutions of Sandia, LLC (NTESS). The DOE, NNSA, Sandia Field Office administers the contract and oversees contractor operations at the site. This Site Environmental Report for 2016 was prepared in accordance with DOE Order 231.1B, Environment, Safety and Health Reporting (DOE 2012). The report provides a summary ofmore » environmental monitoring information and compliance activities that occurred at SNL/CA during calendar year 2016, unless noted otherwise. General site and environmental program information is also included.« less

  17. Environmental Measurements Laboratory, annual report 1995

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krey, P.W.; Heit, M.

    1996-07-01

    This report summarizes the activities of the Environmental Measurements Laboratory (EML) for the calendar year 1995 and serves as an annual report to the Director of the Office of Energy Research (ER), the Associate Director and staff of the Office of Health and Environmental Research (OHER), the Manager and staff of the Chicago Operations Office, and our colleagues. Emphasized are the progress and accomplishments of the year, rather than future plans or expectations. The technical summaries are grouped according to the following seven research program areas: (1) Environmental Radiation and Radioactivity; (2) Radiation Transport and Dosimetry; (3) Environmental Radon, Thoron,more » and Related Aerosols; (4) Atmospheric and Surface Pollutant Studies Related to Global Climate Change; (5) Atmospheric Chemistry; and (6) Metrology, Consultation, and Emergency Response Environmental Management The mission of EML is to address important scientific questions concerning human health and environmental impacts. Through its multidisciplinary staff, EML conducts experimental and theoretical research on radioactive and other energy-related pollutants and provides DOE and other federal agencies with the in-house capability to respond effectively and efficiently with regard to quality assurance activities, environmental issues, and related national security issues.« less

  18. Environmental Measurements Laboratory 1994 annual report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chieco, N.A.; Krey, P.W.; Beck, H.L.

    1995-08-01

    This report summarizes the activities of the Environmental Measurements Laboratory (EML) for the calendar year 1994 and it serves as an annual report to the Director of the Office of Energy Research (ER), the Associate Director and staff of the Office of Health and Environmental Research (OHER), the manager and staff of the Chicago Field Office, and the authors colleagues. Emphasized are the progress and accomplishments of the year, rather than future plans or expectations. The technical summaries are grouped according to the following seven general program areas: environmental radiation and radioactivity; radiation transport and dosimetry; environmental radon, thoron, andmore » related aerosols; atmospheric and surface pollutant studies related to global climate change; atmospheric chemistry; metrology, consultation, and emergency response; environmental management. EML`s mission is to address important scientific questions concerning human health and environmental impacts. Through its multidisciplinary staff, EML conducts experimental and theoretical research on radioactive and other energy-related pollutants, and provides DOE and other federal agencies with the in-house capability to respond effectively and efficiently with regard to quality assurance activities, environmental issues and related national security issues.« less

  19. Development of at-wavelength metrology for x-ray optics at the ALS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Yuan, Sheng

    2010-07-09

    The comprehensive realization of the exciting advantages of new third- and forth-generation synchrotron radiation light sources requires concomitant development of reflecting and diffractive x-ray optics capable of micro- and nano-focusing, brightness preservation, and super high resolution. The fabrication, tuning, and alignment of the optics are impossible without adequate metrology instrumentation, methods, and techniques. While the accuracy of ex situ optical metrology at the Advanced Light Source (ALS) has reached a state-of-the-art level, wavefront control on beamlines is often limited by environmental and systematic alignment factors, and inadequate in situ feedback. At ALS beamline 5.3.1, we are developing broadly applicable, high-accuracy,more » in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad slope measurement accuracy for Kirkpatrick-Baez (KB) mirrors. The at-wavelength methodology we are developing relies on a series of tests with increasing accuracy and sensitivity. Geometric Hartmann tests, performed with a scanning illuminated sub-aperture determine the wavefront slope across the full mirror aperture. Shearing interferometry techniques use coherent illumination and provide higher sensitivity wavefront measurements. Combining these techniques with high precision optical metrology and experimental methods will enable us to provide in situ setting and alignment of bendable x-ray optics to realize diffraction-limited, sub 50 nm focusing at beamlines. We describe here details of the metrology beamline endstation, the x-ray beam diagnostic system, and original experimental techniques that have already allowed us to precisely set a bendable KB mirror to achieve a focused spot size of 150 nm.« less

  20. An image-processing software package: UU and Fig for optical metrology applications

    NASA Astrophysics Data System (ADS)

    Chen, Lujie

    2013-06-01

    Modern optical metrology applications are largely supported by computational methods, such as phase shifting [1], Fourier Transform [2], digital image correlation [3], camera calibration [4], etc, in which image processing is a critical and indispensable component. While it is not too difficult to obtain a wide variety of image-processing programs from the internet; few are catered for the relatively special area of optical metrology. This paper introduces an image-processing software package: UU (data processing) and Fig (data rendering) that incorporates many useful functions to process optical metrological data. The cross-platform programs UU and Fig are developed based on wxWidgets. At the time of writing, it has been tested on Windows, Linux and Mac OS. The userinterface is designed to offer precise control of the underline processing procedures in a scientific manner. The data input/output mechanism is designed to accommodate diverse file formats and to facilitate the interaction with other independent programs. In terms of robustness, although the software was initially developed for personal use, it is comparably stable and accurate to most of the commercial software of similar nature. In addition to functions for optical metrology, the software package has a rich collection of useful tools in the following areas: real-time image streaming from USB and GigE cameras, computational geometry, computer vision, fitting of data, 3D image processing, vector image processing, precision device control (rotary stage, PZT stage, etc), point cloud to surface reconstruction, volume rendering, batch processing, etc. The software package is currently used in a number of universities for teaching and research.