Sample records for national metrology net

  1. MSFC Optical Metrology: A National Resource

    NASA Technical Reports Server (NTRS)

    Burdine, Robert

    1998-01-01

    A national need exists for Large Diameter Optical Metrology Services. These services include the manufacture, testing, and assurance of precision and control necessary to assure the success of large optical projects. "Best Practices" are often relied on for manufacture and quality controls while optical projects are increasingly more demanding and complex. Marshall Space Flight Center (MSFC) has acquired unique optical measurement, testing and metrology capabilities through active participation in a wide variety of NASA optical programs. An overview of existing optical facilities and metrology capabilities is given with emphasis on use by other optical projects. Cost avoidance and project success is stressed through use of existing MSFC facilities and capabilities for measurement and metrology controls. Current issues in large diameter optical metrology are briefly reviewed. The need for a consistent and long duration Large Diameter Optical Metrology Service Group is presented with emphasis on the establishment of a National Large Diameter Optical Standards Laboratory. Proposals are made to develop MSFC optical standards and metrology capabilities as the primary national standards resource, providing access to MSFC Optical Core Competencies for manufacturers and researchers. Plans are presented for the development of a national lending library of precision optical standards with emphasis on cost avoidance while improving measurement assurance.

  2. National Needs for Appearance Metrology

    NASA Astrophysics Data System (ADS)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  3. PREFACE: VII Brazilian Congress on Metrology (Metrologia 2013)

    NASA Astrophysics Data System (ADS)

    Costa-Félix, Rodrigo; Bernardes, Americo; Valente de Oliveira, José Carlos; Mauro Granjeiro, José; Epsztejn, Ruth; Ihlenfeld, Waldemar; Smarçaro da Cunha, Valnei

    2015-01-01

    SEVENTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2013) Metrology and Quality for a Sustainable Development From November 24th to 27th 2013 was issued the Seventh Brazilian Congress on Metrology (Metrologia 2013), which is a biannual conference organized and sponsored by the Brazilian Society of Metrology (SBM) and the Brazilian National Institute of Metrology, Quality and Technology (Inmetro). This edition was held in the charming and historical city of Ouro Preto, MG, Brazil, and aimed to join people and institutions devoted to the dissemination of the metrology and conformity assessment. The Metrologia 2013 Conference consisted of Keynote Speeches (7) and regular papers (204). Among the regular papers, the 47 most outstanding ones, comprising a high quality content on Metrology and Conformity Assessment, were selected to be published in this issue of the Journal of Physics: Conference Series. The topics of the conference covered all important areas of Metrology, which were agglutinated in the following sessions in the present issue: . Physical Metrology (Acoustics, Vibration and Ultrasound; Electricity and Magnetism; Mechanics; Optics); . Metrology on Ionizing Radiations; . Time and Frequency; . Chemistry Metrology; . Materials Metrology; . Biotechnology; . Uncertainty, Statistics and Mathematics; . Legal Metrology; . Conformity Assessment. It is our great pleasure to present this volume of IOP Journal of Physics: Conference Series (JPCS) to the scientific community to promote further research in Metrology and related areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by Metrologia 2013. President of the congress Americo Bernardes Federal University of Ouro Preto atb@iceb.ufop.br Editor-in-chief Rodrigo Costa-Félix Brazilian National Institute of Metrology, Quality and Technology rpfelix@inmetro.gov.br Editors José Carlos Valente de Oliveira (Editor on Mechanical Metrology

  4. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  5. Metrology for Information Technology

    DTIC Science & Technology

    1997-05-01

    Technology (IT) MEL/ITL Task Group on Metrology for Information Technology (IT) U.S. DEPARTMENT OF COMMERCE Technology Administration National Institute of...NIST management requested a white paper on metrology for information technology (IT). A task group was formed to develop this white paper with...representatives from the Manufacturing Engineering Laboratory (MEL), the Information Technology Laboratory (ITL), and Technology Services (TS). The task

  6. FOREWORD: Neutron metrology Neutron metrology

    NASA Astrophysics Data System (ADS)

    Thomas, David J.; Nolte, Ralf; Gressier, Vincent

    2011-12-01

    covered, particularly as a compendium of papers on spectrometry for radiation protection has been published relatively recently [1]. The CIPM Mutual Recognition Arrangement (CIPM MRA), whereby national measurement standards and certificates issued by different national metrology institutes (NMIs) can be recognized internationally, is covered only briefly, although the key comparisons which underpin the CIPM MRA are highlighted. The papers included in this issue concentrate on the primary physical quantities—neutron source emission rate and neutron fluence, papers on the latter quantity covering the wide range of neutron energies for which standards are required. Neutron cross sections are fundamental to neutron physics and their importance in neutron metrology is also covered. A large amount of work by acknowledged experts in neutron metrology has gone into the preparation of this special issue and we are indebted to them for their time and effort. The list of contributors begins with the authors of the papers but also includes the referees who provided invisible but invaluable input. We are grateful for the support and encouragement of Professor Georgio Moscati, president of the CCRI when the work was proposed, Dr Kim Carneiro the current president, and Dr Penny Allisy-Roberts the executive secretary of the CCRI. When this work was first proposed a list of potential topics was drawn up by the then chairman of Section (III) Dr Horst Klein. It is a measure of his insight and knowledge of the field that the resulting document matches almost exactly the original plan he drew up. This special issue is thus a tribute to his very extensive contribution to the field. We sincerely hope its contents provide an accurate picture of the present state of neutron metrology in view of Dr Klein's conviction of the importance in metrology of getting things right. Reference [1] Thomas D J and Klein H (ed) 2003 Neutron and photon spectrometry techniques for radiation protection Radiat

  7. Metrology and ionospheric observation standards

    NASA Astrophysics Data System (ADS)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  8. The role of LATU as national metrology institute of Uruguay and its responsibilities

    NASA Astrophysics Data System (ADS)

    Robatto, O.; Quagliata, E.; Santo, C.; Sica, A.; Sponton, M.

    2013-09-01

    Laboratorio Tecnológico del Uruguay (LATU) is the National Metrology Institute of Uruguay and has the obligation to maintain the national standards stated by National Law 15298. At present LATU is acting as a secondary laboratory as well as a primary laboratory. LATU was ISO 17025:2005 DKD (Deutscher Kalibrierdienst) accredited from 2001 up to 2007. By that time LATU decided to support its Capabilities of Measurement and Calibration (CMCs) at CIPM-MRA (Mutual Recognition Arrangement between national metrology institutes (NMIs)) by peer assessment. A Peer Review has been done in 2008 in order to get the QSTF (Sistema Interamericano de Metrología, Quality System Task Force) approval. "New "CMCs for Industrial Thermometers have been approved by the JCRB on September 2010. CMCs claimed for Standard Resistance Platinum Thermometers (SPRTs) calibration at fixed points have not been approved yet because there were some requirements of traceability of employed cells that were not fulfilled but will be solved properly. The declared CMCs have been chosen by LATU in order to cover the increasing calibration services required by the industry and the secondary calibration laboratories. To demonstrate its technical competence an support its declared "CMCs" LATU has also participated at bilateral and regional comparisons. In recent years LATU, the National Accreditation Body (OUA), the Standards Institute, the National Institute of Quality and Compliance Bodies have become Members of a new Institution to strengthen the Quality Infrastructure of the country (SUNAMEC). As part of this new activities, LATU is giving training courses to the secondary laboratories performing calibrations in temperature that want to get accredited by the National Accreditation Body and to act as Technical Evaluators or Auditors when required by OUA. It is expected, that in the future and in the frame of new accredited and recognized temperature calibration laboratories, LATU could strengthen its

  9. A European Roadmap for Thermophysical Properties Metrology

    NASA Astrophysics Data System (ADS)

    Filtz, J.-R.; Wu, J.; Stacey, C.; Hollandt, J.; Monte, C.; Hay, B.; Hameury, J.; Villamañan, M. A.; Thurzo-Andras, E.; Sarge, S.

    2015-03-01

    A roadmap for thermophysical properties metrology was developed in spring 2011 by the Thermophysical Properties Working Group in the EURAMET Technical Committee in charge of Thermometry, Humidity and Moisture, and Thermophysical Properties metrology. This roadmapping process is part of the EURAMET (European Association of National Metrology Institutes) activities aiming to increase impact from national investment in European metrology R&D. The roadmap shows a shared vision of how the development of thermophysical properties metrology should be oriented over the next 15 years to meet future social and economic needs. Since thermophysical properties metrology is a very broad and varied field, the authors have limited this roadmap to the following families of properties: thermal transport properties (thermal conductivity, thermal diffusivity, etc.), radiative properties (emissivity, absorbance, reflectance, and transmittance), caloric quantities (specific heat, enthalpy, etc.), thermodynamic properties (PVT and phase equilibria properties), and temperature-dependent quantities (thermal expansion, compressibility, etc.). This roadmap identifies the main societal and economical triggers that drive developments in thermophysical properties metrology. The key topics considered are energy, environment, advanced manufacturing and processing, public safety, security, and health. Key targets that require improved thermophysical properties measurements are identified in order to address these triggers. Ways are also proposed for defining the necessary skills and the main useful means to be implemented. These proposals will have to be revised as needs and technologies evolve in the future.

  10. A Roadmap for Thermal Metrology

    NASA Astrophysics Data System (ADS)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  11. Microwave monolithic integrated circuit-related metrology at the National Institute of Standards and Technology

    NASA Astrophysics Data System (ADS)

    Reeve, Gerome; Marks, Roger; Blackburn, David

    1990-12-01

    How the National Institute of Standards and Technology (NIST) interacts with the GaAs community and the Defense Advanced Research Projects Agency microwave monolithic integrated circuit (MMIC) initiative is described. The organization of a joint industry and government laboratory consortium for MMIC-related metrology research is described along with some of the initial technical developments at NIST done in support of the consortium.

  12. In-cell overlay metrology by using optical metrology tool

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  13. NASA metrology and calibration, 1993

    NASA Technical Reports Server (NTRS)

    1993-01-01

    Th sixteenth annual workshop of NASA's Metrology and Calibration Working Group was held April 20-22, 1993. The goals of the Working Group are to provide Agencywide standardization of individual metrology programs, where appropriate; to promote cooperation and exchange of information within NASA, with other Government agencies, and with industry; to serve as the primary Agency interface with the National Institute of Standards and Technology; and to encourage formal quality control techniques such as Measurement Assurance Programs. These proceedings contain unedited reports and presentations from the workshop and are provided for information only.

  14. Opportunities for scientists to influence policy: when does radiation metrology matter in development of national policy?

    PubMed

    Coursey, Bert M

    2014-05-01

    Accurate measurements of radiation and radioactivity rarely rise to the level of national policy. The things that matter most to ordinary citizens do not normally include questions of science and technology. Citizens are more often concerned with issues close to home relating to commerce, health, safety, security and the environment. When questions of confidence in measurements arise, they are first directed to the ministry that has responsibilities in that area. When the required uncertainty in field measurements challenges the capability of the regulatory authorities, the National Metrology Institute may be asked to develop transfer standards to enhance the capabilities of the ministry with the mission lead. In this paper, we will consider eight instances over the past nine decades in which questions in radiation and radionuclide metrology in the US did rise to the level that they influenced decisions on national policy. These eight examples share some common threads. Radioactivity and ionizing radiation are useful tools in many disciplines, but can often represent potential or perceived threats to health and public safety. When unforeseen applications of radiation arise, or when environmental radioactivity from natural and man-made sources presents a possible health hazard, the radiation metrologists may be called upon to provide the technical underpinning for policy development. © 2013 Published by Elsevier Ltd.

  15. Metrology - Beyond the Calibration Lab

    NASA Technical Reports Server (NTRS)

    Mimbs, Scott M.

    2008-01-01

    We rely on data from measurements every day; a gas-pump, a speedometer, and a supermarket weight scale are just three examples of measurements we use to make decisions. We generally accept the data from these measurements as "valid." One reason we can accept the data is the "legal metrology" requirements established and regulated by the government in matters of commerce. The measurement data used by NASA, other government agencies, and industry can be critical to decisions which affect everything from economic viability, to mission success, to the security of the nation. Measurement data can even affect life and death decisions. Metrology requirements must adequately provide for risks associated with these decisions. To do this, metrology must be integrated into all aspects of an industry including research, design, testing, and product acceptance. Metrology, the science of measurement, has traditionally focused on the calibration of instruments, and although instrument calibration is vital, it is only a part of the process that assures quality in measurement data. For example, measurements made in research can influence the fundamental premises that establish the design parameters, which then flow down to the manufacturing processes, and eventually impact the final product. Because a breakdown can occur anywhere within this cycle, measurement quality assurance has to be integrated into every part of the life-cycle process starting with the basic research and ending with the final product inspection process. The purpose of this paper is to discuss the role of metrology in the various phases of a product's life-cycle. For simplicity, the cycle will be divided in four broad phases, with discussions centering on metrology within NASA. .

  16. Metrology needs for the semiconductor industry over the next decade

    NASA Astrophysics Data System (ADS)

    Melliar-Smith, Mark; Diebold, Alain C.

    1998-11-01

    Metrology will continue to be a key enabler for the development and manufacture of future generations of integrated circuits. During 1997, the Semiconductor Industry Association renewed the National Technology Roadmap for Semiconductors (NTRS) through the 50 nm technology generation and for the first time included a Metrology Roadmap (1). Meeting the needs described in the Metrology Roadmap will be both a technological and financial challenge. In an ideal world, metrology capability would be available at the start of process and tool development, and silicon suppliers would have 450 mm wafer capable metrology tools in time for development of that wafer size. Unfortunately, a majority of the metrology suppliers are small companies that typically can't afford the additional two to three year wait for return on R&D investment. Therefore, the success of the semiconductor industry demands that we expand cooperation between NIST, SEMATECH, the National Labs, SRC, and the entire community. In this paper, we will discuss several critical metrology topics including the role of sensor-based process control, in-line microscopy, focused measurements for transistor and interconnect fabrication, and development needs. Improvements in in-line microscopy must extend existing critical dimension measurements up to 100 nm generations and new methods may be required for sub 100 nm generations. Through development, existing metrology dielectric thickness and dopant dose and junction methods can be extended to 100 nm, but new and possibly in-situ methods are needed beyond 100 nm. Interconnect process control will undergo change before 100 nm due to the introduction of copper metallization, low dielectric constant interlevel dielectrics, and Damascene process flows.

  17. Metrology Laboratory | Energy Systems Integration Facility | NREL

    Science.gov Websites

    and artificial) Spectral reflectance and transmission of materials (functional check only , pyrheliometers,* pyranometers,* and pyrgeometers. The Metrology Laboratory provides National Institute of

  18. Joint Research on Scatterometry and AFM Wafer Metrology

    NASA Astrophysics Data System (ADS)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  19. [The EFS metrology: From the production to the reason].

    PubMed

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  20. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    NASA Astrophysics Data System (ADS)

    Becerra, L. O.; Berry, J.; Chang, C. S.; Chapman, G. D.; Chung, J. W.; Davis, R. S.; Field, I.; Fuchs, P.; Jacobsson, U.; Lee, S. M.; Loayza, V. M.; Madec, T.; Matilla, C.; Ooiwa, A.; Scholz, F.; Sutton, C.; van Andel, I.

    2006-10-01

    The ubiquitous technology of magnetic force compensation of gravitational forces acting on artifacts on the pans of modern balances and comparators has brought with it the problem of magnetic leakage from the compensation coils. Leaking magnetic fields, as well as those due to the surroundings of the balance, can interact with the artifact whose mass is to be determined, causing erroneous values to be observed. For this reason, and to comply with normative standards, it has become important for mass metrologists to evaluate the magnetic susceptibility and any remanent magnetization that mass standards may possess. This paper describes a comparison of measurements of these parameters among seventeen national metrology institutes. The measurements are made on three transfer standards whose magnetic parameters span the range that might be encountered in stainless steel mass standards.

  1. NASA Metrology and Calibration, 1980

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The proceedings of the fourth annual NASA Metrology and Calibration Workshop are presented. This workshop covered (1) review and assessment of NASA metrology and calibration activities by NASA Headquarters, (2) results of audits by the Office of Inspector General, (3) review of a proposed NASA Equipment Management System, (4) current and planned field center activities, (5) National Bureau of Standards (NBS) calibration services for NASA, (6) review of NBS's Precision Measurement and Test Equipment Project activities, (7) NASA instrument loan pool operations at two centers, (8) mobile cart calibration systems at two centers, (9) calibration intervals and decals, (10) NASA Calibration Capabilities Catalog, and (11) development of plans and objectives for FY 1981. Several papers in this proceedings are slide presentations only.

  2. Temperature metrology

    NASA Astrophysics Data System (ADS)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  3. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    NASA Astrophysics Data System (ADS)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  4. FOREWORD: Special issue on radionuclide metrology

    NASA Astrophysics Data System (ADS)

    Simpson, Bruce; Judge, Steven

    2007-08-01

    the improvement in accuracy and precision of measurement as demanded by the stringent requirements of the user community, such as the correct calibration of nuclear instrumentation. This leads into the need for traceability to national measurement standards maintained by the national metrology institutes. As part of the radioactivity traceability chain, as for all areas of metrology, it is vital that systems are in place to ensure that national standards can be checked for worldwide uniformity and measurement equivalence. Many of the resulting areas are covered by the topics in this special issue, although specifically excluded from the scope of the publication are topics that are widely covered in other publications due to their application in applied metrology—for example, radiochemistry, environmental gamma spectrometry and alpha spectrometry. There are three sections to this issue, starting with papers on how the CIPM Mutual Recognition Arrangement has been implemented for radionuclide metrology, following into the bulk of the publication with articles on the `state of the art' in radionuclide metrology and ending with traceability to national/international standards in nuclear medicine, environmental monitoring, radiation protection and decommissioning. This special issue in essence follows on from earlier BIPM Monographies that were published in order to provide the base information for radionuclide metrology. In many respects they complement the special issue since much of their content is still valid today, particularly those published more recently as an aid to ensuring consistency of method and data. The BIPM Monographies are freely available to download from the BIPM website at http://www.bipm.org/en/publications/monographies-ri.html. The papers in the special issue draw on the experience of radionuclide metrologists who have been involved in their area of expertise for many years. The authors give readers an insightful account of the selected topics

  5. Industrial graphene metrology.

    PubMed

    Kyle, Jennifer Reiber; Ozkan, Cengiz S; Ozkan, Mihrimah

    2012-07-07

    Graphene is an allotrope of carbon whose structure is based on one-atom-thick planar sheets of carbon atoms that are densely packed in a honeycomb crystal lattice. Its unique electrical and optical properties raised worldwide interest towards the design and fabrication of future electronic and optical devices with unmatched performance. At the moment, extensive efforts are underway to evaluate the reliability and performance of a number of such devices. With the recent advances in synthesizing large-area graphene sheets, engineers have begun investigating viable methodologies for conducting graphene metrology and quality control at industrial scales to understand a variety of reliability issues including defects, patternability, electrical, and physical properties. This review summarizes the current state of industrial graphene metrology and provides an overview of graphene metrology techniques. In addition, a recently developed large-area graphene metrology technique based on fluorescence quenching is introduced. For each metrology technique, the industrial metrics it measures are identified--layer thickness, edge structure, defects, Fermi level, and thermal conductivity--and a detailed description is provided as to how the measurements are performed. Additionally, the potential advantages of each technique for industrial use are identified, including throughput, scalability, sensitivity to substrate/environment, and on their demonstrated ability to achieve quantified results. The recently developed fluorescence-quenching metrology technique is shown to meet all the necessary criteria for industrial applications, rendering it the first industry-ready graphene metrology technique.

  6. Foundations of Metrology: Important Early Works on Weights and Measures in the Library of the National Bureau of Standards.

    ERIC Educational Resources Information Center

    Terner, Janet R.

    The purpose of this project was to survey the National Bureau of Standards library holdings of pre-1900 works on metrology and from these, to assemble a special collection of historically important documents. The 265 imprints selected for the collection are listed in this report. Each entry includes the main author, title, imprint, and collation…

  7. Quantitative optical metrology with CMOS cameras

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Kolenovic, Ervin; Ferguson, Curtis F.

    2004-08-01

    Recent advances in laser technology, optical sensing, and computer processing of data, have lead to the development of advanced quantitative optical metrology techniques for high accuracy measurements of absolute shapes and deformations of objects. These techniques provide noninvasive, remote, and full field of view information about the objects of interest. The information obtained relates to changes in shape and/or size of the objects, characterizes anomalies, and provides tools to enhance fabrication processes. Factors that influence selection and applicability of an optical technique include the required sensitivity, accuracy, and precision that are necessary for a particular application. In this paper, sensitivity, accuracy, and precision characteristics in quantitative optical metrology techniques, and specifically in optoelectronic holography (OEH) based on CMOS cameras, are discussed. Sensitivity, accuracy, and precision are investigated with the aid of National Institute of Standards and Technology (NIST) traceable gauges, demonstrating the applicability of CMOS cameras in quantitative optical metrology techniques. It is shown that the advanced nature of CMOS technology can be applied to challenging engineering applications, including the study of rapidly evolving phenomena occurring in MEMS and micromechatronics.

  8. PREFACE: 13th International Conference on Metrology and Properties of Engineering Surfaces

    NASA Astrophysics Data System (ADS)

    Leach, Richard

    2011-08-01

    The 13th International Conference on Metrology and Properties of Engineering Surfaces focused on the progress in surface metrology, surface characterisation instrumentation and properties of engineering surfaces. The conference provided an international forum for academics, industrialists and engineers from different disciplines to meet and exchange their ideas, results and latest research. The conference was held at Twickenham Stadium, situated approximately six miles from Heathrow Airport and approximately three miles from the National Physical Laboratory (NPL). This was the thirteenth in the very successful series of conferences, which have firmly established surface topography as a new and exciting interdisciplinary field of scientific and technological studies. Scientific Themes: Surface, Micro and Nano Metrology Measurement and Instrumentation Metrology for MST Devices Freeform Surface Measurement and Characterisation Uncertainty, Traceability and Calibration AFM/SPM Metrology Tribology and Wear Phenomena Functional Applications Stylus and Optical Instruments

  9. Metrology requirements for the serial production of ELT primary mirror segments

    NASA Astrophysics Data System (ADS)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  10. Toward Advancing Nano-Object Count Metrology: A Best Practice Framework

    PubMed Central

    Boyko, Volodymyr; Meyers, Greg; Voetz, Matthias; Wohlleben, Wendel

    2013-01-01

    Background: A movement among international agencies and policy makers to classify industrial materials by their number content of sub–100-nm particles could have broad implications for the development of sustainable nanotechnologies. Objectives: Here we highlight current particle size metrology challenges faced by the chemical industry due to these emerging number percent content thresholds, provide a suggested best-practice framework for nano-object identification, and identify research needs as a path forward. Discussion: Harmonized methods for identifying nanomaterials by size and count for many real-world samples do not currently exist. Although particle size remains the sole discriminating factor for classifying a material as “nano,” inconsistencies in size metrology will continue to confound policy and decision making. Moreover, there are concerns that the casting of a wide net with still-unproven metrology methods may stifle the development and judicious implementation of sustainable nanotechnologies. Based on the current state of the art, we propose a tiered approach for evaluating materials. To enable future risk-based refinements of these emerging definitions, we recommend that this framework also be considered in environmental and human health research involving the implications of nanomaterials. Conclusion: Substantial scientific scrutiny is needed in the area of nanomaterial metrology to establish best practices and to develop suitable methods before implementing definitions based solely on number percent nano-object content for regulatory purposes. Strong cooperation between industry, academia, and research institutions will be required to fully develop and implement detailed frameworks for nanomaterial identification with respect to emerging count-based metrics. Citation: Brown SC, Boyko V, Meyers G, Voetz M, Wohlleben W. 2013. Toward advancing nano-object count metrology: a best practice framework. Environ Health Perspect 121:1282–1291;

  11. FOREWORD: Materials metrology Materials metrology

    NASA Astrophysics Data System (ADS)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  12. Laser and Optical Fiber Metrology in Romania

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sporea, Dan; Sporea, Adelina

    2008-04-15

    The Romanian government established in the last five years a National Program for the improvement of country's infrastructure of metrology. The set goal was to develop and accredit testing and calibration laboratories, as well as certification bodies, according to the ISO 17025:2005 norm. Our Institute benefited from this policy, and developed a laboratory for laser and optical fibers metrology in order to provide testing and calibration services for the certification of laser-based industrial, medical and communication products. The paper will present the laboratory accredited facilities and some of the results obtained in the evaluation of irradiation effects of optical andmore » optoelectronic parts, tests run under the EU's Fusion Program.« less

  13. NPL scoops £25m for advanced metrology centre

    NASA Astrophysics Data System (ADS)

    Singh Chadha, Kulvinder

    2013-03-01

    The National Physical Laboratory (NPL) in Teddington, UK, is to receive £25m towards the construction of an Advanced Metrology Laboratory (AML) that will contain up to 20 labs and be complete by 2017.

  14. Nuclear Technology. Course 27: Metrology. Module 27-5, Tolerancing.

    ERIC Educational Resources Information Center

    Selleck, Ben; Espy, John

    This fifth in a series of eight modules for a course titled Metrology describes the application of the American National Standard (ANSI Y14.5-1973) for dimensioning and tolerancing and gives guidance on interpreting form and location controls consistent with the national standard. The module follows a typical format that includes the following…

  15. Conceptual design of new metrology laboratories for the National Physical Laboratory, United Kingdom

    NASA Astrophysics Data System (ADS)

    Manning, Christopher J.

    1994-10-01

    The National Physical Laboratory is planning to house the Division of Mechanical and Optical Metrology and the Division of Material Metrology in a new purpose built laboratory building on its site at Teddington, London, England. The scientific staff were involved in identifying and agreeing the vibration performance requirements of the conceptual design. This was complemented by an extensive surgery of vibration levels within the existing facilities and ambient vibration studies at the proposed site. At one end of the site there is significant vibration input from road traffic. Some of the test equipment is also in itself a source of vibration input. These factors, together with normal occupancy inputs, footfalls and door slams, and a highly serviced building led to vibration being dominant in influencing the structural form. The resulting structural concept comprises three separate structural elements for vibration and geotechnical reasons. The laboratories most sensitive to disturbance by vibration are located at the end of the site farthest from local roads on a massive ground bearing slab. Less sensitive laboratories and those containing vibration sources are located on a massive slab in deep, piled foundations. A common central plant area is located alongside on its own massive slab. Medium sensitivity laboratories and offices are located at first floor level on a reinforced concrete suspended floor of maximum stiffness per unit mass. The whole design has been such as to permit upgrading of areas, eg office to laboratory; laboratory to `high sensitivity' laboratory, to cater for changes in future use of the building.

  16. Teacher Candidates Research, Teach, and Learn in the Nation's First Net Zero School

    ERIC Educational Resources Information Center

    Murley, Lisa D.; Gandy, S. Kay; Huss, Jeanine M.

    2017-01-01

    Teacher candidates conducted field hours in the nation's first net zero school, which uses the same amount of energy, measured annually, as it produces. These teacher candidates saw firsthand integration of the net zero advantages by completing a Collaborative Research Project and a Net Zero Lesson, which incorporated the use of the net zero…

  17. Which nets are being used: factors associated with mosquito net use in Amhara, Oromia and Southern Nations, Nationalities and Peoples' Regions of Ethiopia.

    PubMed

    Ngondi, Jeremiah M; Graves, Patricia M; Gebre, Teshome; Mosher, Aryc W; Shargie, Estifanos B; Emerson, Paul M; Richards, Frank O

    2011-04-17

    There has been recent large scale-up of malaria control interventions in Ethiopia where transmission is unstable. While household ownership of long-lasting insecticidal nets (LLIN) has increased greatly, there are concerns about inadequate net use. This study aimed to investigate factors associated with net use at two time points, before and after mass distribution of nets. Two cross sectional surveys were carried out in 2006 and 2007 in Amhara, Oromia and SNNP regions. The latter was a sub-sample of the national Malaria Indicator Survey (MIS 3R). Each survey wave used multi-stage cluster random sampling with 25 households per cluster (224 clusters with 5,730 households in Baseline 2006 and 245 clusters with 5,910 households in MIS 3R 2007). Net ownership was assessed by visual inspection while net utilization was reported as use of the net the previous night. This net level analysis was restricted to households owning at least one net of any type. Logistic regression models of association between net use and explanatory variables including net type, age, condition, cost and other household characteristics were undertaken using generalized linear latent and mixed models (GLLAMM). A total of 3,784 nets in 2,430 households were included in the baseline 2006 analysis while the MIS 3R 2007 analysis comprised 5,413 nets in 3,328 households. The proportion of nets used the previous night decreased from 85.1% to 56.0% between baseline 2006 and MIS 3R 2007, respectively. Factors independently associated with increased proportion of nets used were: LLIN net type (at baseline 2006); indoor residual spraying (at MIS 3R 2007); and increasing wealth index at both surveys. At both baseline 2006 and MIS 3R 2007, reduced proportion of nets used was independently associated with increasing net age, increasing damage of nets, increasing household net density, and increasing altitude (>2,000 m). This study identified modifiable factors affecting use of nets that were consistent

  18. PREFACE: 3rd International Congress on Mechanical Metrology (CIMMEC2014)

    NASA Astrophysics Data System (ADS)

    2015-10-01

    From October 14th to 16th 2014, The Brazilian National Institute of Metrology, Quality, and Technology (Inmetro) and the Brazilian Society of Metrology (SBM) organized the 3rd International Congress on Mechanical Metrology (3rd CIMMEC). The 3rd CIMMEC was held in the city of Gramado, Rio Grande do Sul, Brazil. Anticipating the interest and enthusiasm of the technical-scientific community, the Organizing Institutions invite people and organizations to participate in this important congress, reiterating the commitment to organize an event according to highest international standards. This event has been conceived to integrate people and organizations from Brazil and abroad in the discussion of advanced themes in metrology. Manufacturers and dealers of measuring equipment and standards, as well as of auxiliary accessories and bibliographic material, had the chance to promote their products and services in stands at the Fair, which has taken place alongside the Congress. The 3rd CIMMEC consisted of five Keynote Speeches and 116 regular papers. Among the regular papers, the 25 most outstanding ones, comprising a high quality content on Mechanical Metrology, were selected to be published in this issue of Journal of Physics: Conference Series. It is our great pleasure to present this volume of Journal of Physics: Conference Series to the scientific community to promote further research in Mechanical Metrology and related areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by CIMMEC 2014.

  19. Metrology laboratory requirements for third-generation synchrotron radiation sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takacs, P.Z.; Quian, Shinan

    1997-11-01

    New third-generation synchrotron radiation sources that are now, or will soon, come on line will need to decide how to handle the testing of optical components delivered for use in their beam lines. In many cases it is desirable to establish an in-house metrology laboratory to do the work. We review the history behind the formation of the Optical Metrology Laboratory at Brookhaven National Laboratory and the rationale for its continued existence. We offer suggestions to those who may be contemplating setting up similar facilities, based on our experiences over the past two decades.

  20. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    NASA Astrophysics Data System (ADS)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  1. EDITORIAL: Nanoscale metrology Nanoscale metrology

    NASA Astrophysics Data System (ADS)

    Klapetek, P.; Koenders, L.

    2011-09-01

    This special issue of Measurement Science and Technology presents selected contributions from the NanoScale 2010 seminar held in Brno, Czech Republic. It was the 5th Seminar on Nanoscale Calibration Standards and Methods and the 9th Seminar on Quantitative Microscopy (the first being held in 1995). The seminar was jointly organized with the Czech Metrology Institute (CMI) and the Nanometrology Group of the Technical Committee-Length of EURAMET. There were two workshops that were integrated into NanoScale 2010: first a workshop presenting the results obtained in NANOTRACE, a European Metrology Research Project (EMRP) on displacement-measuring optical interferometers, and second a workshop about the European metrology landscape in nanometrology related to thin films, scanning probe microscopy and critical dimension. The aim of this workshop was to bring together developers, applicants and metrologists working in this field of nanometrology and to discuss future needs. For more information see www.co-nanomet.eu. The articles in this special issue of Measurement Science and Technology cover some novel scientific results. This issue can serve also as a representative selection of topics that are currently being investigated in the field of European and world-wide nanometrology. Besides traditional topics of dimensional metrology, like development of novel interferometers or laser stabilization techniques, some novel interesting trends in the field of nanometrology are observed. As metrology generally reflects the needs of scientific and industrial research, many research topics addressed refer to current trends in nanotechnology, too, focusing on traceability and improved measurement accuracy in this field. While historically the most studied standards in nanometrology were related to simple geometric structures like step heights or 1D or 2D gratings, now we are facing tasks to measure 3D structures and many unforeseen questions arising from interesting physical

  2. SpecialNet. A National Computer-Based Communications Network.

    ERIC Educational Resources Information Center

    Morin, Alfred J.

    1986-01-01

    "SpecialNet," a computer-based communications network for educators at all administrative levels, has been established and is managed by National Systems Management, Inc. Users can send and receive electronic mail, share information on electronic bulletin boards, participate in electronic conferences, and send reports and other documents to each…

  3. Creating an "enabling environment" for taking insecticide treated nets to national scale: the Tanzanian experience

    PubMed Central

    Magesa, Stephen M; Lengeler, Christian; deSavigny, Don; Miller, Jane E; Njau, Ritha JA; Kramer, Karen; Kitua, Andrew; Mwita, Alex

    2005-01-01

    Introduction Malaria is the largest cause of health services attendance, hospital admissions and child deaths in Tanzania. At the Abuja Summit in April 2000 Tanzania committed itself to protect 60% of its population at high risk of malaria by 2005. The country is, therefore, determined to ensure that sustainable malaria control using insecticide-treated nets is carried out on a national scale. Case description Tanzania has been involved for two decades in the research process for developing insecticide-treated nets as a malaria control tool, from testing insecticides and net types, to assessing their efficacy and effectiveness, and exploring new ways of distribution. Since 2000, the emphasis has changed from a project approach to that of a concerted multi-stakeholder action for taking insecticide-treated nets to national scale (NATNETS). This means creating conditions that make insecticide-treated nets accessible and affordable to all those at risk of malaria in the country. This paper describes Tanzania's experience in (1) creating an enabling environment for insecticide-treated nets scale-up, (2) promoting the development of a commercial sector for insecticide-treated nets, and (3) targeting pregnant women with highly subsidized insecticide-treated nets through a national voucher scheme. As a result, nearly 2 million insecticide-treated nets and 2.2 million re-treatment kits were distributed in 2004. Conclusion National upscaling of insecticide-treated nets is possible when the programme is well designed, coordinated and supported by committed stakeholders; the Abuja target of protecting 60% of those at high risk is feasible, even for large endemic countries. PMID:16042780

  4. Metrological Support in Technosphere Safety

    NASA Astrophysics Data System (ADS)

    Akhobadze, G. N.

    2017-11-01

    The principle of metrological support in technosphere safety is considered. It is based on the practical metrology. The theoretical aspects of accuracy and errors of the measuring instruments intended for diagnostics and control of the technosphere under the influence of factors harmful to human beings are presented. The necessity to choose measuring devices with high metrological characteristics according to the accuracy class and contact of sensitive elements with a medium under control is shown. The types of additional errors in measuring instruments that arise when they are affected by environmental influences are described. A specific example of the analyzers application to control industrial emissions and measure the oil and particulate matter in wastewater is shown; it allows assessing advantages and disadvantages of analyzers. Besides, the recommendations regarding the missing metrological characteristics of the instruments in use are provided. The technosphere continuous monitoring taking into account the metrological principles is expected to efficiently forecast the technosphere development and make appropriate decisions.

  5. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    NASA Astrophysics Data System (ADS)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  6. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    NASA Astrophysics Data System (ADS)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  7. Renewal of K-NET (National Strong-motion Observation Network of Japan)

    NASA Astrophysics Data System (ADS)

    Kunugi, T.; Fujiwara, H.; Aoi, S.; Adachi, S.

    2004-12-01

    The National Research Institute for Earth Science and Disaster Prevention (NIED) operates K-NET (Kyoshin Network), the national strong-motion observation network, which evenly covers the whole of Japan at intervals of 25 km on average. K-NET was constructed after the Hyogoken-Nambu (Kobe) earthquake in January 1995, and began operation in June 1996. Thus, eight years have passed since K-NET started, and large amounts of strong-motion records have been obtained. As technology has progressed and new technologies have become available, NIED has developed a new K-NET with improved functionality. New seismographs have been installed at 443 observatories mainly in southwestern Japan where there is a risk of strong-motion due to the Nankai and Tonankai earthquakes. The new system went into operation in June 2004, although seismographs have still to be replaced in other areas. The new seismograph (K-NET02) consists of a sensor module, a measurement module and a communication module. A UPS, a GPS antenna and a dial-up router are also installed together with a K-NET02. A triaxial accelerometer, FBA-ES-DECK (Kinemetrics Inc.) is built into the sensor module. The measurement module functions as a conventional strong-motion seismograph for high-precision observation. The communication module can perform sophisticated processes, such as calculation of the Japan Meteorological Agency (JMA) seismic intensity, continuous recording of data and near real-time data transmission. It connects to the Data Management Center (DMC) using an ISDN line. In case of a power failure, the measurement module can control the power supply to the router and the communication module to conserve battery power. One of the main features of K-NET02 is a function for processing JMA seismic intensity. K-NET02 functions as a proper seismic intensity meter that complies with the official requirements of JMA, although the old strong-motion seismograph (K-NET95) does not calculate seismic intensity. Another

  8. SAQP pitch walk metrology using single target metrology

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  9. Efficient hybrid metrology for focus, CD, and overlay

    NASA Astrophysics Data System (ADS)

    Tel, W. T.; Segers, B.; Anunciado, R.; Zhang, Y.; Wong, P.; Hasan, T.; Prentice, C.

    2017-03-01

    In the advent of multiple patterning techniques in semiconductor industry, metrology has progressively become a burden. With multiple patterning techniques such as Litho-Etch-Litho-Etch and Sidewall Assisted Double Patterning, the number of processing step have increased significantly and therefore, so as the amount of metrology steps needed for both control and yield monitoring. The amount of metrology needed is increasing in each and every node as more layers needed multiple patterning steps, and more patterning steps per layer. In addition to this, there is that need for guided defect inspection, which in itself requires substantially denser focus, overlay, and CD metrology as before. Metrology efficiency will therefore be cruicial to the next semiconductor nodes. ASML's emulated wafer concept offers a highly efficient method for hybrid metrology for focus, CD, and overlay. In this concept metrology is combined with scanner's sensor data in order to predict the on-product performance. The principle underlying the method is to isolate and estimate individual root-causes which are then combined to compute the on-product performance. The goal is to use all the information available to avoid ever increasing amounts of metrology.

  10. Roles of chemical metrology in electronics industry and associated environment in Korea: a tutorial.

    PubMed

    Kang, Namgoo; Joong Kim, Kyung; Seog Kim, Jin; Hae Lee, Joung

    2015-03-01

    Chemical metrology is gaining importance in electronics industry that manufactures semiconductors, electronic displays, and microelectronics. Extensive and growing needs from this industry have raised the significance of accurate measurements of the amount of substances and material properties. For the first time, this paper presents information on how chemical metrology is being applied to meet a variety of needs in the aspects of quality control of electronics products and environmental regulations closely associated with electronics industry. For a better understanding of the roles of the chemical metrology within electronics industry, the recent research activities and results in chemical metrology are presented using typical examples in Korea where electronic industry is leading a national economy. Particular attention is paid to the applications of chemical metrology for advancing emerging electronics technology developments. Such examples are a novel technique for the accurate quantification of gas composition at nano-liter levels within a MEMS package, the surface chemical analysis of a semiconductor device. Typical metrological tools are also presented for the development of certified reference materials for fluorinated greenhouse gases and proficiency testing schemes for heavy metals and chlorinated toxic gas in order to cope properly with environmental issues within electronics industry. In addition, a recent technique is presented for the accurate measurement of the destruction and removal efficiency of a typical greenhouse gas scrubber. Copyright © 2014 Elsevier B.V. All rights reserved.

  11. Computational metrology: enabling full-lot high-density fingerprint information without adding wafer metrology budget, and driving improved monitoring and process control

    NASA Astrophysics Data System (ADS)

    Kim, Hyun-Sok; Hyun, Min-Sung; Ju, Jae-Wuk; Kim, Young-Sik; Lambregts, Cees; van Rhee, Peter; Kim, Johan; McNamara, Elliott; Tel, Wim; Böcker, Paul; Oh, Nang-Lyeom; Lee, Jun-Hyung

    2018-03-01

    Computational metrology has been proposed as the way forward to resolve the need for increased metrology density, resulting from extending correction capabilities, without adding actual metrology budget. By exploiting TWINSCAN based metrology information, dense overlay fingerprints for every wafer can be computed. This extended metrology dataset enables new use cases, such as monitoring and control based on fingerprints for every wafer of the lot. This paper gives a detailed description, discusses the accuracy of the fingerprints computed, and will show results obtained in a DRAM HVM manufacturing environment. Also an outlook for improvements and extensions will be shared.

  12. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    NASA Astrophysics Data System (ADS)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  13. Photomask applications of traceable atomic force microscope dimensional metrology at NIST

    NASA Astrophysics Data System (ADS)

    Dixson, Ronald; Orji, Ndubuisi G.; Potzick, James; Fu, Joseph; Allen, Richard A.; Cresswell, Michael; Smith, Stewart; Walton, Anthony J.; Tsiamis, Andreas

    2007-10-01

    The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. Three major instruments are being used for traceable measurements. The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), the second is the first generation of commercially available critical dimension AFM (CD-AFM), and the third is a current generation CD-AFM at SEMATECH - for which NIST has established the calibration and uncertainties. All of these instruments have useful applications in photomask metrology. Linewidth reference metrology is an important application of CD-AFM. We have performed a preliminary comparison of linewidths measured by CD-AFM and by electrical resistance metrology on a binary mask. For the ten selected test structures with on-mask linewidths between 350 nm and 600 nm, most of the observed differences were less than 5 nm, and all of them were less than 10 nm. The offsets were often within the estimated uncertainties of the AFM measurements, without accounting for the effect of linewidth roughness or the uncertainties of electrical measurements. The most recent release of the NIST photomask standard - which is Standard Reference Material (SRM) 2059 - was also supported by CD-AFM reference measurements. We review the recent advances in AFM linewidth metrology that will reduce the uncertainty of AFM measurements on this and future generations of the NIST photomask standard. The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized He-Ne laser. One of the important applications of the C-AFM is step height metrology, which has some relevance to phase shift calibration. In the current generation of the system, the approximate level of relative standard uncertainty for step height measurements at the 100 nm scale is 0.1 %. We discuss the monitor history of a 290 nm step height, originally measured on the C-AFM with a 1

  14. Coordinate metrology of a primary surface composite panel from the Large Millimeter Telescope

    NASA Astrophysics Data System (ADS)

    Gale, David M.; Lucero Álvarez, Maribel; Cabrera Cuevas, Lizeth; Leon-Huerta, Andrea; Arizmendi Reyes, Edgar; Icasio Hernández, Octavio; Castro Santos, David; Hernández Ríos, Emilio; Tecuapetla Sosa, Esteban; Tzile Torres, Carlos; Viliesid Alonso, Miguel

    2016-07-01

    The Large Millimeter Telescope (LMT) is a single-dish fully-steerable radio telescope presently operating with a 32.5 m parabolic primary reflector, in the process of extension to 50 m. The project is managed by the Instituto Nacional de Astrofísica, Óptica y Electrónica (INAOE) in México, and the University of Massachusetts Amherst, USA. A laminated surface panel from the LMT primary reflector has been subjected to a surface measurement assay at Mexico's National Metrology Center (CENAM). Data obtained using a coordinate measuring machine and laser tracker owned by CENAM is compared with measurements using an identical model laser tracker and the photogrammetry technique, the latter systems owned and operated by the LMT. All measurements were performed within the controlled metrology environment at CENAM. The measurement exercise is intended to prepare the groundwork for converting this spare surface panel into a calibrated work-piece. The establishment of a calibrated work-piece provides quality assurance for metrology through measurement traceability. It also simplifies the evaluation of measurement uncertainty for coordinate metrology procedures used by the LMT project during reflector surface qualification.

  15. A laser scanning system for metrology and viewing in ITER

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spampinato, P.T.; Barry, R.E.; Menon, M.M.

    1996-05-01

    The construction and operation of a next-generation fusion reactor will require metrology to achieve and verify precise alignment of plasma-facing components and inspection in the reactor vessel. The system must be compatible with the vessel environment of high gamma radiation (10{sup 4} Gy/h), ultra-high-vacuum (10{sup {minus}8} torr), and elevated temperature (200 C). The high radiation requires that the system be remotely deployed. A coherent frequency modulated laser radar-based system will be integrated with a remotely operated deployment mechanism to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics tomore » the laser source and imaging units that are located outside of a biological shield. The deployment mechanism will be a mast-like positioning system. Radiation-damage tests will be conducted on critical sensor components at Oak Ridge National Laboratory to determine threshold damage levels and effects on data transmission. This paper identifies the requirements for International Thermonuclear Experimental Reactor metrology and viewing and describes a remotely operated precision ranging and surface mapping system.« less

  16. Coordinate metrology using scanning probe microscopes

    NASA Astrophysics Data System (ADS)

    Marinello, F.; Savio, E.; Bariani, P.; Carmignato, S.

    2009-08-01

    New positioning, probing and measuring strategies in coordinate metrology are needed for the accomplishment of true three-dimensional characterization of microstructures, with uncertainties in the nanometre range. In the present work, the implementation of scanning probe microscopes (SPMs) as systems for coordinate metrology is discussed. A new non-raster measurement approach is proposed, where the probe is moved to sense points along free paths on the sample surface, with no loss of accuracy with respect to traditional raster scanning and scan time reduction. Furthermore, new probes featuring long tips with innovative geometries suitable for coordinate metrology through SPMs are examined and reported.

  17. Metrology in physics, chemistry, and biology: differing perceptions.

    PubMed

    Iyengar, Venkatesh

    2007-04-01

    The association of physics and chemistry with metrology (the science of measurements) is well documented. For practical purposes, basic metrological measurements in physics are governed by two components, namely, the measure (i.e., the unit of measurement) and the measurand (i.e., the entity measured), which fully account for the integrity of a measurement process. In simple words, in the case of measuring the length of a room (the measurand), the SI unit meter (the measure) provides a direct answer sustained by metrological concepts. Metrology in chemistry, as observed through physical chemistry (measures used to express molar relationships, volume, pressure, temperature, surface tension, among others) follows the same principles of metrology as in physics. The same basis percolates to classical analytical chemistry (gravimetry for preparing high-purity standards, related definitive analytical techniques, among others). However, certain transition takes place in extending the metrological principles to chemical measurements in complex chemical matrices (e.g., food samples), as it adds a third component, namely, indirect measurements (e.g., AAS determination of Zn in foods). This is a practice frequently used in field assays, and calls for additional steps to account for traceability of such chemical measurements for safeguarding reliability concerns. Hence, the assessment that chemical metrology is still evolving.

  18. Optical metrology for Starlight Separated Spacecraft Stellar Interferometry Mission

    NASA Technical Reports Server (NTRS)

    Dubovitsky, S.; Lay, O. P.; Peters, R. D.; Abramovici, A.; Asbury, C. G.; Kuhnert, A. C.; Mulder, J. L.

    2002-01-01

    We describe a high-precision inter-spacecraft metrology system designed for NASA 's StarLight mission, a space-based separated-spacecraft stellar interferometer. It consists of dual-target linear metrology, based on a heterodyne interferometer with carrier phase modulation, and angular metrology designed to sense the pointing of the laser beam and provides bearing information. The dual-target operation enables one metrology beam to sense displacement of two targets independently. We present the current design, breadboard implementation of the Metrology Subsystem in a stellar interferometer testbed and the present state of development of flight qualifiable subsystem components.

  19. Metrology for the manufacturing of freeform optics

    NASA Astrophysics Data System (ADS)

    Blalock, Todd; Myer, Brian; Ferralli, Ian; Brunelle, Matt; Lynch, Tim

    2017-10-01

    Recently the use of freeform surfaces have become a realization for optical designers. These non-symmetrical optical surfaces have allowed unique solutions to optical design problems. The implementation of freeform optical surfaces has been limited by manufacturing capabilities and quality. However over the past several years freeform fabrication processes have improved in capability and precision. But as with any manufacturing, proper metrology is required to monitor and verify the process. Typical optics metrology such as interferometry has its challenges and limitations with the unique shapes of freeform optics. Two contact metrology methods for freeform metrology are presented; a Leitz coordinate measurement machine (CMM) with an uncertainty of +/- 0.5 μm and a high resolution profilometer (Panasonic UA3P) with a measurement uncertainty of +/- 0.05 μm. We are also developing a non-contact high resolution technique based on the fringe reflection technique known as deflectometry. This fast non-contact metrology has the potential to compete with accuracies of the contact methods but also can acquire data in seconds rather than minutes or hours.

  20. Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Pratt, Jon R.; Kramar, John A.; Newell, David B.; Smith, Douglas T.

    2005-05-01

    If nanomechanical testing is to evolve into a tool for process and quality control in semiconductor fabrication, great advances in throughput, repeatability, and accuracy of the associated instruments and measurements will be required. A recent grant awarded by the NIST Advanced Technology Program seeks to address the throughput issue by developing a high-speed AFM-based platform for quantitative nanomechanical measurements. The following paper speaks to the issue of quantitative accuracy by presenting an overview of various standards and techniques under development at NIST and other national metrology institutes (NMIs) that can provide a metrological basis for nanomechanical testing. The infrastructure we describe places firm emphasis on traceability to the International System of Units, paving the way for truly quantitative, rather than qualitative, physical property testing.

  1. Applications of surface metrology in firearm identification

    NASA Astrophysics Data System (ADS)

    Zheng, X.; Soons, J.; Vorburger, T. V.; Song, J.; Renegar, T.; Thompson, R.

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCFMAX) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases.

  2. Metrology Careers: Jobs for Good Measure

    ERIC Educational Resources Information Center

    Liming, Drew

    2009-01-01

    What kind of career rewards precision and accuracy? One in metrology--the science of measurement. By evaluating and calibrating the technology in people's everyday lives, metrologists keep their world running smoothly. Metrology is used in the design and production of almost everything people encounter daily, from the cell phones in their pockets…

  3. Reference metrology in a research fab: the NIST clean calibrations thrust

    NASA Astrophysics Data System (ADS)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  4. Mask Design for the Space Interferometry Mission Internal Metrology

    NASA Technical Reports Server (NTRS)

    Marx, David; Zhao, Feng; Korechoff, Robert

    2005-01-01

    This slide presentation reviews the mask design used for the internal metrology of the Space Interferometry Mission (SIM). Included is information about the project, the method of measurements with SIM, the internal metrology, numerical model of internal metrology, wavefront examples, performance metrics, and mask design

  5. Improving OCD time to solution using Signal Response Metrology

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  6. Analysis of key technologies for virtual instruments metrology

    NASA Astrophysics Data System (ADS)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  7. Preliminary results for mask metrology using spatial heterodyne interferometry

    NASA Astrophysics Data System (ADS)

    Bingham, Philip R.; Tobin, Kenneth; Bennett, Marylyn H.; Marmillion, Pat

    2003-12-01

    Spatial heterodyne interferometry (SHI) is an imaging technique that captures both the phase and amplitude of a complex wavefront in a single high-speed image. This technology was developed at the Oak Ridge National Laboratory (ORNL) and is currently being implemented for semiconductor wafer inspection by nLine Corporation. As with any system that measures phase, metrology and inspection of surface structures is possible by capturing a wavefront reflected from the surface. The interpretation of surface structure heights for metrology applications can become very difficult with the many layers of various materials used on semiconductor wafers, so inspection (defect detection) has been the primary focus for semiconductor wafers. However, masks used for photolithography typically only contain a couple well-defined materials opening the doors to high-speed mask metrology in 3 dimensions in addition to inspection. Phase shift masks often contain structures etched out of the transparent substrate material for phase shifting. While these structures are difficult to inspect using only intensity, the phase and amplitude images captured with SHI can produce very good resolution of these structures. The phase images also provide depth information that is crucial for these phase shift regions. Preliminary testing has been performed to determine the feasibility of SHI for high-speed non-contact mask metrology using a prototype SHI system with 532 nm wavelength illumination named the Visible Alpha Tool (VAT). These results show that prototype SHI system is capable of performing critical dimension measurements on 400nm lines with a repeatability of 1.4nm and line height measurements with a repeatability of 0.26nm. Additionally initial imaging of an alternating aperture phase shift mask has shown the ability of SHI to discriminate between typical phase shift heights.

  8. INMARSAT-C SafetyNET

    Science.gov Websites

    Tsunamis 406 EPIRB's National Weather Service Marine Forecasts INMARSAT-C SafetyNET Marine Forecast Offices greater danger near shore or any shallow waters? NATIONAL WEATHER SERVICE PRODUCTS VIA INMARSAT-C SafetyNET Inmarsat-C SafetyNET is an internationally adopted, automated satellite system for promulgating

  9. Entanglement-enhanced quantum metrology in a noisy environment

    NASA Astrophysics Data System (ADS)

    Wang, Kunkun; Wang, Xiaoping; Zhan, Xiang; Bian, Zhihao; Li, Jian; Sanders, Barry C.; Xue, Peng

    2018-04-01

    Quantum metrology overcomes standard precision limits and plays a central role in science and technology. Practically, it is vulnerable to imperfections such as decoherence. Here we demonstrate quantum metrology for noisy channels such that entanglement with ancillary qubits enhances the quantum Fisher information for phase estimation but not otherwise. Our photonic experiment covers a range of noise for various types of channels, including for two randomly alternating channels such that assisted entanglement fails for each noisy channel individually. We simulate noisy channels by implementing space-multiplexed dual interferometers with quantum photonic inputs. We demonstrate the advantage of entanglement-assisted protocols in a phase estimation experiment run with either a single-probe or multiprobe approach. These results establish that entanglement with ancillae is a valuable approach for delivering quantum-enhanced metrology. Our approach to entanglement-assisted quantum metrology via a simple linear-optical interferometric network with easy-to-prepare photonic inputs provides a path towards practical quantum metrology.

  10. Assessment of the present NASA optical metrology capabilities and recommendations for establishing an in-house NASA Optical Metrology Group

    NASA Technical Reports Server (NTRS)

    Parks, Robert E.

    1991-01-01

    An investigation into when it was first recognized that there was a deficiency in NASA optical metrology oversight capability, why this deficiency existed unnoticed for so long, and a proposal for correcting the problem is presented. It is explained why this optical metrology oversight is so critical to program success and at the same time, why it is difficult to establish due to the nature of the technology. The solution proposed is the establishment of an Optics Metrology Group within the NASA/MSFC Optics Branch with a line of authority from NASA S & MA.

  11. 7/5nm logic manufacturing capabilities and requirements of metrology

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  12. What metrology can do to improve the quality of your atmospheric ammonia measurements

    NASA Astrophysics Data System (ADS)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 <3%. The infrastructure consists of a stationary as well as a mobile device for full flexibility for calibrations in the laboratory and in the

  13. Emerging technology for astronomical optics metrology

    NASA Astrophysics Data System (ADS)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  14. Absolute metrology for space interferometers

    NASA Astrophysics Data System (ADS)

    Salvadé, Yves; Courteville, Alain; Dändliker, René

    2017-11-01

    The crucial issue of space-based interferometers is the laser interferometric metrology systems to monitor with very high accuracy optical path differences. Although classical high-resolution laser interferometers using a single wavelength are well developed, this type of incremental interferometer has a severe drawback: any interruption of the interferometer signal results in the loss of the zero reference, which requires a new calibration, starting at zero optical path difference. We propose in this paper an absolute metrology system based on multiplewavelength interferometry.

  15. Instrumentation, metrology, and standards: key elements for the future of nanomanufacturing

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Lyons, Kevin

    2007-09-01

    Nanomanufacturing is the essential bridge between the discoveries of nanoscience and real world nanotech products and is the vehicle by which the Nation and the World will realize the promise of major technological innovation across a spectrum of products that will affect virtually every industrial sector. For nanotech products to achieve the broad impacts envisioned, they must be manufactured in market-appropriate quantities in a reliable, repeatable, economical and commercially viable manner. In addition, they must be manufactured so that environmental and human health concerns are met, worker safety issues are appropriately assessed and handled, and liability issues are addressed. Critical to this realization of robust nanomanufacturing is the development of the necessary instrumentation, metrology, and standards. Integration of the instruments, their interoperability, and appropriate information management are also critical elements that must be considered for viable nanomanufacturing. Advanced instrumentation, metrology and standards will allow the physical dimensions, properties, functionality, and purity of the materials, processes, tools, systems, products, and emissions that will constitute nanomanufacturing to be measured and characterized. This will in turn enable production to be scaleable, controllable, predictable, and repeatable to meet market needs. If a nano-product cannot be measured it cannot be manufactured; additionally if that product cannot be made safely it should not be manufactured. This presentation introduces the Instrumentation, Metrology, and Standards for Nanomanufacturing Conference at the 2007 SPIE Optics and Photonics. This conference will become the leading forum for the exchange of foundational information and discussion of instrumentation, metrology and standards which are key elements for the success of nanomanufacturing.

  16. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    NASA Astrophysics Data System (ADS)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  17. Hybrid Metrology and 3D-AFM Enhancement for CD Metrology Dedicated to 28 nm Node and Below Requirements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foucher, J.; Faurie, P.; Dourthe, L.

    2011-11-10

    The measurement accuracy is becoming one of the major components that have to be controlled in order to guarantee sufficient production yield. Already at the R and D level, we have to come up with the accurate measurements of sub-40 nm dense trenches and contact holes coming from 193 immersion lithography or E-Beam lithography. Current production CD (Critical Dimension) metrology techniques such as CD-SEM (CD-Scanning Electron Microscope) and OCD (Optical Critical Dimension) are limited in relative accuracy for various reasons (i.e electron proximity effect, outputs parameters correlation, stack influence, electron interaction with materials...). Therefore, time for R and D ismore » increasing, process windows degrade and finally production yield can decrease because you cannot manufactured correctly if you are unable to measure correctly. A new high volume manufacturing (HVM) CD metrology solution has to be found in order to improve the relative accuracy of production environment otherwise current CD Metrology solution will very soon get out of steam.In this paper, we will present a potential Hybrid CD metrology solution that smartly tuned 3D-AFM (3D-Atomic Force Microscope) and CD-SEM data in order to add accuracy both in R and D and production. The final goal for 'chip makers' is to improve yield and save R and D and production costs through real-time feedback loop implement on CD metrology routines. Such solution can be implemented and extended to any kind of CD metrology solution. In a 2{sup nd} part we will discuss and present results regarding a new AFM3D probes breakthrough with the introduction of full carbon tips made will E-Beam Deposition process. The goal is to overcome the current limitations of conventional flared silicon tips which are definitely not suitable for sub-32 nm nodes production.« less

  18. Gaps analysis for CD metrology beyond the 22nm node

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Germer, Thomas A.; Vartanian, Victor; Cordes, Aaron; Cepler, Aron; Settens, Charles

    2013-04-01

    This paper will examine the future for critical dimension (CD) metrology. First, we will present the extensive list of applications for which CD metrology solutions are needed, showing commonalities and differences among the various applications. We will then report on the expected technical limits of the metrology solutions currently being investigated by SEMATECH and others in the industry to address the metrology challenges of future nodes, including conventional CD scanning electron microscopy (CD-SEM) and optical critical dimension (OCD) metrology and new potential solutions such as He-ion microscopy (HeIM, sometimes elsewhere referred to as HIM), CD atomic force microscopy (CD-AFM), CD small-angle x-ray scattering (CD-SAXS), high-voltage scanning electron microscopy (HV-SEM), and other types. A technical gap analysis matrix will then be demonstrated, showing the current state of understanding of the future of the CD metrology space.

  19. High pressure metrology for industrial applications

    NASA Astrophysics Data System (ADS)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  20. Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression.

    PubMed

    Henn, Mark-Alexander; Silver, Richard M; Villarrubia, John S; Zhang, Nien Fan; Zhou, Hui; Barnes, Bryan M; Ming, Bin; Vladár, András E

    2015-01-01

    Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ 2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges.

  1. Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression

    PubMed Central

    Henn, Mark-Alexander; Silver, Richard M.; Villarrubia, John S.; Zhang, Nien Fan; Zhou, Hui; Barnes, Bryan M.; Ming, Bin; Vladár, András E.

    2015-01-01

    Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges. PMID:26681991

  2. Evaluation of 3D metrology potential using a multiple detector CDSEM

    NASA Astrophysics Data System (ADS)

    Hakii, Hidemitsu; Yonekura, Isao; Nishiyama, Yasushi; Tanaka, Keishi; Komoto, Kenji; Murakawa, Tsutomu; Hiroyama, Mitsuo; Shida, Soichi; Kuribara, Masayuki; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki

    2012-06-01

    As feature sizes of semiconductor device structures have continuously decreased, needs for metrology tools with high precision and excellent linearity over actual pattern sizes have been growing. And it has become important to measure not only two-dimensional (2D) but also three-dimensional (3D) shapes of patterns at 22 nm node and beyond. To meet requirements for 3D metrology capabilities, various pattern metrology tools have been developed. Among those, we assume that CDSEM metrology is the most qualified candidate in the light of its non-destructive, high throughput measurement capabilities that are expected to be extended to the much-awaited 3D metrology technology. On the basis of this supposition, we have developed the 3D metrology system, in which side wall angles and heights of photomask patterns can be measured with high accuracy through analyzing CDSEM images generated by multi-channel detectors. In this paper, we will discuss our attempts to measure 3D shapes of defect patterns on a photomask by using Advantest's "Multi Vision Metrology SEM" E3630 (MVM-SEM' E3630).

  3. Two Approaches to Calibration in Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campanelli, Mark

    2014-04-01

    Inferring mathematical relationships with quantified uncertainty from measurement data is common to computational science and metrology. Sufficient knowledge of measurement process noise enables Bayesian inference. Otherwise, an alternative approach is required, here termed compartmentalized inference, because collection of uncertain data and model inference occur independently. Bayesian parameterized model inference is compared to a Bayesian-compatible compartmentalized approach for ISO-GUM compliant calibration problems in renewable energy metrology. In either approach, model evidence can help reduce model discrepancy.

  4. A new approach to pattern metrology

    NASA Astrophysics Data System (ADS)

    Ausschnitt, Christopher P.

    2004-05-01

    We describe an approach to pattern metrology that enables the simultaneous determination of critical dimensions, overlay and film thickness. A single optical system captures nonzero- and zero-order diffracted signals from illuminated grating targets, as well as unpatterned regions of the surrounding substrate. Differential targets provide in situ dimensional calibration. CD target signals are analyzed to determine average dimension, profile attributes, and effective dose and defocus. In turn, effective dose and defocus determines all CDs pre-correlated to the dose and focus settings of the exposure tool. Overlay target signals are analyzed to determine the relative reflectivity of the layer pair and the overlay error between them. Compared to commercially available pattern metrology (SEM, optical microscopy, AFM, scatterometry and schnitzlometry), our approach promises improved signal-to-noise, higher throughput and smaller targets. We have dubbed this optical chimera MOXIE (Metrology Of eXtremely Irrational Exuberance).

  5. Overlay metrology for double patterning processes

    NASA Astrophysics Data System (ADS)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  6. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    NASA Astrophysics Data System (ADS)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  7. Surface Wave Metrology for Copper/Low-k Interconnects

    NASA Astrophysics Data System (ADS)

    Gostein, M.; Maznev, A. A.; Mazurenko, A.; Tower, J.

    2005-09-01

    We review recent advances in the application of laser-induced surface acoustic wave metrology to issues in copper/low-k interconnect development and manufacturing. We illustrate how the metrology technique can be used to measure copper thickness uniformity on a range of features from solid pads to arrays of lines, focusing on specific processing issues in copper electrochemical deposition (ECD) and chemical-mechanical polishing (CMP). In addition, we review recent developments in surface wave metrology for the characterization of low-k dielectric elastic modulus, including the ability to measure within-wafer uniformity of elastic modulus and to characterize porous, anisotropic films.

  8. Flexible resources for quantum metrology

    NASA Astrophysics Data System (ADS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  9. Metrological Reliability of Medical Devices

    NASA Astrophysics Data System (ADS)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  10. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    NASA Astrophysics Data System (ADS)

    Fu, Wei-En

    2014-03-01

    hospitality. It is my privilege and pleasure to welcome you all to the 14th International Conference on Metrology and Properties of Engineering Surfaces here in Taipei. Tom Thomas Halmstad, 1st June 2013 Greetings from Chairman of Local Organizing CommitteeVictor Lin It is the great honor of Center for Measurement Standards (CMS), metrology group of Industrial Technology Research Institute (ITRI), to host the 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013) from 17-21 June, 2013, in Taipei, Taiwan. In collaboration with four local universities, National Taiwan University (NTU), National Cheng-Kung University (NCKU), National Taiwan University of Science and Technology (NTST) and National Tsing-Hua University (NTHU), we have spent more than one year to prepare this Conference since the approval by the International Programme Committee (IPC). With the guidance from the IPC, we are able to go through the laborious, but important, process of paper selection and review from more than 100 submissions, and also to maintain the tradition in gathering the high quality and state-of-the-art papers. Finally, more than 65 full papers are collected in the programme (oral and poster), and over 120 surface metrologists from 17 countries (or economies) will attend the Conference. As stated in the preface by Professor Thomas, this series of conferences were founded by Tom and late Professor Ken Stout in the United Kingdom more than thirty years ago. I was lucky to join Ken's research group in Birmingham, and to start my journey over surface metrology in 1989, under the financial support from ITRI. With the encouragement from Professor Liam Blunt and endeavors of my colleagues, we are able to hold the Conference first time in emerging Asia, and to ''carry on the heritage and pave the way to the future'' (a Chinese proverb) in surface metrology. Taiwan is also known as Formosa, from Portuguese Ilha Formosa, which means ''Beautiful Island

  11. Vacuum Technology Considerations For Mass Metrology

    PubMed Central

    Abbott, Patrick J.; Jabour, Zeina J.

    2011-01-01

    Vacuum weighing of mass artifacts eliminates the necessity of air buoyancy correction and its contribution to the measurement uncertainty. Vacuum weighing is also an important process in the experiments currently underway for the redefinition of the SI mass unit, the kilogram. Creating the optimum vacuum environment for mass metrology requires careful design and selection of construction materials, plumbing components, pumping, and pressure gauging technologies. We review the vacuum technology1 required for mass metrology and suggest procedures and hardware for successful and reproducible operation. PMID:26989593

  12. Reducing the overlay metrology sensitivity to perturbations of the measurement stack

    NASA Astrophysics Data System (ADS)

    Zhou, Yue; Park, DeNeil; Gutjahr, Karsten; Gottipati, Abhishek; Vuong, Tam; Bae, Sung Yong; Stokes, Nicholas; Jiang, Aiqin; Hsu, Po Ya; O'Mahony, Mark; Donini, Andrea; Visser, Bart; de Ruiter, Chris; Grzela, Grzegorz; van der Laan, Hans; Jak, Martin; Izikson, Pavel; Morgan, Stephen

    2017-03-01

    Overlay metrology setup today faces a continuously changing landscape of process steps. During Diffraction Based Overlay (DBO) metrology setup, many different metrology target designs are evaluated in order to cover the full process window. The standard method for overlay metrology setup consists of single-wafer optimization in which the performance of all available metrology targets is evaluated. Without the availability of external reference data or multiwafer measurements it is hard to predict the metrology accuracy and robustness against process variations which naturally occur from wafer-to-wafer and lot-to-lot. In this paper, the capabilities of the Holistic Metrology Qualification (HMQ) setup flow are outlined, in particular with respect to overlay metrology accuracy and process robustness. The significance of robustness and its impact on overlay measurements is discussed using multiple examples. Measurement differences caused by slight stack variations across the target area, called grating imbalance, are shown to cause significant errors in the overlay calculation in case the recipe and target have not been selected properly. To this point, an overlay sensitivity check on perturbations of the measurement stack is presented for improvement of the overlay metrology setup flow. An extensive analysis on Key Performance Indicators (KPIs) from HMQ recipe optimization is performed on µDBO measurements of product wafers. The key parameters describing the sensitivity to perturbations of the measurement stack are based on an intra-target analysis. Using advanced image analysis, which is only possible for image plane detection of μDBO instead of pupil plane detection of DBO, the process robustness performance of a recipe can be determined. Intra-target analysis can be applied for a wide range of applications, independent of layers and devices.

  13. Integration of mask and silicon metrology in DFM

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  14. Recent progress in high pressure metrology in Europe

    NASA Astrophysics Data System (ADS)

    Sabuga, Wladimir; Pražák, Dominik; Rabault, Thierry

    2014-08-01

    Five European national metrology institutes in collaboration with a university, a research institute and five industrial companies are working on a joint research project within a framework of the European Metrology Research Programme aimed at development of 1.6 GPa primary and 1.5 GPa transfer pressure standards. Two primary pressure standards were realised as pressure-measuring multipliers, each consisting of a low pressure and a high pressure (HP) piston-cylinder assembly (PCA). A special design of the HP PCAs was developed in which a tungsten carbide cylinder is supported by two thermally shrunk steel sleeves and, additionally, by jacket pressure applied to the outside of the outer sleeve. Stress-strain finite element analysis (FEA) was performed to predict behaviour of the multipliers and a pressure generation system. With FEA, the pressure distortion coefficient was determined, taking into account irregularities of the piston-cylinder gap. Transfer pressure standards up to 1.5 GPa are developed on the basis of modern 1.5 GPa pressure transducers. This project shall solve a discrepancy between the growing needs of the industry demanding precise traceable calibrations of the high pressure transducers and the absence of adequate primary standards for pressures higher than 1 GPa in the European Union today.

  15. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    NASA Astrophysics Data System (ADS)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  16. Effect of metrology time delay on overlay APC

    NASA Astrophysics Data System (ADS)

    Carlson, Alan; DiBiase, Debra

    2002-07-01

    The run-to-run control strategy of lithography APC is primarily composed of a feedback loop as shown in the diagram below. It is known that the insertion of a time delay in a feedback loop can cause degradation in control performance and could even cause a stable system to become unstable, if the time delay becomes sufficiently large. Many proponents of integrated metrology methods have cited the damage caused by metrology time delays as the primary justification for moving from a stand-alone to integrated metrology. While there is little dispute over the qualitative form of this argument, there has been very light published about the quantitative effects under real fab conditions - precisely how much control is lost due to these time delays. Another issue regarding time delays is that the length of these delays is not typically fixed - they vary from lot to lot and in some cases this variance can be large - from one hour on the short side to over 32 hours on the long side. Concern has been expressed that the variability in metrology time delays can cause undesirable dynamics in feedback loops that make it difficult to optimize feedback filters and gains and at worst could drive a system unstable. By using data from numerous fabs, spanning many sizes and styles of operation, we have conducted a quantitative study of the time delay effect on overlay run- to-run control. Our analysis resulted in the following conclusions: (1) There is a significant and material relationship between metrology time delay and overlay control under a variety of real world production conditions. (2) The run-to-run controller can be configured to minimize sensitivity to time delay variations. (3) The value of moving to integrated metrology can be quantified.

  17. Developments in optical modeling methods for metrology

    NASA Astrophysics Data System (ADS)

    Davidson, Mark P.

    1999-06-01

    Despite the fact that in recent years the scanning electron microscope has come to dominate the linewidth measurement application for wafer manufacturing, there are still many applications for optical metrology and alignment. These include mask metrology, stepper alignment, and overlay metrology. Most advanced non-optical lithographic technologies are also considering using topics for alignment. In addition, there have been a number of in-situ technologies proposed which use optical measurements to control one aspect or another of the semiconductor process. So optics is definitely not dying out in the semiconductor industry. In this paper a description of recent advances in optical metrology and alignment modeling is presented. The theory of high numerical aperture image simulation for partially coherent illumination is discussed. The implications of telecentric optics on the image simulation is also presented. Reciprocity tests are proposed as an important measure of numerical accuracy. Diffraction efficiencies for chrome gratings on reticles are one good way to test Kirchoff's approximation as compared to rigorous calculations. We find significant differences between the predictions of Kirchoff's approximation and rigorous methods. The methods for simulating brightfield, confocal, and coherence probe microscope imags are outlined, as are methods for describing aberrations such as coma, spherical aberration, and illumination aperture decentering.

  18. Geometric errors in 3D optical metrology systems

    NASA Astrophysics Data System (ADS)

    Harding, Kevin; Nafis, Chris

    2008-08-01

    The field of 3D optical metrology has seen significant growth in the commercial market in recent years. The methods of using structured light to obtain 3D range data is well documented in the literature, and continues to be an area of development in universities. However, the step between getting 3D data, and getting geometrically correct 3D data that can be used for metrology is not nearly as well developed. Mechanical metrology systems such as CMMs have long established standard means of verifying the geometric accuracies of their systems. Both local and volumentric measurments are characterized on such system using tooling balls, grid plates, and ball bars. This paper will explore the tools needed to characterize and calibrate an optical metrology system, and discuss the nature of the geometric errors often found in such systems, and suggest what may be a viable standard method of doing characterization of 3D optical systems. Finally, we will present a tradeoff analysis of ways to correct geometric errors in an optical systems considering what can be gained by hardware methods versus software corrections.

  19. Surface Displacement Measurements, Strain and Vibrational Analysis using Speckle Metrology Techniques.

    DTIC Science & Technology

    1980-03-01

    Ennos, A. E., " Measurement by Laser Photography," National Physical Laboratory, Division of Optical Metrology, Teddington, Middlesex, U.K. 9. Archbold...Field Measurement ," Optics and Laser TechnoloZ, pp. 216 - 219, October 1776. 149 37. Khetan, R. P., and Chiang, F. P., "Strain Analysis by One Beam...AD-AO85 145 NAVAL POSTGRADUATE SCHOOL MONTEREY CA F/G 17/8 SURFACE DISPLACEMENT MEASUREMENTS , STRAIN AND VIBRATIONAL ANALY-ETC(U) MAR GO A B

  20. Range-Gated Metrology: An Ultra-Compact Sensor for Dimensional Stabilization

    NASA Technical Reports Server (NTRS)

    Lay, Oliver P.; Dubovitsky, Serge; Shaddock, Daniel A.; Ware, Brent; Woodruff, Christopher S.

    2008-01-01

    Point-to-point laser metrology systems can be used to stabilize large structures at the nanometer levels required for precision optical systems. Existing sensors are large and intrusive, however, with optical heads that consist of several optical elements and require multiple optical fiber connections. The use of point-to-point laser metrology has therefore been limited to applications where only a few gauges are needed and there is sufficient space to accommodate them. Range-Gated Metrology is a signal processing technique that preserves nanometer-level or better performance while enabling: (1) a greatly simplified optical head - a single fiber optic collimator - that can be made very compact, and (2) a single optical fiber connection that is readily multiplexed. This combination of features means that it will be straightforward and cost-effective to embed tens or hundreds of compact metrology gauges to stabilize a large structure. In this paper we describe the concept behind Range-Gated Metrology, demonstrate the performance in a laboratory environment, and give examples of how such a sensor system might be deployed.

  1. Advanced metrology by offline SEM data processing

    NASA Astrophysics Data System (ADS)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  2. Accreditation experience of radioisotope metrology laboratory of Argentina.

    PubMed

    Iglicki, A; Milá, M I; Furnari, J C; Arenillas, P; Cerutti, G; Carballido, M; Guillén, V; Araya, X; Bianchini, R

    2006-01-01

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the (alpha/beta)-gamma coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved.

  3. Metrological digital audio reconstruction

    DOEpatents

    Fadeyev,; Vitaliy, Haber [Berkeley, CA; Carl, [Berkeley, CA

    2004-02-19

    Audio information stored in the undulations of grooves in a medium such as a phonograph record may be reconstructed, with little or no contact, by measuring the groove shape using precision metrology methods coupled with digital image processing and numerical analysis. The effects of damage, wear, and contamination may be compensated, in many cases, through image processing and analysis methods. The speed and data handling capacity of available computing hardware make this approach practical. Two examples used a general purpose optical metrology system to study a 50 year old 78 r.p.m. phonograph record and a commercial confocal scanning probe to study a 1920's celluloid Edison cylinder. Comparisons are presented with stylus playback of the samples and with a digitally re-mastered version of an original magnetic recording. There is also a more extensive implementation of this approach, with dedicated hardware and software.

  4. Hybrid enabled thin film metrology using XPS and optical

    NASA Astrophysics Data System (ADS)

    Vaid, Alok; Iddawela, Givantha; Mahendrakar, Sridhar; Lenahan, Michael; Hossain, Mainul; Timoney, Padraig; Bello, Abner F.; Bozdog, Cornel; Pois, Heath; Lee, Wei Ti; Klare, Mark; Kwan, Michael; Kang, Byung Cheol; Isbester, Paul; Sendelbach, Matthew; Yellai, Naren; Dasari, Prasad; Larson, Tom

    2016-03-01

    Complexity of process steps integration and material systems for next-generation technology nodes is reaching unprecedented levels, the appetite for higher sampling rates is on the rise, while the process window continues to shrink. Current thickness metrology specifications reach as low as 0.1A for total error budget - breathing new life into an old paradigm with lower visibility for past few metrology nodes: accuracy. Furthermore, for advance nodes there is growing demand to measure film thickness and composition on devices/product instead of surrogate planar simpler pads. Here we extend our earlier work in Hybrid Metrology to the combination of X-Ray based reference technologies (high performance) with optical high volume manufacturing (HVM) workhorse metrology (high throughput). Our stated goal is: put more "eyes" on the wafer (higher sampling) and enable move to films on pattern structure (control what matters). Examples of 1X front-end applications are used to setup and validate the benefits.

  5. Digital terrain modeling and industrial surface metrology: Converging realms

    USGS Publications Warehouse

    Pike, R.J.

    2001-01-01

    Digital terrain modeling has a micro-and nanoscale counterpart in surface metrology, the numerical characterization of industrial surfaces. Instrumentation in semiconductor manufacturing and other high-technology fields can now contour surface irregularities down to the atomic scale. Surface metrology has been revolutionized by its ability to manipulate square-grid height matrices that are analogous to the digital elevation models (DEMs) used in physical geography. Because the shaping of industrial surfaces is a spatial process, the same concepts of analytical cartography that represent ground-surface form in geography evolved independently in metrology: The surface topography of manufactured components, exemplified here by automobile-engine cylinders, is routinely modeled by variogram analysis, relief shading, and most other techniques of parameterization and visualization familiar to geography. This article introduces industrial surface-metrology, examines the field in the context of terrain modeling and geomorphology and notes their similarities and differences, and raises theoretical issues to be addressed in progressing toward a unified practice of surface morphometry.

  6. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    NASA Astrophysics Data System (ADS)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  7. A focal plane metrology system and PSF centroiding experiment

    NASA Astrophysics Data System (ADS)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  8. IT Security Standards and Legal Metrology - Transfer and Validation

    NASA Astrophysics Data System (ADS)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  9. Spectroscopic metrology for isotope composition measurements and transfer standards

    NASA Astrophysics Data System (ADS)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  10. Advanced Mathematical Tools in Metrology III

    NASA Astrophysics Data System (ADS)

    Ciarlini, P.

    The Table of Contents for the book is as follows: * Foreword * Invited Papers * The ISO Guide to the Expression of Uncertainty in Measurement: A Bridge between Statistics and Metrology * Bootstrap Algorithms and Applications * The TTRSs: 13 Oriented Constraints for Dimensioning, Tolerancing & Inspection * Graded Reference Data Sets and Performance Profiles for Testing Software Used in Metrology * Uncertainty in Chemical Measurement * Mathematical Methods for Data Analysis in Medical Applications * High-Dimensional Empirical Linear Prediction * Wavelet Methods in Signal Processing * Software Problems in Calibration Services: A Case Study * Robust Alternatives to Least Squares * Gaining Information from Biomagnetic Measurements * Full Papers * Increase of Information in the Course of Measurement * A Framework for Model Validation and Software Testing in Regression * Certification of Algorithms for Determination of Signal Extreme Values during Measurement * A Method for Evaluating Trends in Ozone-Concentration Data and Its Application to Data from the UK Rural Ozone Monitoring Network * Identification of Signal Components by Stochastic Modelling in Measurements of Evoked Magnetic Fields from Peripheral Nerves * High Precision 3D-Calibration of Cylindrical Standards * Magnetic Dipole Estimations for MCG-Data * Transfer Functions of Discrete Spline Filters * An Approximation Method for the Linearization of Tridimensional Metrology Problems * Regularization Algorithms for Image Reconstruction from Projections * Quality of Experimental Data in Hydrodynamic Research * Stochastic Drift Models for the Determination of Calibration Intervals * Short Communications * Projection Method for Lidar Measurement * Photon Flux Measurements by Regularised Solution of Integral Equations * Correct Solutions of Fit Problems in Different Experimental Situations * An Algorithm for the Nonlinear TLS Problem in Polynomial Fitting * Designing Axially Symmetric Electromechanical Systems of

  11. The Development of a Deflectometer for Accurate Surface Figure Metrology

    NASA Technical Reports Server (NTRS)

    Gubarev, Mikhail; Eberhardt, Andrew; Ramsey, Brian; Atkins, Carolyn

    2015-01-01

    Marshall Space Flight Center is developing the method of direct fabrication for high resolution full-shell x-ray optics. In this technique the x-ray optics axial profiles are figured and polished using a computer-controlled ZeekoIRP600X polishing machine. Based on the Chandra optics fabrication history about one third of the manufacturing time is spent on moving a mirror between fabrication and metrology sites, reinstallation and alignment with either the metrology or fabrication instruments. Also, the accuracy of the alignment significantly affects the ultimate accuracy of the resulting mirrors. In order to achieve higher convergence rate it is highly desirable to have a metrology technique capable of in situ surface figure measurements of the optics under fabrication, so the overall fabrication costs would be greatly reduced while removing the surface errors due to the re-alignment necessary after each metrology cycle during the fabrication. The goal of this feasibility study is to demonstrate if the Phase Measuring Deflectometry can be applied for in situ metrology of full shell x-ray optics. Examples of the full-shell mirror substrates suitable for the direct fabrication

  12. Search for general relativistic effects in table-top displacement metrology

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Macdonald, Daniel R.; Diaz, Rosemary T.

    2004-01-01

    As displacement metrology accuracy improves, general relativistic effects will become noticeable. Metrology gauges developed for the Space Interferometry Mission were used to search for locally anisotropic space-time, with a null result at the 10 to the negative tenth power level.

  13. Laser metrology and optic active control system for GAIA

    NASA Astrophysics Data System (ADS)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  14. Search for general relativistic effects in table-top displacement metrology

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Diaz, Rosemary T.; Macdonald, Daniel R.

    2004-01-01

    As displacement metrology accuracy improves, general relativistic effects will become noticeable. Metrology gauges developed for the Space Interferometry Mission, were used to search for locally anisotropic space-time, with a null result at the 10 to the negative 10th power level.

  15. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of newmore » light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area. But the situation isn

  16. Coherent double-color interference microscope for traceable optical surface metrology

    NASA Astrophysics Data System (ADS)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  17. Forensic Metrology: Its Importance and Evolution in the United States

    NASA Astrophysics Data System (ADS)

    Vosk, JD Ted

    2016-11-01

    Forensic measurements play a significant role in the U.S. criminal justice system. Guilt or innocence, or the severity of a sentence, may depend upon the results of such measurements. Until recently, however, forensic disciplines were largely unaware of the field of metrology. Accordingly, proper measurement practices were often, and widely, neglected. These include failure to adopt proper calibration techniques, establish the traceability of results and determine measurement uncertainty. These failures undermine confidence in verdicts based upon forensic measurements. Over the past decade, though, the forensic sciences have been introduced to metrology and its principles leading to more reliable measurement practices. The impetus for this change was driven by many forces. Pressure came initially from criminal defense lawyers challenging metrologically unsound practices and results relied upon by government prosecutions. Litigation in the State of Washington led this movement spurring action by attorneys in other jurisdictions and eventually reform in the measurement practices of forensic labs around the country. Since then, the greater scientific community, other forensic scientists and even prosecutors have joined the fight. This paper describes the fight to improve the quality of justice by the application of metrological principles and the evolution of the field of forensic metrology.

  18. Freeform metrology using subaperture stitching interferometry

    NASA Astrophysics Data System (ADS)

    Supranowitz, Chris; Lormeau, Jean-Pierre; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2016-11-01

    As applications for freeform optics continue to grow, the need for high-precision metrology is becoming more of a necessity. Currently, coordinate measuring machines (CMM) that implement touch probes or optical probes can measure the widest ranges of shapes of freeform optics, but these measurement solutions often lack sufficient lateral resolution and accuracy. Subaperture stitching interferometry (SSI™) extends traditional Fizeau interferometry to provide accurate, high-resolution measurements of flats, spheres, and aspheres, and development is currently on-going to enable measurements of freeform surfaces. We will present recent freeform metrology results, including repeatability and cross-test data. We will also present MRF® polishing results where the stitched data was used as the input "hitmap" to the deterministic polishing process.

  19. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  20. Quantum metrology with a transmon qutrit

    NASA Astrophysics Data System (ADS)

    Shlyakhov, A. R.; Zemlyanov, V. V.; Suslov, M. V.; Lebedev, A. V.; Paraoanu, G. S.; Lesovik, G. B.; Blatter, G.

    2018-02-01

    Making use of coherence and entanglement as metrological quantum resources allows us to improve the measurement precision from the shot-noise or quantum limit to the Heisenberg limit. Quantum metrology then relies on the availability of quantum engineered systems that involve controllable quantum degrees of freedom which are sensitive to the measured quantity. Sensors operating in the qubit mode and exploiting their coherence in a phase-sensitive measurement have been shown to approach the Heisenberg scaling in precision. Here, we show that this result can be further improved by operating the quantum sensor in the qudit mode, i.e., by exploiting d rather than two levels. Specifically, we describe the metrological algorithm for using a superconducting transmon device operating in a qutrit mode as a magnetometer. The algorithm is based on the base-3 semiquantum Fourier transformation and enhances the quantum theoretical performance of the sensor by a factor of 2. Even more, the practical gain of our qutrit implementation is found in a reduction of the number of iteration steps of the quantum Fourier transformation by the factor ln(2 )/ln(3 )≈0.63 compared to the qubit mode. We show that a two-tone capacitively coupled radio-frequency signal is sufficient for implementation of the algorithm.

  1. In-Process Metrology And Control Of Large Optical Grinders

    NASA Astrophysics Data System (ADS)

    Anderson, D. S.; Ketelsen, D.; Kittrell, W. Cary; Kuhn, Wm; Parks, R. E.; Stahl, P.

    1987-01-01

    The advent of rapid figure generation at the University of Arizona has prompted the development of rapid metrology techniques. The success and efficiency of the generating process is highly dependent on timely and accurate measurements to update the feedback loop between machine and optician. We will describe the advantages and problems associated with the in-process metrology and control systems used at the Optical Sciences Center.

  2. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    NASA Astrophysics Data System (ADS)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  3. Estimating Green Net National Product for Puerto Rico: An Economic Measure of Sustainability (Journal article)

    EPA Science Inventory

    This paper presents the data sources and methodology used to estimate Green Net National Product (GNNP), an economic metric of sustainability, for Puerto Rico. Using the change in GNNP as a one-sided test of weak sustainability (i.e., positive growth in GNNP is not enough to show...

  4. Metrology: Calibration and measurement processes guidelines

    NASA Technical Reports Server (NTRS)

    Castrup, Howard T.; Eicke, Woodward G.; Hayes, Jerry L.; Mark, Alexander; Martin, Robert E.; Taylor, James L.

    1994-01-01

    The guide is intended as a resource to aid engineers and systems contracts in the design, implementation, and operation of metrology, calibration, and measurement systems, and to assist NASA personnel in the uniform evaluation of such systems supplied or operated by contractors. Methodologies and techniques acceptable in fulfilling metrology quality requirements for NASA programs are outlined. The measurement process is covered from a high level through more detailed discussions of key elements within the process, Emphasis is given to the flowdown of project requirements to measurement system requirements, then through the activities that will provide measurements with defined quality. In addition, innovations and techniques for error analysis, development of statistical measurement process control, optimization of calibration recall systems, and evaluation of measurement uncertainty are presented.

  5. Efficiency improvements of offline metrology job creation

    NASA Astrophysics Data System (ADS)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  6. Latency features of SafetyNet ground systems architecture for the National Polar-orbiting Operational Environmental Satellite System (NPOESS)

    NASA Astrophysics Data System (ADS)

    Duda, James L.; Mulligan, Joseph; Valenti, James; Wenkel, Michael

    2005-01-01

    A key feature of the National Polar-orbiting Operational Environmental Satellite System (NPOESS) is the Northrop Grumman Space Technology patent-pending innovative data routing and retrieval architecture called SafetyNetTM. The SafetyNetTM ground system architecture for the National Polar-orbiting Operational Environmental Satellite System (NPOESS), combined with the Interface Data Processing Segment (IDPS), will together provide low data latency and high data availability to its customers. The NPOESS will cut the time between observation and delivery by a factor of four when compared with today's space-based weather systems, the Defense Meteorological Satellite Program (DMSP) and NOAA's Polar-orbiting Operational Environmental Satellites (POES). SafetyNetTM will be a key element of the NPOESS architecture, delivering near real-time data over commercial telecommunications networks. Scattered around the globe, the 15 unmanned ground receptors are linked by fiber-optic systems to four central data processing centers in the U. S. known as Weather Centrals. The National Environmental Satellite, Data and Information Service; Air Force Weather Agency; Fleet Numerical Meteorology and Oceanography Center, and the Naval Oceanographic Office operate the Centrals. In addition, this ground system architecture will have unused capacity attendant with an infrastructure that can accommodate additional users.

  7. Subaperture metrology technologies extend capabilities in optics manufacturing

    NASA Astrophysics Data System (ADS)

    Tricard, Marc; Forbes, Greg; Murphy, Paul

    2005-10-01

    Subaperture polishing technologies have radically changed the landscape of precision optics manufacturing and enabled the production of higher precision optics with increasingly difficult figure requirements. However, metrology is a critical piece of the optics fabrication process, and the dependence on interferometry is especially acute for computer-controlled, deterministic finishing. Without accurate full-aperture metrology, figure correction using subaperture polishing technologies would not be possible. QED Technologies has developed the Subaperture Stitching Interferometer (SSI) that extends the effective aperture and dynamic range of a phase measuring interferometer. The SSI's novel developments in software and hardware improve the capacity and accuracy of traditional interferometers, overcoming many of the limitations previously faced. The SSI performs high-accuracy automated measurements of spheres, flats, and mild aspheres up to 200 mm in diameter by stitching subaperture data. The system combines a six-axis precision workstation, a commercial Fizeau interferometer of 4" or 6" aperture, and dedicated software. QED's software automates the measurement design, data acquisition, and mathematical reconstruction of the full-aperture phase map. The stitching algorithm incorporates a general framework for compensating several types of errors introduced by the interferometer and stage mechanics. These include positioning errors, viewing system distortion, the system reference wave error, etc. The SSI has been proven to deliver the accurate and flexible metrology that is vital to precision optics fabrication. This paper will briefly review the capabilities of the SSI as a production-ready, metrology system that enables costeffective manufacturing of precision optical surfaces.

  8. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    PubMed

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  9. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it; Technology Department, European Organization for Nuclear Research; Girone, M., E-mail: mario.girone@cern.ch

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sourcesmore » most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.« less

  10. Coherent X-ray beam metrology using 2D high-resolution Fresnel-diffraction analysis.

    PubMed

    Ruiz-Lopez, M; Faenov, A; Pikuz, T; Ozaki, N; Mitrofanov, A; Albertazzi, B; Hartley, N; Matsuoka, T; Ochante, Y; Tange, Y; Yabuuchi, T; Habara, T; Tanaka, K A; Inubushi, Y; Yabashi, M; Nishikino, M; Kawachi, T; Pikuz, S; Ishikawa, T; Kodama, R; Bleiner, D

    2017-01-01

    Direct metrology of coherent short-wavelength beamlines is important for obtaining operational beam characteristics at the experimental site. However, since beam-time limitation imposes fast metrology procedures, a multi-parametric metrology from as low as a single shot is desirable. Here a two-dimensional (2D) procedure based on high-resolution Fresnel diffraction analysis is discussed and applied, which allowed an efficient and detailed beamline characterization at the SACLA XFEL. So far, the potential of Fresnel diffraction for beamline metrology has not been fully exploited because its high-frequency fringes could be only partly resolved with ordinary pixel-limited detectors. Using the high-spatial-frequency imaging capability of an irradiated LiF crystal, 2D information of the coherence degree, beam divergence and beam quality factor M 2 were retrieved from simple diffraction patterns. The developed beam metrology was validated with a laboratory reference laser, and then successfully applied at a beamline facility, in agreement with the source specifications.

  11. The Remarkable Metrological History of Radiocarbon Dating [II].

    PubMed

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  12. The Remarkable Metrological History of Radiocarbon Dating [II

    PubMed Central

    Currie, Lloyd A.

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural 14C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications. PMID:27366605

  13. Contour metrology using critical dimension atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Orji, Ndubuisi G.; Dixson, Ronald G.; Vladár, András E.; Ming, Bin; Postek, Michael T.

    2012-03-01

    The critical dimension atomic force microscope (CD-AFM), which is used as a reference instrument in lithography metrology, has been proposed as a complementary instrument for contour measurement and verification. Although data from CD-AFM is inherently three dimensional, the planar two-dimensional data required for contour metrology is not easily extracted from the top-down CD-AFM data. This is largely due to the limitations of the CD-AFM method for controlling the tip position and scanning. We describe scanning techniques and profile extraction methods to obtain contours from CD-AFM data. We also describe how we validated our technique, and explain some of its limitations. Potential sources of error for this approach are described, and a rigorous uncertainty model is presented. Our objective is to show which data acquisition and analysis methods could yield optimum contour information while preserving some of the strengths of CD-AFM metrology. We present comparison of contours extracted using our technique to those obtained from the scanning electron microscope (SEM), and the helium ion microscope (HIM).

  14. Metrological activity determination of 133Ba by sum-peak absolute method

    NASA Astrophysics Data System (ADS)

    da Silva, R. L.; de Almeida, M. C. M.; Delgado, J. U.; Poledna, R.; Santos, A.; de Veras, E. V.; Rangel, J.; Trindade, O. L.

    2016-07-01

    The National Laboratory for Metrology of Ionizing Radiation provides gamma sources of radionuclide and standardized in activity with reduced uncertainties. Relative methods require standards to determine the sample activity while the absolute methods, as sum-peak, not. The activity is obtained directly with good accuracy and low uncertainties. 133Ba is used in research laboratories and on calibration of detectors for analysis in different work areas. Classical absolute methods don't calibrate 133Ba due to its complex decay scheme. The sum-peak method using gamma spectrometry with germanium detector standardizes 133Ba samples. Uncertainties lower than 1% to activity results were obtained.

  15. Metrology of airborne and liquid-borne nanoparticles: current status and future needs

    NASA Astrophysics Data System (ADS)

    Ehara, Kensei; Sakurai, Hiromu

    2010-04-01

    The current status and future needs of nanoparticle metrology are discussed, particularly with respect to measurements of size, size distribution and number concentration of airborne and liquid-borne nanoparticles. Possible classification of types of measurement standards is proposed, and the role of each type of standard, including the feasibility of its establishment, is examined. A desirable interplay between measurement standards and documentary standards in establishing the traceability chain in particle measurements is suggested. Particle-related calibration services currently provided by our laboratory at the National Institute of Advanced Industrial Science and Technology are also described.

  16. Reduction of wafer-edge overlay errors using advanced correction models, optimized for minimal metrology requirements

    NASA Astrophysics Data System (ADS)

    Kim, Min-Suk; Won, Hwa-Yeon; Jeong, Jong-Mun; Böcker, Paul; Vergaij-Huizer, Lydia; Kupers, Michiel; Jovanović, Milenko; Sochal, Inez; Ryan, Kevin; Sun, Kyu-Tae; Lim, Young-Wan; Byun, Jin-Moo; Kim, Gwang-Gon; Suh, Jung-Joon

    2016-03-01

    In order to optimize yield in DRAM semiconductor manufacturing for 2x nodes and beyond, the (processing induced) overlay fingerprint towards the edge of the wafer needs to be reduced. Traditionally, this is achieved by acquiring denser overlay metrology at the edge of the wafer, to feed field-by-field corrections. Although field-by-field corrections can be effective in reducing localized overlay errors, the requirement for dense metrology to determine the corrections can become a limiting factor due to a significant increase of metrology time and cost. In this study, a more cost-effective solution has been found in extending the regular correction model with an edge-specific component. This new overlay correction model can be driven by an optimized, sparser sampling especially at the wafer edge area, and also allows for a reduction of noise propagation. Lithography correction potential has been maximized, with significantly less metrology needs. Evaluations have been performed, demonstrating the benefit of edge models in terms of on-product overlay performance, as well as cell based overlay performance based on metrology-to-cell matching improvements. Performance can be increased compared to POR modeling and sampling, which can contribute to (overlay based) yield improvement. Based on advanced modeling including edge components, metrology requirements have been optimized, enabling integrated metrology which drives down overall metrology fab footprint and lithography cycle time.

  17. Metrological Characterization of the Vickers Hardness Primary Standard Machine Established at CSIR-NPL

    NASA Astrophysics Data System (ADS)

    Titus, S. Seelakumar; Vikram; Girish; Jain, Sushil Kumar

    2018-06-01

    CSIR-National Physical Laboratory (CSIR-NPL) is the National Metrological Institute (NMI) of India, which has the mandate for the realization of SI units of measurements and dissemination of the same to the user organizations. CSIR-NPL has established a hardness standardizing machine for realizing the Vickers hardness scale as per ISO 6507-3 standard for providing national traceability in hardness measurement. Direct verification of the machine has been carried out by measuring the uncertainty in the generated force, the indenter geometry and the indentation measuring system. From these measurements, it is found that the machine exhibits a calibration and measurement capability (CMC) of ±1.5% for HV1-HV3 scales and ±1.0% for HV5-HV50 scales and ±0.8% for HV100 scale.

  18. Kite: status of the external metrology testbed for SIM

    NASA Astrophysics Data System (ADS)

    Dekens, Frank G.; Alvarez-Salazar, Oscar S.; Azizi, Alireza; Moser, Steven J.; Nemati, Bijan; Negron, John; Neville, Timothy; Ryan, Daniel

    2004-10-01

    Kite is a system level testbed for the External Metrology System of the Space Interferometry Mission (SIM). The External Metrology System is used to track the fiducials that are located at the centers of the interferometer's siderostats. The relative changes in their positions needs to be tracked to an accuracy of tens of picometers in order to correct for thermal deformations and attitude changes of the spacecraft. Because of the need for such high precision measurements, the Kite testbed was build to test both the metrology gauges and our ability to optically model the system at these levels. The Kite testbed is a redundant metrology truss, in which 6 lengths are measured, but only 5 are needed to define the system. The RMS error between the redundant measurements needs to be less than 140pm for the SIM Wide-Angle observing scenario and less than 8 pm for the Narrow-Angle observing scenario. With our current testbed layout, we have achieved an RMS of 85 pm in the Wide-Angle case, meeting the goal. For the Narrow-Angle case, we have reached 5.8 pm, but only for on-axis observations. We describe the testbed improvements that have been made since our initial results, and outline the future Kite changes that will add further effects that SIM faces in order to make the testbed more representative of SIM.

  19. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    NASA Astrophysics Data System (ADS)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  20. Enabling CD SEM metrology for 5nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  1. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    NASA Astrophysics Data System (ADS)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  2. Consultative Committee on Ionizing Radiation: Impact on Radionuclide Metrology

    PubMed Central

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM’s consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. PMID:26688351

  3. Massive metrology using fast e-beam technology improves OPC model accuracy by >2x at faster turnaround time

    NASA Astrophysics Data System (ADS)

    Zhao, Qian; Wang, Lei; Wang, Jazer; Wang, ChangAn; Shi, Hong-Fei; Guerrero, James; Feng, Mu; Zhang, Qiang; Liang, Jiao; Guo, Yunbo; Zhang, Chen; Wallow, Tom; Rio, David; Wang, Lester; Wang, Alvin; Wang, Jen-Shiang; Gronlund, Keith; Lang, Jun; Koh, Kar Kit; Zhang, Dong Qing; Zhang, Hongxin; Krishnamurthy, Subramanian; Fei, Ray; Lin, Chiawen; Fang, Wei; Wang, Fei

    2018-03-01

    Classical SEM metrology, CD-SEM, uses low data rate and extensive frame-averaging technique to achieve high-quality SEM imaging for high-precision metrology. The drawbacks include prolonged data collection time and larger photoresist shrinkage due to excess electron dosage. This paper will introduce a novel e-beam metrology system based on a high data rate, large probe current, and ultra-low noise electron optics design. At the same level of metrology precision, this high speed e-beam metrology system could significantly shorten data collection time and reduce electron dosage. In this work, the data collection speed is higher than 7,000 images per hr. Moreover, a novel large field of view (LFOV) capability at high resolution was enabled by an advanced electron deflection system design. The area coverage by LFOV is >100x larger than classical SEM. Superior metrology precision throughout the whole image has been achieved, and high quality metrology data could be extracted from full field. This new capability on metrology will further improve metrology data collection speed to support the need for large volume of metrology data from OPC model calibration of next generation technology. The shrinking EPE (Edge Placement Error) budget places more stringent requirement on OPC model accuracy, which is increasingly limited by metrology errors. In the current practice of metrology data collection and data processing to model calibration flow, CD-SEM throughput becomes a bottleneck that limits the amount of metrology measurements available for OPC model calibration, impacting pattern coverage and model accuracy especially for 2D pattern prediction. To address the trade-off in metrology sampling and model accuracy constrained by the cycle time requirement, this paper employs the high speed e-beam metrology system and a new computational software solution to take full advantage of the large volume data and significantly reduce both systematic and random metrology errors. The

  4. Effectiveness and equity of the Tanzania National Voucher Scheme for mosquito nets over 10 years of implementation.

    PubMed

    Kramer, Karen; Mandike, Renata; Nathan, Rose; Mohamed, Ally; Lynch, Matthew; Brown, Nick; Mnzava, Ally; Rimisho, Wilhelmina; Lengeler, Christian

    2017-06-15

    The Tanzania National Voucher Scheme (TNVS) was a public private partnership managed by the Ministry of Health that provided pregnant women and infants with highly subsidized (long-lasting) insecticide-treated nets between 2004 and 2014. It was implemented in the context of the National Insecticide Treated Nets (NATNETS) Programme and was the main keep up strategy for vulnerable populations. The programme design was adjusted considerably over time to incorporate new evidence, shifting public health policies, and changing donor priorities. Three TNVS models can be distinguished: (1) the fixed discount; (2) the fixed top-up; (3) the hybrid voucher model. The changes improved equity and effectiveness, but also had a profound effect on how the programme was managed and implemented. The TNVS reached the majority of beneficiaries with vouchers, and significantly increased household ownership and use of LLINs. While two mass distribution campaigns implemented between 2009 and 2011 achieved universal coverage and equity, the TNVS ensured continuous protection of the vulnerable populations before, during and after the campaigns. The TNVS stimulated and maintained a large national retail network which managed the LLIN supply chain. The effectiveness of the TNVS was a function of several interdependent factors, including the supply chain of vouchers through the public health system; the supply chain of nets in the commercial sector; the demand for nets from voucher recipients; management and risk mitigation measures; and the influence of global and donor objectives. The TNVS was a highly innovative and globally influential programme, which stimulated the thinking around effectively and equitably distributing ITNs, and contributed directly to the evolution of global policy. It was a fundamental component of the NATNETS programme which protected a malaria-vulnerable population for over a decade.

  5. Absolute optical metrology : nanometers to kilometers

    NASA Technical Reports Server (NTRS)

    Dubovitsky, Serge; Lay, O. P.; Peters, R. D.; Liebe, C. C.

    2005-01-01

    We provide and overview of the developments in the field of high-accuracy absolute optical metrology with emphasis on space-based applications. Specific work on the Modulation Sideband Technology for Absolute Ranging (MSTAR) sensor is described along with novel applications of the sensor.

  6. In-field Raman amplification on coherent optical fiber links for frequency metrology.

    PubMed

    Clivati, C; Bolognini, G; Calonico, D; Faralli, S; Mura, A; Levi, F

    2015-04-20

    Distributed Raman amplification (DRA) is widely exploited for the transmission of broadband, modulated signals used in data links, but not yet in coherent optical links for frequency metrology, where the requirements are rather different. After preliminary tests on fiber spools, in this paper we deeper investigate Raman amplification on deployed in-field optical metrological links. We actually test a Doppler-stabilized optical link both on a 94 km-long metro-network implementation with multiplexed ITU data channels and on a 180 km-long dedicated fiber haul connecting two cities, where DRA is employed in combination with Erbium-doped fiber amplification (EDFA). The performance of DRA is detailed in both experiments, indicating that it does not introduce noticeable penalties for the metrological signal or for the ITU data channels. We hence show that Raman amplification of metrological signals can be compatible with a wavelength division multiplexing architecture and that it can be used as an alternative or in combination with dedicated bidirectional EDFAs. No deterioration is noticed in the coherence properties of the delivered signal, which attains frequency instability at the 10(-19) level in both cases. This study can be of interest also in view of the undergoing deployment of continental fiber networks for frequency metrology.

  7. Metrology Optical Power Budgeting in SIM Using Statistical Analysis Techniques

    NASA Technical Reports Server (NTRS)

    Kuan, Gary M

    2008-01-01

    The Space Interferometry Mission (SIM) is a space-based stellar interferometry instrument, consisting of up to three interferometers, which will be capable of micro-arc second resolution. Alignment knowledge of the three interferometer baselines requires a three-dimensional, 14-leg truss with each leg being monitored by an external metrology gauge. In addition, each of the three interferometers requires an internal metrology gauge to monitor the optical path length differences between the two sides. Both external and internal metrology gauges are interferometry based, operating at a wavelength of 1319 nanometers. Each gauge has fiber inputs delivering measurement and local oscillator (LO) power, split into probe-LO and reference-LO beam pairs. These beams experience power loss due to a variety of mechanisms including, but not restricted to, design efficiency, material attenuation, element misalignment, diffraction, and coupling efficiency. Since the attenuation due to these sources may degrade over time, an accounting of the range of expected attenuation is needed so an optical power margin can be book kept. A method of statistical optical power analysis and budgeting, based on a technique developed for deep space RF telecommunications, is described in this paper and provides a numerical confidence level for having sufficient optical power relative to mission metrology performance requirements.

  8. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    NASA Astrophysics Data System (ADS)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  9. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    NASA Astrophysics Data System (ADS)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  10. XPS-XRF hybrid metrology enabling FDSOI process

    NASA Astrophysics Data System (ADS)

    Hossain, Mainul; Subramanian, Ganesh; Triyoso, Dina; Wahl, Jeremy; Mcardle, Timothy; Vaid, Alok; Bello, A. F.; Lee, Wei Ti; Klare, Mark; Kwan, Michael; Pois, Heath; Wang, Ying; Larson, Tom

    2016-03-01

    Planar fully-depleted silicon-on-insulator (FDSOI) technology potentially offers comparable transistor performance as FinFETs. pFET FDOSI devices are based on a silicon germanium (cSiGe) layer on top of a buried oxide (BOX). Ndoped interfacial layer (IL), high-k (HfO2) layer and the metal gate stacks are then successively built on top of the SiGe layer. In-line metrology is critical in precisely monitoring the thickness and composition of the gate stack and associated underlying layers in order to achieve desired process control. However, any single in-line metrology technique is insufficient to obtain the thickness of IL, high-k, cSiGe layers in addition to Ge% and N-dose in one single measurement. A hybrid approach is therefore needed that combines the capabilities of more than one measurement technique to extract multiple parameters in a given film stack. This paper will discuss the approaches, challenges, and results associated with the first-in-industry implementation of XPS-XRF hybrid metrology for simultaneous detection of high-k thickness, IL thickness, N-dose, cSiGe thickness and %Ge, all in one signal measurement on a FDSOI substrate in a manufacturing fab. Strong correlation to electrical data for one or more of these measured parameters will also be presented, establishing the reliability of this technique.

  11. Digital terrain modelling and industrial surface metrology - Converging crafts

    USGS Publications Warehouse

    Pike, R.J.

    2001-01-01

    Quantitative characterisation of surface form, increasingly from digital 3-D height data, is cross-disciplinary and can be applied at any scale. Thus, separation of industrial-surface metrology from its Earth-science counterpart, (digital) terrain modelling, is artificial. Their growing convergence presents an opportunity to develop in surface morphometry a unified approach to surface representation. This paper introduces terrain modelling and compares it with metrology, noting their differences and similarities. Examples of potential redundancy among parameters illustrate one of the many issues common to both disciplines. ?? 2001 Elsevier Science Ltd. All rights reserved.

  12. 3D interconnect metrology in CMS/ITRI

    NASA Astrophysics Data System (ADS)

    Ku, Y. S.; Shyu, D. M.; Hsu, W. T.; Chang, P. Y.; Chen, Y. C.; Pang, H. L.

    2011-05-01

    Semiconductor device packaging technology is rapidly advancing, in response to the demand for thinner and smaller electronic devices. Three-dimensional chip/wafer stacking that uses through-silicon vias (TSV) is a key technical focus area, and the continuous development of this novel technology has created a need for non-contact characterization. Many of these challenges are novel to the industry due to the relatively large variety of via sizes and density, and new processes such as wafer thinning and stacked wafer bonding. This paper summarizes the developing metrology that has been used during via-middle & via-last TSV process development at EOL/ITRI. While there is a variety of metrology and inspection applications for 3D interconnect processing, the main topics covered here are via CD/depth measurement, thinned wafer inspection and wafer warpage measurement.

  13. WhaleNet/environet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Williamson, J.M.

    1994-12-31

    WhaleNet has established a network where students, educators, and scientists can interact and share data for use in interdisciplinary curricular and student research activities in classrooms around the world by utilizing telecommunication. This program enables students to participate in marine/whale research programs in real-time with WhaleNet data and supplementary curriculum materials regardless of their geographic location. Systems have been established with research organizations and whale watch companies whereby research data is posted by scientists and students participating in whale watches on the WhaleNet bulletin board and shared with participating classrooms. WhaleNet presently has contacts with classrooms across the nation, andmore » with research groups, whale watch organizations, science museums, and universities from Alaska to North Carolina, Hawaii to Maine, and Belize to Norway. WhaleNet has plans to make existing whale and fisheries research databases available for classroom use and to have research data from satellite tagging programs on various species of whales available for classroom access in real-time.« less

  14. PREFACE: Fundamental Constants in Physics and Metrology

    NASA Astrophysics Data System (ADS)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  15. The future of 2D metrology for display manufacturing

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  16. Understanding Imaging and Metrology with the Helium Ion Microscope

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladár, András E.; Ming, Bin

    2009-09-01

    One barrier to innovation confronting all phases of nanotechnology is the lack of accurate metrology for the characterization of nanomaterials. Ultra-high resolution microscopy is a key technology needed to achieve this goal. But, current microscope technology is being pushed to its limits. The scanning and transmission electron microscopes have incrementally improved in performance and other scanned probe technologies such as atomic force microscopy, scanning tunneling microscopy and focused ion beam microscopes have all been applied to nanotechnology with various levels of success. A relatively new tool for nanotechnology is the scanning helium ion microscope (HIM). The HIM is a new complementary imaging and metrology technology for nanotechnology which may be able to push the current resolution barrier lower. But, successful imaging and metrology with this instrument entails new ion beam/specimen interaction physics which must be fully understood. As a new methodology, HIM is beginning to show promise and the abundance of potentially advantageous applications for nanotechnology have yet to be fully exploited. This presentation will discuss some of the progress made at NIST in understanding the science behind this new technique.

  17. High throughput wafer defect monitor for integrated metrology applications in photolithography

    NASA Astrophysics Data System (ADS)

    Rao, Nagaraja; Kinney, Patrick; Gupta, Anand

    2008-03-01

    The traditional approach to semiconductor wafer inspection is based on the use of stand-alone metrology tools, which while highly sensitive, are large, expensive and slow, requiring inspection to be performed off-line and on a lot sampling basis. Due to the long cycle times and sparse sampling, the current wafer inspection approach is not suited to rapid detection of process excursions that affect yield. The semiconductor industry is gradually moving towards deploying integrated metrology tools for real-time "monitoring" of product wafers during the manufacturing process. Integrated metrology aims to provide end-users with rapid feedback of problems during the manufacturing process, and the benefit of increased yield, and reduced rework and scrap. The approach of monitoring 100% of the wafers being processed requires some trade-off in sensitivity compared to traditional standalone metrology tools, but not by much. This paper describes a compact, low-cost wafer defect monitor suitable for integrated metrology applications and capable of detecting submicron defects on semiconductor wafers at an inspection rate of about 10 seconds per wafer (or 360 wafers per hour). The wafer monitor uses a whole wafer imaging approach to detect defects on both un-patterned and patterned wafers. Laboratory tests with a prototype system have demonstrated sensitivity down to 0.3 µm on un-patterned wafers and down to 1 µm on patterned wafers, at inspection rates of 10 seconds per wafer. An ideal application for this technology is preventing photolithography defects such as "hot spots" by implementing a wafer backside monitoring step prior to exposing wafers in the lithography step.

  18. Metrology to quantify wear and creep of polyethylene tibial knee inserts.

    PubMed

    Muratoglu, Orhun K; Perinchief, Rebecca S; Bragdon, Charles R; O'Connor, Daniel O; Konrad, Reto; Harris, William H

    2003-05-01

    Assessment of damage on articular surfaces of ultrahigh molecular weight polyethylene tibial knee inserts primarily has been limited to qualitative methods, such as visual observation and classification of features such as pitting, delamination, and subsurface cracking. Semiquantitative methods also have been proposed to determine the linear penetration and volume of the scar that forms on articular surfaces of tibial knee inserts. The current authors report a new metrologic method that uses a coordinate measuring machine to quantify the dimensions of this scar. The articular surface of the insert is digitized with the coordinate measuring machine before and after regular intervals of testing on a knee simulator. The volume and linear penetration of the scar are calculated by mathematically taking the difference between the digitized surface maps of the worn and unworn articular surfaces. Three conventional polyethylene tibial knee inserts of a posterior cruciate-sparing design were subjected to five million cycles of normal gait on a displacement-driven knee wear simulator in bovine serum. A metrologic method was used to calculate creep and wear contributions to the scar formation on each tibial plateau. Weight loss of the inserts was determined gravimetrically with the appropriate correction for fluid absorption. The total average wear volume was 43 +/- 9 and 41 +/- 4 mm3 measured by the metrologic and gravimetric methods, respectively. The wear rate averaged 8.3 +/- 0.9 and 8.5 +/- 1.6 mm3 per million cycles measured by the metrologic and gravimetric methods, respectively. These comparisons reflected strong agreement between the metrologic and gravimetric methods.

  19. MercNet: A national monitoring network to assess responses to changing mercury emissions in the United States

    USGS Publications Warehouse

    Schmeltz, D.; Evers, D.C.; Driscoll, C.T.; Artz, R.; Cohen, M.; Gay, D.; Haeuber, R.; Krabbenhoft, D.P.; Mason, R.; Morris, K.; Wiener, J.G.

    2011-01-01

    A partnership of federal and state agencies, tribes, industry, and scientists from academic research and environmental organizations is establishing a national, policy-relevant mercury monitoring network, called MercNet, to address key questions concerning changes in anthropogenic mercury emissions and deposition, associated linkages to ecosystem effects, and recovery from mercury contamination. This network would quantify mercury in the atmosphere, land, water, and biota in terrestrial, freshwater, and coastal ecosystems to provide a national scientific capability for evaluating the benefits and effectiveness of emission controls. Program development began with two workshops, convened to establish network goals, to select key indicators for monitoring, to propose a geographic network of monitoring sites, and to design a monitoring plan. MercNet relies strongly on multi-institutional partnerships to secure the capabilities and comprehensive data that are needed to develop, calibrate, and refine predictive mercury models and to guide effective management. Ongoing collaborative efforts include the: (1) development of regional multi-media databases on mercury in the Laurentian Great Lakes, northeastern United States, and eastern Canada; (2) syntheses and reporting of these data for the scientific and policy communities; and (3) evaluation of potential monitoring sites. The MercNet approach could be applied to the development of other monitoring programs, such as emerging efforts to monitor and assess global mercury emission controls. ?? 2011 Springer Science+Business Media, LLC (outside the USA).

  20. Metrological traceability of holmium oxide solution

    NASA Astrophysics Data System (ADS)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  1. MetNH3: Metrology for ammonia in ambient air

    NASA Astrophysics Data System (ADS)

    Braban, Christine; Twigg, Marsailidh; Tang, Sim; Leuenberger, Daiana; Ferracci, Valerio; Martin, Nick; Pascale, Celine; Hieta, Tuomas; Pogany, Andrea; Persijn, Stefan; van Wijk, Janneke; Gerwig, Holger; Wirtze, Klaus; Tiebe, Carlo; Balslev-Harder, David; Niederhausen, Bernhardt

    2015-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on 'National Emission Ceilings for Certain Atmospheric Pollutants (NEC)' regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. Validated ammonia measurement data of high quality from air monitoring networks are vitally important for identifying changes due to implementations of environment policies, for understanding where the uncertainties in current emission inventories are derived from and for providing independent verification of atmospheric model predictions. The new EURAMET project MetNH3 aims to develop improved reference gas mixtures by static and dynamic gravimetric generation methods, develop and characterise laser based optical spectrometric standards and establish the transfer from high-accuracy standards to field applicable methods. MetNH3started in June 2014 and in this presentation the first results from the metrological characterisation of a commercially available cavity ring-down spectrometer (CRDS) will be discussed. Also first tests and results from a new design, Controlled Atmosphere Test Facility (CATFAC), which is to be characterised and used to validate the performance of diffusive samplers, denuders and on-line instruments, will be reported. CAFTEC can be used to control test parameters such as ammonia concentration, relative humidity and wind speed. Outline plans for international laboratory and field intercomparisons in 2016 will be presented.

  2. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    ERIC Educational Resources Information Center

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  3. Metrology Mount and Optics Mandrels

    NASA Technical Reports Server (NTRS)

    Tananbaum, H.; Russell, Kevin (Technical Monitor)

    2000-01-01

    This document is the Final Report for NASA Grant NAG8-1198 from NASA Marshall Space Flight Center (MSFC) to the Smithsonian Astrophysical Observatory (SAO). The Grant is entitled "Metrology Mount and Optics Materials." This final report is required by the terms of the Grant. The period of performance was from September 15, 1995 through January 14, 1999. Total funding received by SAO from MSFC for this effort was, $254,000. Mr. Lester Cohen carried out most of the work at SAO, but received limited support from other engineers, technicians, and designers. Dr. Harvey Tananbaum, the Principal Investigator for the grant provided overall direction and work- assessment. The Grant has had two funding augmentations to its basic amount and has, over time, emphasized three different research areas - each of which has been an extension of earlier research. The research activity was in 2 areas: (1) Expert opto-structural studies related to separation mechanics and effects of electro formed nickel X-ray mirrors. and (2) Design, fabrication and evaluation of a low force metrology and assembly station for light weight full shell electroformed X-ray mirrors.

  4. Exploring the impact of targeted distribution of free bed nets on households bed net ownership, socio-economic disparities and childhood malaria infection rates: analysis of national malaria survey data from three sub-Saharan Africa countries

    PubMed Central

    2013-01-01

    Background The last decade has witnessed increased funding for malaria control. Malaria experts have used the opportunity to advocate for rollout of such interventions as free bed nets. A free bed net distribution strategy is seen as the quickest way to improve coverage of effective malaria control tools especially among poorest communities. Evidence to support this claim is however, sparse. This study explored the effectiveness of targeted free bed net distribution strategy in achieving equity in terms of ownership and use of bed nets and also reduction of malaria prevalence among children under-five years of age. Methods National malaria indicator survey (MIS) data from Angola, Tanzania and Uganda was used in the analysis. Hierarchical multilevel logistic regression models were used to analyse the relationship between variables of interest. Outcome variables were defined as: childhood test-confirmed malaria infections, household ownership of any mosquito net and children’s use of any mosquito nets. Marginal effects of having free bed net distribution on households with different wealth status were calculated. Results Angolan children from wealthier households were 6.4 percentage points less likely to be parasitaemic than those in poorest households, whereas those from Tanzania and Uganda were less likely to test malaria positive by 7 and 11.6 percentage points respectively (p < 0.001). The study estimates and present results on the marginal effects based on the impact of free bed net distribution on children's malaria status given their socio-economic background. Poorest households were less likely to own a net by 21.4% in Tanzania, and 2.8% in Uganda, whereas both poorer and wealthier Angolan households almost achieved parity in bed net ownership (p < 0.001). Wealthier households had a higher margin of using nets than poorest people in both Tanzania and Uganda by 11.4% and 3.9% respectively. However, the poorest household in Angola had a 6.1% net use

  5. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicabilitymore » in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.« less

  6. CD-SEM metrology and OPC modeling for 2D patterning in advanced technology nodes (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Wallow, Thomas I.; Zhang, Chen; Fumar-Pici, Anita; Chen, Jun; Laenens, Bart; Spence, Christopher A.; Rio, David; van Adrichem, Paul; Dillen, Harm; Wang, Jing; Yang, Peng-Cheng; Gillijns, Werner; Jaenen, Patrick; van Roey, Frieda; van de Kerkhove, Jeroen; Babin, Sergey

    2017-03-01

    In the course of assessing OPC compact modeling capabilities and future requirements, we chose to investigate the interface between CD-SEM metrology methods and OPC modeling in some detail. Two linked observations motivated our study: 1) OPC modeling is, in principle, agnostic of metrology methods and best practice implementation. 2) Metrology teams across the industry use a wide variety of equipment, hardware settings, and image/data analysis methods to generate the large volumes of CD-SEM measurement data that are required for OPC in advanced technology nodes. Initial analyses led to the conclusion that many independent best practice metrology choices based on systematic study as well as accumulated institutional knowledge and experience can be reasonably made. Furthermore, these choices can result in substantial variations in measurement of otherwise identical model calibration and verification patterns. We will describe several experimental 2D test cases (i.e., metal, via/cut layers) that examine how systematic changes in metrology practice impact both the metrology data itself and the resulting full chip compact model behavior. Assessment of specific methodology choices will include: • CD-SEM hardware configurations and settings: these may range from SEM beam conditions (voltage, current, etc.,) to magnification, to frame integration optimizations that balance signal-to-noise vs. resist damage. • Image and measurement optimization: these may include choice of smoothing filters for noise suppression, threshold settings, etc. • Pattern measurement methodologies: these may include sampling strategies, CD- and contour- based approaches, and various strategies to optimize the measurement of complex 2D shapes. In addition, we will present conceptual frameworks and experimental methods that allow practitioners of OPC metrology to assess impacts of metrology best practice choices on model behavior. Finally, we will also assess requirements posed by node scaling

  7. RadNet Air Quality (Fixed Station) Data

    EPA Pesticide Factsheets

    RadNet is a national network of monitoring stations that regularly collect air for analysis of radioactivity. The RadNet network, which has stations in each State, has been used to track environmental releases of radioactivity from nuclear weapons tests and nuclear accidents. RadNet also documents the status and trends of environmental radioactivity

  8. Sediment certified reference materials for the determination of polychlorinated biphenyls and organochlorine pesticides from the National Metrology Institute of Japan (NMIJ).

    PubMed

    Numata, Masahiko; Yarita, Takashi; Aoyagi, Yoshie; Tsuda, Yoko; Yamazaki, Misako; Takatsu, Akiko; Ishikawa, Keiichiro; Chiba, Koichi; Okamaoto, Kensaku

    2007-04-01

    Two marine sediment certified reference materials, NMIJ CRM 7304-a and 7305-a, have been issued by the National Metrology Institute of Japan in the National Institute of Advanced Industrial Science and Technology (NMIJ/AIST) for the determination of polychlorinated biphenyls (PCBs) and organochlorine pesticides (OCPs). The raw materials of the CRMs were collected from a bay near industrial activity in Japan. Characterization of these CRMs was conducted by NMIJ, where the sediments were analyzed using multiple analytical methods such as pressurized liquid extraction (PLE), microwave-assisted extraction (MAE), saponification, Soxhlet extraction, supercritical fluid extraction (SFE), and ultrasonic extraction; the target compounds were determined by one of the primary methods of measurements, isotope dilution-mass spectrometry (ID-MS). Certified values have been provided for 14 PCB congeners (PCB numbers 3, 15, 28, 31, 70, 101, 105, 138, 153, 170, 180, 194, 206, 209) and 4 OCPs (gamma-HCH, 4,4'-DDT, 4,4'-DDE, 4,4'-DDD) in both CRMs. NMIJ CRM 7304-a has concentrations of the contaminants that are a factor of 2-15 greater than in CRM 7305-a. Both CRMs have information values for PCB homolog concentrations determined by collaborative analysis using a Japanese official method for determination of PCBs. The total PCB concentrations in the CRMs are approximately 920 and 86 microg kg(-1) dry mass respectively.

  9. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    PubMed

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  10. DABAM: an open-source database of X-ray mirrors metrology

    PubMed Central

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele; Glass, Mark; Idir, Mourad; Metz, Jim; Raimondi, Lorenzo; Rebuffi, Luca; Reininger, Ruben; Shi, Xianbo; Siewert, Frank; Spielmann-Jaeggi, Sibylle; Takacs, Peter; Tomasset, Muriel; Tonnessen, Tom; Vivo, Amparo; Yashchuk, Valeriy

    2016-01-01

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database. PMID:27140145

  11. World wide matching of registration metrology tools of various generations

    NASA Astrophysics Data System (ADS)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  12. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    PubMed Central

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  13. Results of x-ray mirror round-robin metrology measurements at the APS, ESRF, and SPring-8 optical metrology laboratories.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Assoufid, L.; Rommeveaux, A.; Ohashi, H.

    2005-01-01

    This paper presents the first series of round-robin metrology measurements of x-ray mirrors organized at the Advanced Photon Source (APS) in the USA, the European Synchrotron Radiation Facility in France, and the Super Photon Ring (SPring-8) (in a collaboration with Osaka University, ) in Japan. This work is part of the three institutions' three-way agreement to promote a direct exchange of research information and experience amongst their specialists. The purpose of the metrology round robin is to compare the performance and limitations of the instrumentation used at the optical metrology laboratories of these facilities and to set the basis formore » establishing guidelines and procedures to accurately perform the measurements. The optics used in the measurements were selected to reflect typical, as well as state of the art, in mirror fabrication. The first series of the round robin measurements focuses on flat and cylindrical mirrors with varying sizes and quality. Three mirrors (two flats and one cylinder) were successively measured using long trace profilers. Although the three facilities' LTPs are of different design, the measurements were found to be in excellent agreement. The maximum discrepancy of the rms slope error values is 0.1 {micro}rad, that of the rms shape error was 3 nm, and they all relate to the measurement of the cylindrical mirror. The next round-robin measurements will deal with elliptical and spherical optics.« less

  14. A metrological approach to improve accuracy and reliability of ammonia measurements in ambient air

    NASA Astrophysics Data System (ADS)

    Pogány, Andrea; Balslev-Harder, David; Braban, Christine F.; Cassidy, Nathan; Ebert, Volker; Ferracci, Valerio; Hieta, Tuomas; Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Peltola, Jari; Persijn, Stefan; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-11-01

    The environmental impacts of ammonia (NH3) in ambient air have become more evident in the recent decades, leading to intensifying research in this field. A number of novel analytical techniques and monitoring instruments have been developed, and the quality and availability of reference gas mixtures used for the calibration of measuring instruments has also increased significantly. However, recent inter-comparison measurements show significant discrepancies, indicating that the majority of the newly developed devices and reference materials require further thorough validation. There is a clear need for more intensive metrological research focusing on quality assurance, intercomparability and validations. MetNH3 (Metrology for ammonia in ambient air) is a three-year project within the framework of the European Metrology Research Programme (EMRP), which aims to bring metrological traceability to ambient ammonia measurements in the 0.5-500 nmol mol-1 amount fraction range. This is addressed by working in three areas: (1) improving accuracy and stability of static and dynamic reference gas mixtures, (2) developing an optical transfer standard and (3) establishing the link between high-accuracy metrological standards and field measurements. In this article we describe the concept, aims and first results of the project.

  15. Kite: Status of the External Metrology Testbed for SIM

    NASA Technical Reports Server (NTRS)

    Dekens, Frank G.; Alvarez-Salazar, Oscar; Azizi, Alireza; Moser, Steven; Nemati, Bijan; Negron, John; Neville, Timothy; Ryan, Daniel

    2004-01-01

    Kite is a system level testbed for the External Metrology system of the Space Interferometry Mission (SIM). The External Metrology System is used to track the fiducial that are located at the centers of the interferometer's siderostats. The relative changes in their positions needs to be tracked to tens of picometers in order to correct for thermal measurements, the Kite testbed was build to test both the metrology gauges and out ability to optically model the system at these levels. The Kite testbed is an over-constraint system where 6 lengths are measured, but only 5 are needed to determine the system. The agreement in the over-constrained length needs to be on the order of 140 pm for the SIM Wide-Angle observing scenario and 8 pm for the Narrow-Angle observing scenario. We demonstrate that we have met the Wide-Angle goal with our current setup. For the Narrow-Angle case, we have only reached the goal for on-axis observations. We describe the testbed improvements that have been made since our initial results, and outline the future Kite changes that will add further effects that SIM faces in order to make the testbed more SIM like.

  16. Dynamic metrology and data processing for precision freeform optics fabrication and testing

    NASA Astrophysics Data System (ADS)

    Aftab, Maham; Trumper, Isaac; Huang, Lei; Choi, Heejoo; Zhao, Wenchuan; Graves, Logan; Oh, Chang Jin; Kim, Dae Wook

    2017-06-01

    Dynamic metrology holds the key to overcoming several challenging limitations of conventional optical metrology, especially with regards to precision freeform optical elements. We present two dynamic metrology systems: 1) adaptive interferometric null testing; and 2) instantaneous phase shifting deflectometry, along with an overview of a gradient data processing and surface reconstruction technique. The adaptive null testing method, utilizing a deformable mirror, adopts a stochastic parallel gradient descent search algorithm in order to dynamically create a null testing condition for unknown freeform optics. The single-shot deflectometry system implemented on an iPhone uses a multiplexed display pattern to enable dynamic measurements of time-varying optical components or optics in vibration. Experimental data, measurement accuracy / precision, and data processing algorithms are discussed.

  17. Earthquakes and sea level - Space and terrestrial metrology on a changing planet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bilham, R.

    1991-02-01

    A review is presented of the stability and scale of crustal deformation metrology which has particular relevance to monitoring deformation associated with sea level and earthquakes. Developments in space geodesy and crustal deformation metrology in the last two decades have the potential to acquire a homogeneous global data set for monitoring relative horizontal and vertical motions of the earth's surface to within several millimeters. New tools discussed for forecasting sea level rise and damaging earthquakes include: very long baseline interferometry, satellite laser ranging, the principles of GPS geodesy, and new sea level sensors. Space geodesy permits a unified global basismore » for future metrology of the earth, and the continued availability of the GPS is currently fundamental to this unification.« less

  18. Gloss evaluation from soft and hard metrologies.

    PubMed

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  19. The total spectral radiant flux calibration using a spherical spectrometer at National Institute of Metrology China

    NASA Astrophysics Data System (ADS)

    Zhao, Weiqiang; Liu, Hui; Liu, Jian

    2016-11-01

    At present day, in the field of lighting the incandescent lamps are phasing out. The solid state lighting products, i.e. LED, and the related market are developing very fast in China for its promising application, due to the energy-saving and the colorful features. For the quality control and the commercial trade purpose, it is highly necessary to measure the optical parameters of LED light sources with a fast, easy and affordable facility. Therefore, more test labs use the spherical spectrometer to measure LED. The quasi- monochrome of LED and the V(lambda) of silicon photodetector mismatch problem is reduced or avoided, because the total spectral radiant flux (TSRF) is measured, and all the optical parameters are calculate from the TSRF. In such a way, the spherical spectrometer calibration requires TSRF standard lamps instead of the traditional total flux standard lamps. National Institute of Metrology China (NIM) has studied and developed the facilities for TSRF measurement and provides related calibration services. This paper shows the TSRF standard lamp calibration procedure using a spherical spectrometer in every-day calibration and its traceable link to the primary SI unit at NIM. The sphere is of 1.5 m diameter, and installed with a spectrometer and a silicon photodetector. It also shows the detail of data process, such as the spectral absorption correction method and the calculation of the result derived from the spectral readings. The TSRF calibration covers the spectra range of 350 nm to 1050 nm, with a measurement uncertainty of 3.6% 1.8% (k=2).

  20. Remote laboratories for optical metrology: from the lab to the cloud

    NASA Astrophysics Data System (ADS)

    Osten, W.; Wilke, M.; Pedrini, G.

    2012-10-01

    The idea of remote and virtual metrology has been reported already in 2000 with a conceptual illustration by use of comparative digital holography, aimed at the comparison of two nominally identical but physically different objects, e.g., master and sample, in industrial inspection processes. However, the concept of remote and virtual metrology can be extended far beyond this. For example, it does not only allow for the transmission of static holograms over the Internet, but also provides an opportunity to communicate with and eventually control the physical set-up of a remote metrology system. Furthermore, the metrology system can be modeled in the environment of a 3D virtual reality using CAD or similar technology, providing a more intuitive interface to the physical setup within the virtual world. An engineer or scientist who would like to access the remote real world system can log on to the virtual system, moving and manipulating the setup through an avatar and take the desired measurements. The real metrology system responds to the interaction between the avatar and the 3D virtual representation, providing a more intuitive interface to the physical setup within the virtual world. The measurement data are stored and interpreted automatically for appropriate display within the virtual world, providing the necessary feedback to the experimenter. Such a system opens up many novel opportunities in industrial inspection such as the remote master-sample-comparison and the virtual assembling of parts that are fabricated at different places. Moreover, a multitude of new techniques can be envisaged. To them belong modern ways for documenting, efficient methods for metadata storage, the possibility for remote reviewing of experimental results, the adding of real experiments to publications by providing remote access to the metadata and to the experimental setup via Internet, the presentation of complex experiments in classrooms and lecture halls, the sharing of

  1. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    NASA Astrophysics Data System (ADS)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  2. DABAM: an open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    2016-04-20

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  3. DABAM: an open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  4. DABAM: An open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. In conclusion, some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  5. DABAM: An open-source database of X-ray mirrors metrology

    DOE PAGES

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele; ...

    2016-05-01

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. In conclusion, some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  6. 36 CFR 13.30 - Weapons, traps and nets.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 36 Parks, Forests, and Public Property 1 2011-07-01 2011-07-01 false Weapons, traps and nets. 13... INTERIOR NATIONAL PARK SYSTEM UNITS IN ALASKA General Provisions § 13.30 Weapons, traps and nets. (a... of this chapter, the following are prohibited— (1) Possessing a weapon, trap, or net; (2) Carrying a...

  7. 36 CFR 13.30 - Weapons, traps and nets.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 36 Parks, Forests, and Public Property 1 2014-07-01 2014-07-01 false Weapons, traps and nets. 13... INTERIOR NATIONAL PARK SYSTEM UNITS IN ALASKA General Provisions § 13.30 Weapons, traps and nets. (a... of this chapter, the following are prohibited— (1) Possessing a weapon, trap, or net; (2) Carrying a...

  8. 36 CFR 13.30 - Weapons, traps and nets.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 36 Parks, Forests, and Public Property 1 2012-07-01 2012-07-01 false Weapons, traps and nets. 13... INTERIOR NATIONAL PARK SYSTEM UNITS IN ALASKA General Provisions § 13.30 Weapons, traps and nets. (a... of this chapter, the following are prohibited— (1) Possessing a weapon, trap, or net; (2) Carrying a...

  9. 36 CFR 13.30 - Weapons, traps and nets.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 36 Parks, Forests, and Public Property 1 2010-07-01 2010-07-01 false Weapons, traps and nets. 13... INTERIOR NATIONAL PARK SYSTEM UNITS IN ALASKA General Provisions § 13.30 Weapons, traps and nets. (a... of this chapter, the following are prohibited— (1) Possessing a weapon, trap, or net; (2) Carrying a...

  10. 36 CFR 13.30 - Weapons, traps and nets.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 36 Parks, Forests, and Public Property 1 2013-07-01 2013-07-01 false Weapons, traps and nets. 13... INTERIOR NATIONAL PARK SYSTEM UNITS IN ALASKA General Provisions § 13.30 Weapons, traps and nets. (a... of this chapter, the following are prohibited— (1) Possessing a weapon, trap, or net; (2) Carrying a...

  11. Photogrammetric Metrology for the James Webb Space Telescope Integrated Science Instrument Module

    NASA Technical Reports Server (NTRS)

    Nowak, Maria; Crane, Allen; Davila, Pam; Eichhorn, William; Gill, James; Herrera, Acey; Hill, Michael; Hylan, Jason; Jetten, Mark; Marsh, James; hide

    2007-01-01

    The James Webb Space Telescope (JWST) is a 6.6m diameter, segmented, deployable telescope for cryogenic IR space astronomy (approximately 40K). The JWST Observatory architecture includes the Optical Telescope Element and the Integrated Science Instrument Module (ISIM) element that contains four science instruments (SI) including a Guider. The ISM optical metering structure is a roughly 2.2x1.7x2.2m, asymmetric frame that is composed of carbon fiber and resin tubes bonded to invar end fittings and composite gussets and clips. The structure supports the SIs, isolates the SIs from the OTE, and supports thermal and electrical subsystems. The structure is attached to the OTE structure via strut-like kinematic mounts. The ISIM structure must meet its requirements at the approximately 40K cryogenic operating temperature. The SIs are aligned to the structure's coordinate system under ambient, clean room conditions using laser tracker and theodolite metrology. The ISIM structure is thermally cycled for stress relief and in order to measure temperature-induced mechanical, structural changes. These ambient-to-cryogenic changes in the alignment of SI and OTE-related interfaces are an important component in the JWST Observatory alignment plan and must be verified. We report on the planning for and preliminary testing of a cryogenic metrology system for ISIM based on photogrammetry. Photogrammetry is the measurement of the location of custom targets via triangulation using images obtained at a suite of digital camera locations and orientations. We describe metrology system requirements, plans, and ambient photogrammetric measurements of a mock-up of the ISIM structure to design targeting and obtain resolution estimates. We compare these measurements with those taken from a well known ambient metrology system, namely, the Leica laser tracker system. We also describe the data reduction algorithm planned to interpret cryogenic data from the Flight structure. Photogrammetry was

  12. Geodesy and metrology with a transportable optical clock

    NASA Astrophysics Data System (ADS)

    Grotti, Jacopo; Koller, Silvio; Vogt, Stefan; Häfner, Sebastian; Sterr, Uwe; Lisdat, Christian; Denker, Heiner; Voigt, Christian; Timmen, Ludger; Rolland, Antoine; Baynes, Fred N.; Margolis, Helen S.; Zampaolo, Michel; Thoumany, Pierre; Pizzocaro, Marco; Rauf, Benjamin; Bregolin, Filippo; Tampellini, Anna; Barbieri, Piero; Zucco, Massimo; Costanzo, Giovanni A.; Clivati, Cecilia; Levi, Filippo; Calonico, Davide

    2018-05-01

    Optical atomic clocks, due to their unprecedented stability1-3 and uncertainty3-6, are already being used to test physical theories7,8 and herald a revision of the International System of Units9,10. However, to unlock their potential for cross-disciplinary applications such as relativistic geodesy11, a major challenge remains: their transformation from highly specialized instruments restricted to national metrology laboratories into flexible devices deployable in different locations12-14. Here, we report the first field measurement campaign with a transportable 87Sr optical lattice clock12. We use it to determine the gravity potential difference between the middle of a mountain and a location 90 km away, exploiting both local and remote clock comparisons to eliminate potential clock errors. A local comparison with a 171Yb lattice clock15 also serves as an important check on the international consistency of independently developed optical clocks. This campaign demonstrates the exciting prospects for transportable optical clocks.

  13. Quantum metrology and estimation of Unruh effect

    PubMed Central

    Wang, Jieci; Tian, Zehua; Jing, Jiliang; Fan, Heng

    2014-01-01

    We study the quantum metrology for a pair of entangled Unruh-Dewitt detectors when one of them is accelerated and coupled to a massless scalar field. Comparing with previous schemes, our model requires only local interaction and avoids the use of cavities in the probe state preparation process. We show that the probe state preparation and the interaction between the accelerated detector and the external field have significant effects on the value of quantum Fisher information, correspondingly pose variable ultimate limit of precision in the estimation of Unruh effect. We find that the precision of the estimation can be improved by a larger effective coupling strength and a longer interaction time. Alternatively, the energy gap of the detector has a range that can provide us a better precision. Thus we may adjust those parameters and attain a higher precision in the estimation. We also find that an extremely high acceleration is not required in the quantum metrology process. PMID:25424772

  14. Evaluating diffraction based overlay metrology for double patterning technologies

    NASA Astrophysics Data System (ADS)

    Saravanan, Chandra Saru; Liu, Yongdong; Dasari, Prasad; Kritsun, Oleg; Volkman, Catherine; Acheta, Alden; La Fontaine, Bruno

    2008-03-01

    Demanding sub-45 nm node lithographic methodologies such as double patterning (DPT) pose significant challenges for overlay metrology. In this paper, we investigate scatterometry methods as an alternative approach to meet these stringent new metrology requirements. We used a spectroscopic diffraction-based overlay (DBO) measurement technique in which registration errors are extracted from specially designed diffraction targets for double patterning. The results of overlay measurements are compared to traditional bar-in-bar targets. A comparison between DBO measurements and CD-SEM measurements is done to show the correlation between the two approaches. We discuss the total measurement uncertainty (TMU) requirements for sub-45 nm nodes and compare TMU from the different overlay approaches.

  15. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  16. Development of metrology for freeform optics in reflection mode

    NASA Astrophysics Data System (ADS)

    Burada, Dali R.; Pant, Kamal K.; Mishra, Vinod; Bichra, Mohamed; Khan, Gufran S.; Sinzinger, Stefan; Shakher, Chandra

    2017-06-01

    The increased range of manufacturable freeform surfaces offered by the new fabrication techniques is giving opportunities to incorporate them in the optical systems. However, the success of these fabrication techniques depends on the capabilities of metrology procedures and a feedback mechanism to CNC machines for optimizing the manufacturing process. Therefore, a precise and in-situ metrology technique for freeform optics is in demand. Though all the techniques available for aspheres have been extended for the freeform surfaces by the researchers, but none of the techniques has yet been incorporated into the manufacturing machine for in-situ measurement. The most obvious reason is the complexity involved in the optical setups to be integrated in the manufacturing platforms. The Shack-Hartmann sensor offers the potential to be incorporated into the machine environment due to its vibration insensitivity, compactness and 3D shape measurement capability from slope data. In the present work, a measurement scheme is reported in which a scanning Shack-Hartmann Sensor has been employed and used as a metrology tool for measurement of freeform surface in reflection mode. Simulation studies are conducted for analyzing the stitching accuracy in presence of various misalignment errors. The proposed scheme is experimentally verified on a freeform surface of cubic phase profile.

  17. On the benefit of high resolution and low aberrations for in-die mask registration metrology

    NASA Astrophysics Data System (ADS)

    Beyer, Dirk; Seidel, Dirk; Heisig, Sven; Steinert, Steffen; Töpfer, Susanne; Scherübl, Thomas; Hetzler, Jochen

    2014-10-01

    With the introduction of complex lithography schemes like double and multi - patterning and new design principles like gridded designs with cut masks the requirements for mask to mask overlay have increased dramatically. Still, there are some good news too for the mask industry since more mask are needed and qualified. Although always confronted with throughput demands, latest writing tool developments are able to keep pace with ever increasing pattern placement specs not only for global signatures but for in-die features within the active area. Placement specs less than 3nm (max. 3 Sigma) are expected and needed in all cases in order to keep the mask contribution to the overall overlay budget at an accepted level. The qualification of these masks relies on high precision metrology tools which have to fulfill stringent metrology as well as resolution constrains at the same time. Furthermore, multi-patterning and gridded designs with pinhole type cut masks are drivers for a paradigm shift in registration metrology from classical registration crosses to in-die registration metrology on production features. These requirements result in several challenges for registration metrology tools. The resolution of the system must be sufficiently high to resolve small production features. At the same time tighter repeatability is required. Furthermore, tool induced shift (TIS) limit the accuracy of in-die measurements. This paper discusses and demonstrates the importance of low illumination wavelength together with low aberrations for best contrast imaging for in-die registration metrology. Typical effects like tool induced shift are analyzed and evaluated using the ZEISS PROVE® registration metrology tool. Additionally, we will address performance gains when going to higher resolution. The direct impact on repeatability for small features by registration measurements will be discussed as well.

  18. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    NASA Astrophysics Data System (ADS)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  19. On-orbit Metrology and Calibration Requirements for Space Station Activities Definition Study

    NASA Technical Reports Server (NTRS)

    Cotty, G. M.; Ranganathan, B. N.; Sorrell, A. L.

    1989-01-01

    The Space Station is the focal point for the commercial development of space. The long term routine operation of the Space Station and the conduct of future commercial activities suggests the need for in-space metrology capabilities analogous when possible to those on-Earth. The ability to perform periodic calibrations and measurements with proper traceability is imperative for the routine operation of the Space Station. An initial review, however, indicated a paucity of data related to metrology and calibration requirements for in-space operations. This condition probably exists because of the highly developmental aspect of space activities to date, their short duration, and nonroutine nature. The on-orbit metrology and calibration needs of the Space Station were examined and assessed. In order to achieve this goal, the following tasks were performed: an up-to-date literature review; identification of on-orbit calibration techniques; identification of sensor calibration requirements; identification of calibration equipment requirements; definition of traceability requirements; preparation of technology development plans; and preparation of the final report. Significant information and major highlights pertaining to each task is presented. In addition, some general (generic) conclusions/observations and recommendations that are pertinent to the overall in-space metrology and calibration activities are presented.

  20. Target-Tracking Camera for a Metrology System

    NASA Technical Reports Server (NTRS)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  1. 1.5 nm fabrication of test patterns for characterization of metrological systems

    DOE PAGES

    Babin, Sergey; Calafiore, Giuseppe; Peroz, Christophe; ...

    2015-11-06

    Any metrology tool is only as good as it is calibrated. The characterization of metrology systems requires test patterns at a scale about ten times smaller than the measured features. The fabrication of patterns with linewidths down to 1.5 nm is described. The test sample was designed in such a way that the distribution of linewidths appears to be random at any location. This pseudorandom test pattern is used to characterize dimensional metrology equipment over its entire dynamic range by extracting the modulation transfer function of the system. The test pattern contains alternating lines of silicon and tungsten silicide, eachmore » according to its designed width. As a result, the fabricated test samples were imaged using a transmission electron microscope, a scanning electron microscope, and an atomic force microscope. (C) 2015 American Vacuum Society.« less

  2. Recent progress in understanding the imaging and metrology using the helium ion microscope

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladar, Andras E.; Ming, Bin

    2009-05-01

    Nanotechnology is pushing imaging and measurement instrument technology to high levels of required performance. As this continues, new barriers confronting innovation in this field are encountered. Particle beam instrument resolution remains one of these barriers. A new tool for imaging and metrology for nanotechnology is the scanning Helium Ion Microscope (HIM). The HIM is a new approach to imaging and metrology for nanotechnology which may be able to push this barrier lower. As a new methodology, it is just beginning to show promise and the number of potentially advantageous applications for nanotechnology and nanometrology has yet to be fully exploited. This presentation will discuss some of the progress made at NIST in collaboration with the manufacturing community in understanding the imaging and metrology for this new technology.

  3. Non-null full field X-ray mirror metrology using SCOTS: a reflection deflectometry approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Su P.; Kaznatcheev K.; Wang, Y.

    In a previous paper, the University of Arizona (UA) has developed a measurement technique called: Software Configurable Optical Test System (SCOTS) based on the principle of reflection deflectometry. In this paper, we present results of this very efficient optical metrology method applied to the metrology of X-ray mirrors. We used this technique to measure surface slope errors with precision and accuracy better than 100 nrad (rms) and {approx}200 nrad (rms), respectively, with a lateral resolution of few mm or less. We present results of the calibration of the metrology systems, discuss their accuracy and address the precision in measuring amore » spherical mirror.« less

  4. Metrology for industrial quantum communications: the MIQC project

    NASA Astrophysics Data System (ADS)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  5. Famine Early Warning System Network (FEWS NET)

    USGS Publications Warehouse

    Verdin, James P.

    2006-01-01

    The FEWS NET mission is to identify potentially food-insecure conditions early through the provision of timely and analytical hazard and vulnerability information. U.S. Government decision-makers act on this information to authorize mitigation and response activities. The U.S. Geological Survey (USGS) FEWS NET provides tools and data for monitoring and forecasting the incidence of drought and flooding to identify shocks to the food supply system that could lead to famine. Historically focused on Africa, the scope of the network has expanded to be global coverage. FEWS NET implementing partners include the USGS, National Aeronautics and Space Administration (NASA), National Oceanic and Atmospheric Administration (NOAA), United States Agency for International Development (USAID), United States Department of Agriculture (USDA), and Chemonics International.

  6. Optics for Processes, Products and Metrology

    NASA Astrophysics Data System (ADS)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  7. Predictable And SuStainable Implementation Of National Cardiovascular Registries Infrastructure: A Think Tank Report from MDEpiNet

    PubMed Central

    Zeitler, Emily P.; Al-Khatib, Sana M.; Drozda, Joseph P.; Kessler, Larry G.; Kirtane, Ajay J.; Kong, David F.; Laschinger, John; Marinac-Dabic, Danica; Morice, Marie-Claude; Reed, Terrie; Sedrakyan, Art; Stein, Kenneth M.; Tcheng, James; Krucoff, Mitchell W.

    2015-01-01

    The Medical Device Epidemiological Network Initiative (MDEpiNet) is a public-private partnership between the US Food and Drug Administration (FDA) Center for Devices and Radiological Health (CDRH) and participating partners. The Predictable and SuStainable Implementation of National Cardiovascular Registries (PASSION) program is an MDEpiNet-sponsored program which aims to demonstrate the goals of MDEpiNet by using cardiovascular medical device registries to bridge evidence gaps across the medical device total product life cycle (TPLC). To this end, a PASSION Think Tank meeting took place in October 2014 in Silver Spring, MD, to facilitate discussion between stakeholders about the successes, challenges, and future novel applications of medical device registries, with particular emphasis on identifying pilot projects. Participants spanned a broad range of groups including patients, device manufacturers, regulators, physicians/academicians, professional societies, providers, and payers. The meeting focus included four areas of cardiovascular medicine intended to cultivate interest in four MDEpiNet Disease Specific/Device Specific Working Groups: coronary intervention, electrophysiology, valvular disease, and peripheral vascular disease. In addition, more general issues applying to registry-based infrastructure and analytical methodologies for assessing device benefit/risk were considered to provide context for the Working Groups as PASSION programs going forward. This article summarizes the discussions at the meeting and the future directions of the PASSION program. PMID:26699602

  8. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    PubMed

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  9. COMMERCIAL MARITIME COAST STATIONS and WEATHER NETS

    Science.gov Websites

    Tsunamis 406 EPIRB's National Weather Service Marine Forecasts COMMERCIAL MARITIME COAST STATIONS and PRODUCTS VIA COMMERCIAL MARITIME COAST STATIONS and WEATHER NETS Commercial maritime coast stations, which ;NETS" operating on commercial marine VHF, MF and HF frequencies, where weather information is

  10. Nano-metrology and terrain modelling - convergent practice in surface characterisation

    USGS Publications Warehouse

    Pike, R.J.

    2000-01-01

    The quantification of magnetic-tape and disk topography has a macro-scale counterpart in the Earth sciences - terrain modelling, the numerical representation of relief and pattern of the ground surface. The two practices arose independently and continue to function separately. This methodological paper introduces terrain modelling, discusses its similarities to and differences from industrial surface metrology, and raises the possibility of a unified discipline of quantitative surface characterisation. A brief discussion of an Earth-science problem, subdividing a heterogeneous terrain surface from a set of sample measurements, exemplifies a multivariate statistical procedure that may transfer to tribological applications of 3-D metrological height data.

  11. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Settens, Charles M.

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron criticalmore » dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.« less

  12. EBMPracticeNet: A Bilingual National Electronic Point-Of-Care Project for Retrieval of Evidence-Based Clinical Guideline Information and Decision Support

    PubMed Central

    2013-01-01

    Background In Belgium, the construction of a national electronic point-of-care information service, EBMPracticeNet, was initiated in 2011 to optimize quality of care by promoting evidence-based decision-making. The collaboration of the government, health care providers, evidence-based medicine (EBM) partners, and vendors of electronic health records (EHR) is unique to this project. All Belgian health care professionals get free access to an up-to-date database of validated Belgian and nearly 1000 international guidelines, incorporated in a portal that also provides EBM information from other sources than guidelines, including computerized clinical decision support that is integrated in the EHRs. Objective The objective of this paper was to describe the development strategy, the overall content, and the management of EBMPracticeNet which may be of relevance to other health organizations creating national or regional electronic point-of-care information services. Methods Several candidate providers of comprehensive guideline solutions were evaluated and one database was selected. Translation of the guidelines to Dutch and French was done with translation software, post-editing by translators and medical proofreading. A strategy is determined to adapt the guideline content to the Belgian context. Acceptance of the computerized clinical decision support tool has been tested and a randomized controlled trial is planned to evaluate the effect on process and patient outcomes. Results Currently, EBMPracticeNet is in "work in progress" state. Reference is made to the results of a pilot study and to further planned research including a randomized controlled trial. Conclusions The collaboration of government, health care providers, EBM partners, and vendors of EHRs is unique. The potential value of the project is great. The link between all the EHRs from different vendors and a national database held on a single platform that is controlled by all EBM organizations in Belgium

  13. 75 FR 25294 - Notice Pursuant to the National Cooperative Research and Production Act of 1993-High Definition...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-07

    ... DEPARTMENT OF JUSTICE Antitrust Division Notice Pursuant to the National Cooperative Research and Production Act of 1993--High Definition Metrology and Process-2 Micron Manufacturing Under ATP Award No... Metrology and Process-2 Micron Manufacturing under ATP Award No. 70NANB7H7041 has filed written...

  14. Influence of the air’s refractive index on precision angle metrology with autocollimators

    NASA Astrophysics Data System (ADS)

    Geckeler, Ralf D.; Křen, Petr; Just, Andreas; Schumann, Matthias; Krause, Michael

    2018-07-01

    In this paper, we discuss a substantial—though previously neglected—error source in precision metrology with autocollimators, specifically, changes in the air’s refractive index, with a focus on the dominant impact of pressure changes. Pressure decreases with increasing elevation above sea level and is subject to substantial variation due to weather changes. It causes changes in an autocollimator’s angle response which are proportional to the measured angle and which increase linearly with the beam length and air pressure. We characterise this important influence in detail by using extended theoretical and experimental investigations and derive strategies for correcting it. We discuss its implications for the comparison of autocollimator calibrations performed at different metrology institutes which is crucial for validating their calibration capabilities. This work aims at approaching fundamental limits in angle metrology with autocollimators.

  15. The Austrian absolute gravity base net: 27 years of spatial and temporal acquisition of gravity data

    NASA Astrophysics Data System (ADS)

    Ullrich, Christian; Ruess, Diethard

    2014-05-01

    Since 1987 the BEV (Federal Office of Metrology and Surveying) has been operating the absolute gravimeters JILAg-6 and FG5 which are used for basic measurements to determine or review fundamental gravity stations in Austria and abroad. Overall more than 70 absolute gravity stations were installed in Austria and neighbouring countries and some of them have been regularly monitored. A few stations are part of international projects like ECGN (European Combined Geodetic network) and UNIGRACE (Unification of Gravity System in Central and Eastern Europe). As a national metrology institute (NMI) the Metrology Service of the BEV maintains the national standards for the realisation of the legal units of measurement and ensures their international equivalence and recognition. Thus the BEV maintains the national standard for gravimetry in Austria, which is validated and confirmed by international comparisons. Since 1989 the Austrian absolute gravimeters participated seven times in the ICAG's (International Comparison of Absolute Gravimeters) at the BIPM in Paris and Luxemburg and as well participated three times at the ECAG (European Comparison of Absolute Gravimeters) in Luxemburg. The results of these ICAG's and especially the performance of the Austrian absolute gravimeter are reported in this presentation. We also present some examples and interpretation of long time monitoring stations of absolute gravity in several Austrian locations. Some stations are located in large cities like Vienna and Graz and some others are situated in mountainous regions. Mountain stations are at the Conrad Observatory where a SG (Superconducting Gravimeter) is permanently monitoring and in Obergurgl (Tyrolia) at an elevation of approx. 2000 m which is very strong influenced from the glacier retreat.

  16. Optical truss and retroreflector modeling for picometer laser metrology

    NASA Astrophysics Data System (ADS)

    Hines, Braden E.

    1993-09-01

    Space-based astrometric interferometer concepts typically have a requirement for the measurement of the internal dimensions of the instrument to accuracies in the picometer range. While this level of resolution has already been achieved for certain special types of laser gauges, techniques for picometer-level accuracy need to be developed to enable all the various kinds of laser gauges needed for space-based interferometers. Systematic errors due to retroreflector imperfections become important as soon as the retroreflector is allowed to either translate in position or articulate in angle away from its nominal zero-point. Also, when combining several laser interferometers to form a three-dimensional laser gauge (a laser optical truss), systematic errors due to imperfect knowledge of the truss geometry are important as the retroreflector translates away from its nominal zero-point. In order to assess the astrometric performance of a proposed instrument, it is necessary to determine how the effects of an imperfect laser metrology system impact the astrometric accuracy. This paper show the development of an error propagation model from errors in the 1-D metrology measurements through the impact on the overall astrometric accuracy for OSI. Simulations are then presented based on this development which were used to define a multiplier which determines the 1-D metrology accuracy required to produce a given amount of fringe position error.

  17. Nonlinear Quantum Metrology of Many-Body Open Systems

    NASA Astrophysics Data System (ADS)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  18. Measuring optical phase digitally in coherent metrology systems

    NASA Astrophysics Data System (ADS)

    Kelly, Damien P.; Ryle, James; Zhao, Liang; Sheridan, John T.

    2017-05-01

    The accurate measurement of optical phase has many applications in metrology. For biological samples, which appear transparent, the phase data provides information about the refractive index of the sample. In speckle metrology, the phase can be used to estimate stress and strains of a rough surface with high sensitivity. In this theoretical manuscript we compare and contrast the properties of two techniques for estimating the phase distribution of a wave field under the paraxial approximation: (I) A digital holographic system, and (II) An idealized phase retrieval system. Both systems use a CCD or CMOS array to measure the intensities of the wave fields that are reflected from or transmitted through the sample of interest. This introduces a numerical aspect to the problem. For the two systems above we examine how numerical calculations can limit the performance of these systems leading to a near-infinite number of possible solutions.

  19. Importance of education and competence maintenance in metrology field (measurement science)

    NASA Astrophysics Data System (ADS)

    Dobiliene, J.; Meskuotiene, A.

    2015-02-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers.

  20. In-line height profiling metrology sensor for zero defect production control

    NASA Astrophysics Data System (ADS)

    Snel, Rob; Winters, Jasper; Liebig, Thomas; Jonker, Wouter

    2017-06-01

    Contemporary production systems of mechanical precision parts show challenges as increased complexity, tolerances shrinking to sub-microns and yield losses that must be mastered to the extreme. More advanced automation and process control is required to accomplish this task. Often a solution based on feedforward/feedback control is chosen requiring innovative and more advanced in line metrology. This article concentrates first on the context of in line metrology for process control and then on the development of a specific in line height profiling sensor. The novel sensor technology is based on full field time domain white light interferometry which is well know from the quality lab. The novel metrology system is to be mounted close to the production equipment, as required to minimize time delay in the control loop, and is thereby fully exposed to vibrations. This sensor is innovated to perform in line with an orders of magnitude faster throughput than laboratory instruments; it's robust to withstand the rigors of workshops and has a height resolution that is in the nanometer range.

  1. Costs and effects of the Tanzanian national voucher scheme for insecticide-treated nets

    PubMed Central

    Mulligan, Jo-Ann; Yukich, Joshua; Hanson, Kara

    2008-01-01

    Background The cost-effectiveness of insecticide-treated nets (ITNs) in reducing morbidity and mortality is well established. International focus has now moved on to how best to scale up coverage and what financing mechanisms might be used to achieve this. The approach in Tanzania has been to deliver a targeted subsidy for those most vulnerable to the effects of malaria while at the same time providing support to the development of the commercial ITN distribution system. In October 2004, with funds from the Global Fund to Fight AIDS Tuberculosis and Malaria, the government launched the Tanzania National Voucher Scheme (TNVS), a nationwide discounted voucher scheme for ITNs for pregnant women and their infants. This paper analyses the costs and effects of the scheme and compares it with other approaches to distribution. Methods Economic costs were estimated using the ingredients approach whereby all resources required in the delivery of the intervention (including the user contribution) are quantified and valued. Effects were measured in terms of number of vouchers used (and therefore nets delivered) and treated nets years. Estimates were also made for the cost per malaria case and death averted. Results and Conclusion The total financial cost of the programme represents around 5% of the Ministry of Health's total budget. The average economic cost of delivering an ITN using the voucher scheme, including the user contribution, was $7.57. The cost-effectiveness results are within the benchmarks set by other malaria prevention studies. The Government of Tanzania's approach to scaling up ITNs uses both the public and private sectors in order to achieve and sustain the level of coverage required to meet the Abuja targets. The results presented here suggest that the TNVS is a cost-effective strategy for delivering subsidized ITNs to targeted vulnerable groups. PMID:18279509

  2. Clean focus, dose and CD metrology for CD uniformity improvement

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  3. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    NASA Astrophysics Data System (ADS)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  4. NASA Net Zero Energy Buildings Roadmap

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pless, S.; Scheib, J.; Torcellini, P.

    In preparation for the time-phased net zero energy requirement for new federal buildings starting in 2020, set forth in Executive Order 13514, NASA requested that the National Renewable Energy Laboratory (NREL) to develop a roadmap for NASA's compliance. NASA detailed a Statement of Work that requested information on strategic, organizational, and tactical aspects of net zero energy buildings. In response, this document presents a high-level approach to net zero energy planning, design, construction, and operations, based on NREL's first-hand experience procuring net zero energy construction, and based on NREL and other industry research on net zero energy feasibility. The strategicmore » approach to net zero energy starts with an interpretation of the executive order language relating to net zero energy. Specifically, this roadmap defines a net zero energy acquisition process as one that sets an aggressive energy use intensity goal for the building in project planning, meets the reduced demand goal through energy efficiency strategies and technologies, then adds renewable energy in a prioritized manner, using building-associated, emission- free sources first, to offset the annual energy use required at the building; the net zero energy process extends through the life of the building, requiring a balance of energy use and production in each calendar year.« less

  5. Net Resource Assessment (NetRA): A Collaborative Effort Between USGS Science and Decisions Center, the Science Impact Laboratory for Policy and Economics (University of New Mexico) and Sandia National Laboratory

    NASA Astrophysics Data System (ADS)

    Brookshire, D.; Bernknopf, R.; Adhikari, D. R.; Babis, C.; Broadbent, C. D.; Tidwell, V. C.

    2015-12-01

    Department of Interior Secretarial Order No. 3330, "… establishes a Department-wide mitigation strategy that will ensure consistency and efficiency in the review and permitting of infrastructure development projects and in conserving our Nation's valuable natural and cultural resources." The USGS Organic Act authorizes resource assessments to estimate the in-place potential capacity of energy, mineral, hydrologic, and biologic resources (20 Stat. 394; 43 U.S.C. 31) and later amendments. These two statements form the basis for the development of the Net Resources Assessment (NetRA) framework. NetRA is a policy-relevant, interdisciplinary approach to assessing natural resources availability in examining the regional-scale interrelationships between energy or mineral extraction and impact on ecosystem services. The systems dynamics approach (SD) emphasizes the interdependence of natural resource development and its effect on collocated ecosystem services over space and time. The example of the NetRA that will be presented focuses on tradeoffs associated with land management decisions in the West. The Piceance Basin, CO example that will be discussed involves development of a continuous gas deposit and its impact on Mule Deer and water quality. The SD is the hub for generating a range of simulated landscape outcomes. The probabilistic model provides an economic indicator as to the expected net societal benefit of economic development and biophysical indicators for ecosystem services affected in the region. Both natural and economic indicators are associated with each outcome via a tradeoff analysis the can be used for risk analysis. The NetRA also retains map attributes for before and after map comparisons to specific alternatives for an existing baseline. The model has three stages: map-based scenario development with slider bars (choice variables), side-by-side extraction and ecosystem services sub-models, and integrated multiple resource trade-off outcomes.

  6. Self-Mixing Thin-Slice Solid-State Laser Metrology

    PubMed Central

    Otsuka, Kenju

    2011-01-01

    This paper reviews the dynamic effect of thin-slice solid-state lasers subjected to frequency-shifted optical feedback, which led to the discovery of the self-mixing modulation effect, and its applications to quantum-noise-limited versatile laser metrology systems with extreme optical sensitivity. PMID:22319406

  7. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    NASA Astrophysics Data System (ADS)

    Rolle, F.; Pessana, E.; Sega, M.

    2017-05-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO2), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO2 in the atmosphere. The well established activity to assure the metrological traceability of CO2 in the atmosphere will be applied to the determination of CO2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use.

  8. Relativistic Quantum Metrology: Exploiting relativity to improve quantum measurement technologies

    PubMed Central

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-01-01

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects. PMID:24851858

  9. The elusive Heisenberg limit in quantum-enhanced metrology

    PubMed Central

    Demkowicz-Dobrzański, Rafał; Kołodyński, Jan; Guţă, Mădălin

    2012-01-01

    Quantum precision enhancement is of fundamental importance for the development of advanced metrological optical experiments, such as gravitational wave detection and frequency calibration with atomic clocks. Precision in these experiments is strongly limited by the 1/√N shot noise factor with N being the number of probes (photons, atoms) employed in the experiment. Quantum theory provides tools to overcome the bound by using entangled probes. In an idealized scenario this gives rise to the Heisenberg scaling of precision 1/N. Here we show that when decoherence is taken into account, the maximal possible quantum enhancement in the asymptotic limit of infinite N amounts generically to a constant factor rather than quadratic improvement. We provide efficient and intuitive tools for deriving the bounds based on the geometry of quantum channels and semi-definite programming. We apply these tools to derive bounds for models of decoherence relevant for metrological applications including: depolarization, dephasing, spontaneous emission and photon loss. PMID:22990859

  10. Holding up the oral health safety net: the role of National Health Service Corps alumni dentists in North Carolina.

    PubMed

    Bhatavadekar, Neel B; Rozier, R Gary; Konrad, Thomas R

    2011-06-01

      Access to oral health care among low income populations is a growing problem. The National Health Service Corps (NHSC) might increase the supply of dentists motivated to provide services for this population.   To determine if North Carolina dentists who began a service obligation with the NHSC in 1990-1999 continued to provide care for underserved populations and if they differ from non-NHSC alumni primary care dentists who started practice in the state during that same period.   All 19 NHSC alumni and 50 comparison dentists were surveyed by mail. NHSC alumni also responded to selected items in a telephone follow-up interview. The two groups were compared using difference of means tests and multivariate contingency tables.   National Health Service Corps alumni were more likely to be African-American (38%vs. 10%), work in safety net practices (84%vs. 23%), and see more publicly insured patients (60%vs. 19%) than comparison dentists. Yet their job satisfaction was comparable to non-NHSC alumni dentists. Analyses suggested that current practice in safety net settings is affected by dentists' race, altruistic motivations and previous NHSC participation. CONCLUSION AND POLICY IMPLICATION:  Targeted recruitment of African-American dentists and others wanting to work in underserved communities could amplify the effectiveness of the financial incentive of NHSC loan repayment and induce dentists to remain in 'safety net' settings. © 2011 FDI World Dental Federation.

  11. Sub-Planck structures and Quantum Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Panigrahi, Prasanta K.; Kumar, Abhijeet; Roy, Utpal

    The significance of sub-Planck structures in relation to quantum metrology is explored, in close contact with experimental setups. It is shown that an entangled cat state can enhance the accuracy of parameter estimations. The possibility of generating this state, in dissipative systems has also been demonstrated. Thereafter, the quantum Cramer-Rao bound for phase estimation through a pair coherent state is calculated, which achieves the maximum possible resolution in an interferometer.

  12. Optical Metrology for the Segmented Optics on the Constellation-X Spectroscopy X-Ray Telescope

    NASA Technical Reports Server (NTRS)

    Content, David; Colella, David; Fleetwood, Charles; Hadjimichael, Theo; Lehan, John; McMann, Joseph; Reid, Paul; Saha, Timo; Wright, Geraldine; Zhang, William

    2004-01-01

    We present the metrology requirements and metrology implementation necessary to prove out the reflector technology for the Constellation X(C-X) spectroscopy X-ray telescope (SXT). This segmented, 1.6m diameter highly nested Wolter-1 telescope presents many metrology and alignment challenges. In particular, these mirrors have a stringent imaging error budget as compared to their intrinsic stiffness; This is required for Constellation-X to have sufficient effective area with the weight requirement. This has implications for the metrology that can be used. A variety of contract and noncontact optical profiling and interferometric methods are combined to test the formed glass substrates before replication and the replicated reflector segments.The reflectors are tested both stand-alone and in-situ in an alignment tower.Some of these methods have not been used on prior X-ray telescopes and some are feasible only because of the segmented approach used on the SXT. Methods discussed include high precision coordinate measurement machines using very low force or optical probe axial interferometric profiling azimuthal circularity profiling and use of advanced null optics such as conical computer generated hologram (CGHs).

  13. Signal processing for order 10 PM accuracy displacement metrology in real-world scientific applications

    NASA Astrophysics Data System (ADS)

    Halverson, Peter G.; Loya, Frank M.

    2017-11-01

    Projects such as the Space Interferometry Mission (SIM) [1] and Terrestrial Planet Finder (TPF) [2] rely heavily on sub-nanometer accuracy metrology systems to define their optical paths and geometries. The James Web Space Telescope (JWST) is using this metrology in a cryogenic dilatometer for characterizing material properties (thermal expansion, creep) of optical materials. For all these projects, a key issue has been the reliability and stability of the electronics that convert displacement metrology signals into real-time distance determinations. A particular concern is the behavior of the electronics in situations where laser heterodyne signals are weak or noisy and subject to abrupt Doppler shifts due to vibrations or the slewing of motorized optics. A second concern is the long-term (hours to days) stability of the distance measurements under conditions of drifting laser power and ambient temperature. This paper describes heterodyne displacement metrology gauge signal processing methods that achieve satisfactory robustness against low signal strength and spurious signals, and good long-term stability. We have a proven displacement-measuring approach that is useful not only to space-optical projects at JPL, but also to the wider field of distance measurements.

  14. Efficacy of PermaNet® 3.0 and PermaNet® 2.0 nets against laboratory-reared and wild Anopheles gambiae sensu lato populations in northern Tanzania.

    PubMed

    Kweka, Eliningaya J; Lyaruu, Lucile J; Mahande, Aneth M

    2017-01-18

    Mosquitoes have developed resistance against pyrethroids, the only class of insecticides approved for use on long-lasting insecticidal nets (LLINs). The present study sought to evaluate the efficacy of the pyrethroid synergist PermaNet® 3.0 LLIN versus the pyrethroid-only PermaNet® 2.0 LLIN, in an East African hut design in Lower Moshi, northern Tanzania. In this setting, resistance to pyrethroid insecticides has been identified in Anopheles gambiae mosquitoes. Standard World Health Organization bioefficacy evaluations were conducted in both laboratory and experimental huts. Experimental hut evaluations were conducted in an area where there was presence of a population of highly pyrethroid-resistant An. arabiensis mosquitoes. All nets used were subjected to cone bioassays and then to experimental hut trials. Mosquito mortality, blood-feeding inhibition and personal protection rate were compared between untreated nets, unwashed LLINs and LLINs that were washed 20 times. Both washed and unwashed PermaNet® 2.0 and PermaNet® 3.0 LLINs had knockdown and mortality rates of 100% against a susceptible strain of An. gambiae sensu stricto. The adjusted mortality rate of the wild mosquito population after use of the unwashed PermaNet® 3.0 and PermaNet® 2.0 nets was found to be higher than after use of the washed PermaNet® 2.0 and PermaNet® 3.0 nets. Given the increasing incidence of pyrethroid resistance in An. gambiae mosquitoes in Tanzania, we recommend that consideration is given to its distribution in areas with pyrethroid-resistant malaria vectors within the framework of a national insecticide-resistance management plan.

  15. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    NASA Astrophysics Data System (ADS)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  16. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    NASA Astrophysics Data System (ADS)

    Pendrill, L. R.; Fisher, William P., Jr.

    2013-09-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity.

  17. Single-Grating Talbot Imaging for Wavefront Sensing and X-Ray Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grizolli, Walan; Shi, Xianbo; Kolodziej, Tomasz

    2017-01-01

    Single-grating Talbot imaging relies on high-spatial-resolution detectors to perform accurate measurements of X-ray beam wavefronts. The wavefront can be retrieved with a single image, and a typical measurement and data analysis can be performed in few seconds. These qualities make it an ideal tool for synchrotron beamline diagnostics and in-situ metrology. The wavefront measurement can be used both to obtain a phase contrast image of an object and to characterize an X-ray beam. In this work, we explore the concept in two cases: at-wavelength metrology of 2D parabolic beryllium lenses and a wavefront sensor using a diamond crystal beam splitter.

  18. Characterization and metrology implications of the 1997 NTRS

    NASA Astrophysics Data System (ADS)

    Class, W.; Wortman, J. J.

    1998-11-01

    In the Front-end (transistor forming) area of silicon CMOS device processing, several NTRS difficult challenges have been identified including; scaled and alternate gate dielectric materials, new DRAM dielectric materials, alternate gate materials, elevated contact structures, engineered channels, and large-area cost-effective silicon substrates. This paper deals with some of the characterization and metrology challenges facing the industry if it is to meet the projected needs identified in the NTRS. In the areas of gate and DRAM dielectric, scaling requires that existing material layers be thinned to maximize capacitance. For the current gate dielectric, SiO2 and its nitrided derivatives, direct tunneling will limit scaling to approximately 1.5nm for logic applications before power losses become unacceptable. Low power logic and memory applications may limit scaling to the 2.0-2.2nm range. Beyond these limits, dielectric materials having higher dielectric constant, will permit continued capacitance increases while allowing for the use of thicker dielectric layers, where tunneling may be minimized. In the near term silicon nitride is a promising SiO2 substitute material while in the longer term "high-k" materials such as tantalum pentoxide and barium strontium titanate (BST) will be required. For these latter materials, it is likely that a multilayer dielectric stack will be needed, consisting of an ultra-thin (1-2 atom layer) interfacial SiO2 layer and a high-k overlayer. Silicon wafer surface preparation control, as well as the control of composition, crystal structure, and thickness for such stacks pose significant characterization and metrology challenges. In addition to the need for new gate dielectric materials, new gate materials will be required to overcome the limitations of the current doped polysilicon gate materials. Such a change has broad ramifications on device electrical performance and manufacturing process robustness which again implies a broad

  19. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    NASA Astrophysics Data System (ADS)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  20. Implementation and performance of the metrology system for the multi-object optical and near-infrared spectrograph MOONS

    NASA Astrophysics Data System (ADS)

    Drass, Holger; Vanzi, Leonardo; Torres-Torriti, Miguel; Dünner, Rolando; Shen, Tzu-Chiang; Belmar, Francisco; Dauvin, Lousie; Staig, Tomás.; Antognini, Jonathan; Flores, Mauricio; Luco, Yerko; Béchet, Clémentine; Boettger, David; Beard, Steven; Montgomery, David; Watson, Stephen; Cabral, Alexandre; Hayati, Mahmoud; Abreu, Manuel; Rees, Phil; Cirasuolo, Michele; Taylor, William; Fairley, Alasdair

    2016-08-01

    The Multi-Object Optical and Near-infrared Spectrograph (MOONS) will cover the Very Large Telescope's (VLT) field of view with 1000 fibres. The fibres will be mounted on fibre positioning units (FPU) implemented as two-DOF robot arms to ensure a homogeneous coverage of the 500 square arcmin field of view. To accurately and fast determine the position of the 1000 fibres a metrology system has been designed. This paper presents the hardware and software design and performance of the metrology system. The metrology system is based on the analysis of images taken by a circular array of 12 cameras located close to the VLTs derotator ring around the Nasmyth focus. The system includes 24 individually adjustable lamps. The fibre positions are measured through dedicated metrology targets mounted on top of the FPUs and fiducial markers connected to the FPU support plate which are imaged at the same time. A flexible pipeline based on VLT standards is used to process the images. The position accuracy was determined to 5 μm in the central region of the images. Including the outer regions the overall positioning accuracy is 25 μm. The MOONS metrology system is fully set up with a working prototype. The results in parts of the images are already excellent. By using upcoming hardware and improving the calibration it is expected to fulfil the accuracy requirement over the complete field of view for all metrology cameras.

  1. Mass distribution of free insecticide-treated nets do not interfere with continuous net distribution in Tanzania

    PubMed Central

    2014-01-01

    Background To protect the most vulnerable groups from malaria (pregnant women and infants) the Tanzanian Government introduced a subsidy (voucher) scheme in 2004, on the basis of a public-private partnership. These vouchers are provided to pregnant women at their first antenatal care visit and mothers of infants at first vaccination. The vouchers are redeemed at registered retailers for a long-lasting insecticidal net against the payment of a modest top-up price. The present work analysed a large body of data from the Tanzanian National Voucher Scheme, focusing on interactions with concurrent mass distribution campaigns of free nets. Methods In an ecologic study involving all regions of Tanzania, voucher redemption data for the period 2007 2011, as well as data on potential determinants of voucher redemption were analysed. The four outcome variables were: pregnant woman and infant voucher redemption rates, use of treated bed nets by all household members and by under- five children. Each of the outcomes was regressed with selected determinants, using a generalized estimating equation model and accounting for regional data clustering. Results There was a consistent improvement in voucher redemption rates over the selected time period, with rates >80% in 2011. The major determinants of redemption rates were the top-up price paid by the voucher beneficiary, the retailer- clinic ratio, and socio-economic status. Improved redemption rates after 2009 were most likely due to reduced top-up prices (following a change in policy). Redemption rates were not affected by two major free net distribution campaigns. During this period, there was a consistent improvement in net use across all the regions, with rates of up to 75% in 2011. Conclusion The key components of the National Treated Nets Programme (NATNETS) seem to work harmoniously, leading to a high level of net use in the entire population. This calls for the continuation of this effort in Tanzania and for emulation by

  2. Mass distribution of free insecticide-treated nets do not interfere with continuous net distribution in Tanzania.

    PubMed

    Eze, Ikenna C; Kramer, Karen; Msengwa, Amina; Mandike, Renata; Lengeler, Christian

    2014-05-27

    To protect the most vulnerable groups from malaria (pregnant women and infants) the Tanzanian Government introduced a subsidy (voucher) scheme in 2004, on the basis of a public-private partnership. These vouchers are provided to pregnant women at their first antenatal care visit and mothers of infants at first vaccination. The vouchers are redeemed at registered retailers for a long-lasting insecticidal net against the payment of a modest top-up price. The present work analysed a large body of data from the Tanzanian National Voucher Scheme, focusing on interactions with concurrent mass distribution campaigns of free nets. In an ecologic study involving all regions of Tanzania, voucher redemption data for the period 2007-2011, as well as data on potential determinants of voucher redemption were analysed. The four outcome variables were: pregnant woman and infant voucher redemption rates, use of treated bed nets by all household members and by under- five children. Each of the outcomes was regressed with selected determinants, using a generalized estimating equation model and accounting for regional data clustering. There was a consistent improvement in voucher redemption rates over the selected time period, with rates >80% in 2011. The major determinants of redemption rates were the top-up price paid by the voucher beneficiary, the retailer- clinic ratio, and socio-economic status. Improved redemption rates after 2009 were most likely due to reduced top-up prices (following a change in policy). Redemption rates were not affected by two major free net distribution campaigns. During this period, there was a consistent improvement in net use across all the regions, with rates of up to 75% in 2011. The key components of the National Treated Nets Programme (NATNETS) seem to work harmoniously, leading to a high level of net use in the entire population. This calls for the continuation of this effort in Tanzania and for emulation by other countries with endemic malaria.

  3. EPA NetDMR CROMERR System Checklist

    EPA Pesticide Factsheets

    The Network Disharge Monitoring Report (NetDMR) electronic reporting system is used for the receipt of discharge monitoring reports (DMRs) under the National Pollutant Discharge Elimination System (NPDES) program,

  4. Shuttle Net, Tuna Net

    NASA Technical Reports Server (NTRS)

    1983-01-01

    Rockwell International, NASA's prime contractor for the Space Shuttle, asked West Coast Netting (WCN) to develop a safety net for personnel working on the Shuttle Orbiter. This could not be an ordinary net, it had to be relatively small, yet have extraordinary tensile strength. It also had to be fire resistant and resistant to ultraviolet (UV) light. After six months, WCN found the requisite fiber, a polyester-like material called NOMEX. The company was forced to invent a more sophisticated twisting process since conventional methods did not approach specified breaking strength. The resulting product, the Hyperester net, sinks faster and fishes deeper, making it attractive to fishing fleets. A patented treatment for UV protection and greater abrasion resistance make Hyperester nets last longer, and the no-shrink feature is an economic bonus.

  5. Development of at-wavelength metrology for x-ray optics at the ALS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Yuan, Sheng

    2010-07-09

    The comprehensive realization of the exciting advantages of new third- and forth-generation synchrotron radiation light sources requires concomitant development of reflecting and diffractive x-ray optics capable of micro- and nano-focusing, brightness preservation, and super high resolution. The fabrication, tuning, and alignment of the optics are impossible without adequate metrology instrumentation, methods, and techniques. While the accuracy of ex situ optical metrology at the Advanced Light Source (ALS) has reached a state-of-the-art level, wavefront control on beamlines is often limited by environmental and systematic alignment factors, and inadequate in situ feedback. At ALS beamline 5.3.1, we are developing broadly applicable, high-accuracy,more » in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad slope measurement accuracy for Kirkpatrick-Baez (KB) mirrors. The at-wavelength methodology we are developing relies on a series of tests with increasing accuracy and sensitivity. Geometric Hartmann tests, performed with a scanning illuminated sub-aperture determine the wavefront slope across the full mirror aperture. Shearing interferometry techniques use coherent illumination and provide higher sensitivity wavefront measurements. Combining these techniques with high precision optical metrology and experimental methods will enable us to provide in situ setting and alignment of bendable x-ray optics to realize diffraction-limited, sub 50 nm focusing at beamlines. We describe here details of the metrology beamline endstation, the x-ray beam diagnostic system, and original experimental techniques that have already allowed us to precisely set a bendable KB mirror to achieve a focused spot size of 150 nm.« less

  6. An image-processing software package: UU and Fig for optical metrology applications

    NASA Astrophysics Data System (ADS)

    Chen, Lujie

    2013-06-01

    Modern optical metrology applications are largely supported by computational methods, such as phase shifting [1], Fourier Transform [2], digital image correlation [3], camera calibration [4], etc, in which image processing is a critical and indispensable component. While it is not too difficult to obtain a wide variety of image-processing programs from the internet; few are catered for the relatively special area of optical metrology. This paper introduces an image-processing software package: UU (data processing) and Fig (data rendering) that incorporates many useful functions to process optical metrological data. The cross-platform programs UU and Fig are developed based on wxWidgets. At the time of writing, it has been tested on Windows, Linux and Mac OS. The userinterface is designed to offer precise control of the underline processing procedures in a scientific manner. The data input/output mechanism is designed to accommodate diverse file formats and to facilitate the interaction with other independent programs. In terms of robustness, although the software was initially developed for personal use, it is comparably stable and accurate to most of the commercial software of similar nature. In addition to functions for optical metrology, the software package has a rich collection of useful tools in the following areas: real-time image streaming from USB and GigE cameras, computational geometry, computer vision, fitting of data, 3D image processing, vector image processing, precision device control (rotary stage, PZT stage, etc), point cloud to surface reconstruction, volume rendering, batch processing, etc. The software package is currently used in a number of universities for teaching and research.

  7. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    PubMed

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (<100 nW) of the radiation emitted from the quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  8. High-intensity therapeutic ultrasound: metrological requirements versus clinical usage

    NASA Astrophysics Data System (ADS)

    Aubry, J.-F.

    2012-10-01

    High-intensity therapeutic ultrasound (HITU) is an appealing non-invasive, non-ionizing therapeutic modality with a wide range of tissue interactions ranging from transient permeabilization of cell membranes to thermal ablation. The ability to guide and monitor the treatment with an associated ultrasonic or magnetic resonance imaging device has resulted in a dramatic rise in the clinical use of therapeutic ultrasound in the past two decades. Nevertheless, the range of clinical applications and the number of patients treated has grown at a much higher pace than the definition of standards. In this paper the metrological requirements of the therapeutic beams are reviewed and are compared with the current clinical use of image-guided HITU mostly based on a practical approach. Liver therapy, a particularly challenging clinical application, is discussed to highlight the differences between some complex clinical situations and the experimental conditions of the metrological characterization of ultrasonic transducers.

  9. Quantum Metrology Assisted by Abstention

    NASA Astrophysics Data System (ADS)

    Gendra, B.; Ronco-Bonvehi, E.; Calsamiglia, J.; Muñoz-Tapia, R.; Bagan, E.

    2013-03-01

    The main goal of quantum metrology is to obtain accurate values of physical parameters using quantum probes. In this context, we show that abstention, i.e., the possibility of getting an inconclusive answer at readout, can drastically improve the measurement precision and even lead to a change in its asymptotic behavior, from the shot-noise to the Heisenberg scaling. We focus on phase estimation and quantify the required amount of abstention for a given precision. We also develop analytical tools to obtain the asymptotic behavior of the precision and required rate of abstention for arbitrary pure states.

  10. Helminth.net: expansions to Nematode.net and an introduction to Trematode.net

    PubMed Central

    Martin, John; Rosa, Bruce A.; Ozersky, Philip; Hallsworth-Pepin, Kymberlie; Zhang, Xu; Bhonagiri-Palsikar, Veena; Tyagi, Rahul; Wang, Qi; Choi, Young-Jun; Gao, Xin; McNulty, Samantha N.; Brindley, Paul J.; Mitreva, Makedonka

    2015-01-01

    Helminth.net (http://www.helminth.net) is the new moniker for a collection of databases: Nematode.net and Trematode.net. Within this collection we provide services and resources for parasitic roundworms (nematodes) and flatworms (trematodes), collectively known as helminths. For over a decade we have provided resources for studying nematodes via our veteran site Nematode.net (http://nematode.net). In this article, (i) we provide an update on the expansions of Nematode.net that hosts omics data from 84 species and provides advanced search tools to the broad scientific community so that data can be mined in a useful and user-friendly manner and (ii) we introduce Trematode.net, a site dedicated to the dissemination of data from flukes, flatworm parasites of the class Trematoda, phylum Platyhelminthes. Trematode.net is an independent component of Helminth.net and currently hosts data from 16 species, with information ranging from genomic, functional genomic data, enzymatic pathway utilization to microbiome changes associated with helminth infections. The databases’ interface, with a sophisticated query engine as a backbone, is intended to allow users to search for multi-factorial combinations of species’ omics properties. This report describes updates to Nematode.net since its last description in NAR, 2012, and also introduces and presents its new sibling site, Trematode.net. PMID:25392426

  11. GPU accelerated Monte-Carlo simulation of SEM images for metrology

    NASA Astrophysics Data System (ADS)

    Verduin, T.; Lokhorst, S. R.; Hagen, C. W.

    2016-03-01

    In this work we address the computation times of numerical studies in dimensional metrology. In particular, full Monte-Carlo simulation programs for scanning electron microscopy (SEM) image acquisition are known to be notoriously slow. Our quest in reducing the computation time of SEM image simulation has led us to investigate the use of graphics processing units (GPUs) for metrology. We have succeeded in creating a full Monte-Carlo simulation program for SEM images, which runs entirely on a GPU. The physical scattering models of this GPU simulator are identical to a previous CPU-based simulator, which includes the dielectric function model for inelastic scattering and also refinements for low-voltage SEM applications. As a case study for the performance, we considered the simulated exposure of a complex feature: an isolated silicon line with rough sidewalls located on a at silicon substrate. The surface of the rough feature is decomposed into 408 012 triangles. We have used an exposure dose of 6 mC/cm2, which corresponds to 6 553 600 primary electrons on average (Poisson distributed). We repeat the simulation for various primary electron energies, 300 eV, 500 eV, 800 eV, 1 keV, 3 keV and 5 keV. At first we run the simulation on a GeForce GTX480 from NVIDIA. The very same simulation is duplicated on our CPU-based program, for which we have used an Intel Xeon X5650. Apart from statistics in the simulation, no difference is found between the CPU and GPU simulated results. The GTX480 generates the images (depending on the primary electron energy) 350 to 425 times faster than a single threaded Intel X5650 CPU. Although this is a tremendous speedup, we actually have not reached the maximum throughput because of the limited amount of available memory on the GTX480. Nevertheless, the speedup enables the fast acquisition of simulated SEM images for metrology. We now have the potential to investigate case studies in CD-SEM metrology, which otherwise would take unreasonable

  12. Sub-microradian Surface Slope Metrology with the ALS Developmental Long Trace Profiler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V; Barber, Samuel; Domning, Edward E.

    2009-09-11

    A new low budget slope measuring instrument, the Developmental Long Trace Profiler (DLTP), was recently brought to operation at the ALS Optical Metrology Laboratory. The design, instrumental control and data acquisition system, initial alignment and calibration procedures, as well as the developed experimental precautions and procedures are described in detail. The capability of the DLTP to achieve sub-microradian surface slope metrology is verified via cross-comparison measurements with other high performance slope measuring instruments when measuring the same high quality test optics. The directions of future work to develop a surface slope measuring profiler with nano-radian performance are also discussed.

  13. Improving automated 3D reconstruction methods via vision metrology

    NASA Astrophysics Data System (ADS)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  14. Metrology of human-based and other qualitative measurements

    NASA Astrophysics Data System (ADS)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  15. [On the way to national reference system of laboratory medicine].

    PubMed

    Muravskaia, N P; Men'shikov, V V

    2014-10-01

    The application of standard samples and reference techniques of implementation of measurements is needed for a valid support of reliability of analyses applied in clinical diagnostic laboratories. They play role of landmarks under metrologic monitoring, calibration of devices and control of quality of results. The article presents analysis of shortcomings interfering with formation of national reference system in Russia harmonized with possibilities provided by international organizations. Among them are the joint Committee on metrologic monitoring in laboratory medicine under the auspices of the International Bureau of Weights and Measures, the International Federation of clinical chemistry and laboratory medicine, etc. The results of the recent development of national normative documents, standard samples and techniques assisted by the authors of article are considered. They are the first steps to organization of national reference system which would comprise all range of modern analytical technologies of laboratory medicine. The national and international measures are proposed to enhance the promptest resolving of task of organization of national reference system for laboratory medicine in the interests of increasing of effectiveness of medical care to citizen of Russia.

  16. Information systems as a tool to improve legal metrology activities

    NASA Astrophysics Data System (ADS)

    Rodrigues Filho, B. A.; Soratto, A. N. R.; Gonçalves, R. F.

    2016-07-01

    This study explores the importance of information systems applied to legal metrology as a tool to improve the control of measuring instruments used in trade. The information system implanted in Brazil has also helped to understand and appraise the control of the measurements due to the behavior of the errors and deviations of instruments used in trade, allowing the allocation of resources wisely, leading to a more effective planning and control on the legal metrology field. A study case analyzing the fuel sector is carried out in order to show the conformity of fuel dispersers according to maximum permissible errors. The statistics of measurement errors of 167,310 fuel dispensers of gasoline, ethanol and diesel used in the field were analyzed demonstrating the accordance of the fuel market in Brazil to the legal requirements.

  17. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    NASA Astrophysics Data System (ADS)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  18. Diffraction-based overlay metrology for double patterning technologies

    NASA Astrophysics Data System (ADS)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  19. Measuring health-relevant businesses over 21 years: refining the National Establishment Time-Series (NETS), a dynamic longitudinal data set.

    PubMed

    Kaufman, Tanya K; Sheehan, Daniel M; Rundle, Andrew; Neckerman, Kathryn M; Bader, Michael D M; Jack, Darby; Lovasi, Gina S

    2015-09-29

    The densities of food retailers, alcohol outlets, physical activity facilities, and medical facilities have been associated with diet, physical activity, and management of medical conditions. Most of the research, however, has relied on cross-sectional studies. In this paper, we assess methodological issues raised by a data source that is increasingly used to characterize change in the local business environment: the National Establishment Time Series (NETS) dataset. Longitudinal data, such as NETS, offer opportunities to assess how differential access to resources impacts population health, to consider correlations among multiple environmental influences across the life course, and to gain a better understanding of their interactions and cumulative health effects. Longitudinal data also introduce new data management, geoprocessing, and business categorization challenges. Examining geocoding accuracy and categorization over 21 years of data in 23 counties surrounding New York City (NY, USA), we find that health-related business environments change considerably over time. We note that re-geocoding data may improve spatial precision, particularly in early years. Our intent with this paper is to make future public health applications of NETS data more efficient, since the size and complexity of the data can be difficult to exploit fully within its 2-year data-licensing period. Further, standardized approaches to NETS and other "big data" will facilitate the veracity and comparability of results across studies.

  20. Technique for the metrology calibration of a Fourier transform spectrometer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spencer, Locke D.; Naylor, David A

    2008-11-10

    A method is presented for using a Fourier transform spectrometer (FTS) to calibrate the metrology of a second FTS. This technique is particularly useful when the second FTS is inside a cryostat or otherwise inaccessible.

  1. Combined dry plasma etching and online metrology for manufacturing highly focusing x-ray mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berujon, S., E-mail: berujon@esrf.eu; Ziegler, E., E-mail: ziegler@esrf.eu; Cunha, S. da

    A new figuring station was designed and installed at the ESRF beamline BM05. It allows the figuring of mirrors within an iterative process combining the advantage of online metrology with dry etching. The complete process takes place under a vacuum environment to minimize surface contamination while non-contact surfacing tools open up the possibility of performing at-wavelength metrology and eliminating placement errors. The aim is to produce mirrors whose slopes do not deviate from the stigmatic profile by more than 0.1 µrad rms while keeping surface roughness in the acceptable limit of 0.1-0.2 nm rms. The desired elliptical mirror surface shapemore » can be achieved in a few iterations in about a one day time span. This paper describes some of the important aspects of the process regarding both the online metrology and the etching process.« less

  2. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    NASA Astrophysics Data System (ADS)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  3. Half a century of light scatter metrology and counting

    NASA Astrophysics Data System (ADS)

    Stover, John C.

    2014-09-01

    Back in the early days Bill Wolf once said something like: "The guy with the lowest scatter measurement is closest to the right answer." He was often right then - but not anymore. Everything has changed. Today measurements are limited by Rayleigh scatter from the air - not the instrument. We have both written and physical standards and everybody spells BRDF the same way. In the time it takes to give this talk, over 100,000 silicon wafers will be inspected around the world using a few thousand scatterometers - average price about one million dollars each. The way the world illuminates everything from homes to football fields is changing with the advent of high brightness LED's and these lighting systems are designed using a combination of scatter metrology and analysis techniques - many of which were started at The Optical Sciences Center. This paper reviews two major highlights in half a century of scatter metrology progress.

  4. Development of the metrology and imaging of cellulose nanocrystals

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  5. Metrology System for a Large, Somewhat Flexible Telescope

    NASA Technical Reports Server (NTRS)

    Liebe, Carl Christian; Bartman, Randall; Cook, Walter; Craig, William

    2009-01-01

    A proposed metrology system would be incorporated into a proposed telescope that would include focusing optics on a rigid bench connected via a deployable mast to another rigid bench holding a focal-plane array of photon counting photodetectors. Deformations of the deployable mast would give rise to optical misalignments that would alter the directions (and, hence, locations) of incidence of photons on the focal plane. The metrology system would measure the relative displacement of the focusing- optics bench and the focal-plane array bench. The measurement data would be used in post-processing of the digitized photodetector outputs to compensate for the mast-deformation-induced changes in the locations of incidence of photons on the focal plane, thereby making it possible to determine the original directions of incidence of photons with greater accuracy. The proposed metrology system is designed specifically for the Nuclear Spectroscopic Telescope Array (NuSTAR) a proposed spaceborne x-ray telescope. The basic principles of design and operation are also applicable to other large, somewhat flexible telescopes, both terrestrial and spaceborne. In the NuSTAR, the structural member connecting the optical bench and the photodetector array would be a 10-m-long deployable mast, and there is a requirement to keep errors in measured directions of incidence of photons below 10 arc seconds (3 sigma). The proposed system would include three diode lasers that would be mounted on the focusing-optics bench. For clarity, only one laser is shown in the figure, which is a greatly simplified schematic diagram of the system. Each laser would be aimed at a position-sensitive photodiode that would be mounted on the detector bench alongside the aforementioned telescope photodetector array. The diode lasers would operate at a wavelength of 830 nm, each at a power of 200 mW. Each laser beam would be focused to a spot of .1-mm diameter on the corresponding position-sensitive photodiode. To

  6. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    NASA Astrophysics Data System (ADS)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of <100 nrad (root-mean-square) and height error of <1-2 nm (peak-tovalley). These are for optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed

  7. The Value OF USDA VetNet to Monitor Salmonella Isolates over time

    USDA-ARS?s Scientific Manuscript database

    BACKGROUND: USDA VetNet commenced in March 2004 with the following objectives: determine PFGE patterns of Salmonella isolates submitted to the National Antimicrobial Resistance Monitoring System (NARMS), compare USDA VetNet and CDC PulseNet PFGE patterns, and use the comparative data for surveillanc...

  8. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    NASA Astrophysics Data System (ADS)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  9. 12 CFR 702.101 - Measures and effective date of net worth classification.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... classification. 702.101 Section 702.101 Banks and Banking NATIONAL CREDIT UNION ADMINISTRATION REGULATIONS AFFECTING CREDIT UNIONS PROMPT CORRECTIVE ACTION Net Worth Classification § 702.101 Measures and effective date of net worth classification. (a) Net worth measures. For purposes of this part, a credit union...

  10. 12 CFR 702.101 - Measures and effective date of net worth classification.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... classification. 702.101 Section 702.101 Banks and Banking NATIONAL CREDIT UNION ADMINISTRATION REGULATIONS AFFECTING CREDIT UNIONS PROMPT CORRECTIVE ACTION Net Worth Classification § 702.101 Measures and effective date of net worth classification. (a) Net worth measures. For purposes of this part, a credit union...

  11. Metrology in health: a pilot study

    NASA Astrophysics Data System (ADS)

    Ferreira, M.; Matos, A.

    2015-02-01

    The purpose of this paper is to identify and analyze some relevant issues which arise when the concept of metrological traceability is applied to health care facilities. Discussion is structured around the results that were obtained through a characterization and comparative description of the practices applied in 45 different Portuguese health entities. Following a qualitative exploratory approach, the information collected was the support for the initial research hypotheses and the development of the questionnaire survey. It was also applied a quantitative methodology that included a descriptive and inferential statistical analysis of the experimental data set.

  12. CAVE: the design of a precision metrology instrument for studying performance of KDP crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hibbard, R.L., LLNL

    1998-03-30

    A device has been developed to measure the frequency conversion performance of large aperture potassium dihydrogen phosphate (KDP) crystals. Third harmonic generation using ICDP is critical to the function of the National Ignition Facility (NIF) laser. The crystals in the converter can be angularly or thermally tuned but are subject to larger aperture inhomogeneities that are functions of growth manufacturing and - mounting. The CAVE (Crystal Alignment Verification Equipment) instrument scans the crystals in a thermally and mechanically controlled environment to determine the local peak tuning angles. The CAVE can then estimate the optimum tuning angle and conversion efficiency overmore » the entire aperture. Coupled with other metrology techniques, the CAVE will help determine which crystal life-cycle components most affect harmonic conversion.« less

  13. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    NASA Technical Reports Server (NTRS)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  14. Metrology for decommissioning nuclear facilities: Partial outcomes of joint research project within the European Metrology Research Program.

    PubMed

    Suran, Jiri; Kovar, Petr; Smoldasova, Jana; Solc, Jaroslav; Van Ammel, Raf; Garcia Miranda, Maria; Russell, Ben; Arnold, Dirk; Zapata-García, Daniel; Boden, Sven; Rogiers, Bart; Sand, Johan; Peräjärvi, Kari; Holm, Philip; Hay, Bruno; Failleau, Guillaume; Plumeri, Stephane; Laurent Beck, Yves; Grisa, Tomas

    2018-04-01

    Decommissioning of nuclear facilities incurs high costs regarding the accurate characterisation and correct disposal of the decommissioned materials. Therefore, there is a need for the implementation of new and traceable measurement technologies to select the appropriate release or disposal route of radioactive wastes. This paper addresses some of the innovative outcomes of the project "Metrology for Decommissioning Nuclear Facilities" related to mapping of contamination inside nuclear facilities, waste clearance measurement, Raman distributed temperature sensing for long term repository integrity monitoring and validation of radiochemical procedures. Copyright © 2017 Elsevier Ltd. All rights reserved.

  15. Advanced applications of scatterometry based optical metrology

    NASA Astrophysics Data System (ADS)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  16. The ClaudicatioNet concept: design of a national integrated care network providing active and healthy aging for patients with intermittent claudication.

    PubMed

    Lauret, Gert-Jan; Gijsbers, Harm J H; Hendriks, Erik J M; Bartelink, Marie-Louise; de Bie, Rob A; Teijink, Joep A W

    2012-01-01

    Intermittent claudication (IC) is a manifestation of peripheral arterial occlusive disease (PAOD). Besides cardiovascular risk management, supervised exercise therapy (SET) should be offered to all patients with IC. Outdated guidelines, an insufficient number of specialized physiotherapists (PTs), lack of awareness of the importance of SET by referring physicians, and misguided financial incentives all seriously impede the availability of a structured SET program in The Netherlands. By initiating regional care networks, ClaudicatioNet aims to improve the quality of care for patients with IC. Based on the chronic care model as a conceptual framework, these networks should enhance the access, continuity, and (cost) efficiency of the health care system. With the aid of a national database, health care professionals will be able to benchmark patient results while ClaudicatioNet will be able to monitor quality of care by way of functional and patient reported outcome measures. The success of ClaudicatioNet is dependent on several factors. Vascular surgeons, general practitioners and coordinating central caregivers will need to team up and work in close collaboration with specialized PTs. A substantial task in the upcoming years will be to monitor the quality, volume, and distribution of ClaudicatioNet PTs. Finally, misguided financial incentives within the Dutch health care system need to be tackled. With ClaudicatioNet, integrated care pathways are likely to improve in the upcoming years. This should result in the achievement of optimal quality of care for all patients with IC.

  17. Development of the metrology and imaging of cellulose nanocrystals

    Treesearch

    Michael T. Postek; Andras Vladar; John Dagata; Natalia Farkas; Bin Ming; Ryan Wagner; Arvind Raman; Robert J. Moon; Ronald Sabo; Theodore H. Wegner; James Beecher

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the...

  18. Metrology applied to ultrasound characterization of trabecular bones using the AIB parameter

    NASA Astrophysics Data System (ADS)

    Braz, D. S.; Silva, C. E.; Alvarenga, A. V.; Junior, D. S.; Costa-Félix, R. P. B.

    2016-07-01

    Apparent Integrated Backscattering (AIB) presents correlation between Apparent Backscatter Transfer Function and the transducer bandwidth. Replicas of trabecular bones (cubes of 20 mm side length) created by 3D printing technique were characterized using AIB with a 2.25 MHz center frequency transducer. A mechanical scanning system was used to acquire multiple backscatter signals. An uncertainty model in measurement was proposed based on the Guide to the Expression of Uncertainty in Measurement. Initial AIB results are not metrologically reliable, presenting high measurement uncertainties (sample: 5_0.2032/AIB: -15.1 dB ± 13.9 dB). It is noteworthy that the uncertainty model proposed contributes as unprecedented way for metrological assessment of trabecular bone characterization using AIB.

  19. Comparison of contact and non-contact asphere surface metrology devices

    NASA Astrophysics Data System (ADS)

    DeFisher, Scott; Fess, Edward M.

    2013-09-01

    Metrology of asphere surfaces is critical in the precision optics industry. Surface metrology serves as feedback into deterministic grinding and polishing platforms. Many different techniques and devices are used to qualify an asphere surface during fabrication. A contact profilometer is one of the most common measurement technologies used in asphere manufacturing. A profilometer uses a fine stylus to drag a diamond or ruby tip over the surface, resulting in a high resolution curved profile. Coordinate measuring machines (CMM) apply a similar concept by touching the optic with a ruby or silicon carbine sphere. A CMM is able to move in three dimensions while collecting data points along the asphere surface. Optical interferometers use a helium-neon laser with transmission spheres to compare a reflected wavefront from an asphere surface to a reference spherical wavefront. Large departure aspheres can be measured when a computer generated hologram (CGH) is introduced between the interferometer and the optic. OptiPro Systems has developed a non-contact CMM called UltraSurf. It utilizes a single point non-contact sensor, and high accuracy air bearings. Several different commercial non-contact sensors have been integrated, allowing for the flexibility to measure a variety of surfaces and materials. Metrology of a sphere and an asphere using a profilometer, CMM, Interferometer with a CGH, and the UltraSurf will be presented. Cross-correlation of the measured surface error magnitude and shape will be demonstrated. Comparisons between the techniques and devices will be also presented with attention to accuracy, repeatability, and overall measurement time.

  20. Impact of a mass media campaign on bed net use in Cameroon

    PubMed Central

    2013-01-01

    Background In 2011, Cameroon and its health partners distributed over eight million free long-lasting insecticide treated nets (LLINs) in an effort to reduce the significant morbidity and mortality burden of malaria in the country. A national communications campaign was launched in July 2011 to ensure that as the nets were delivered, they would be used consistently to close a net use gap: only 51.6% of adults and 63.4% of their children in households with at least one net were sleeping under nets before the distribution. Even in households with at least one net for every two people, over 35% of adults were not sleeping under a net. Malaria No More (MNM) adapted its signature NightWatch communications programme to fit within the coordinated “KO Palu” (Knock Out Malaria) national campaign. This study evaluates the impact of KO Palu NightWatch activities (that is, the subset of KO Palu-branded communications that were funded by MNM’s NightWatch program) on bed net use. Methods Using national survey data collected at baseline (in March/April 2011, before the national LLIN distribution and KO Palu NightWatch launch) and post-intervention (March/April 2012), this study evaluates the impact of exposure to KO Palu NightWatch activities on last-night net use by Cameroonian adults and their children under five. First, a plausible case for causality was established by comparing net use in 2011 and 2012 and measuring exposure to KO Palu NightWatch; next, a propensity score matching (PSM) model was used to estimate the impact of exposure on net use by simulating a randomized control trial; finally, the model was tested for sensitivity to unmeasured factors. Results The PSM model estimated that among Cameroonians with at least one net in their household, exposure to KO Palu NightWatch activities was associated with a 6.6 percentage point increase in last-night net use among respondents (65.7% vs 59.1%, p < 0.05) and a 12.0 percentage point increase in last-night net

  1. Guest Editorial Precision Surface Metrology

    NASA Astrophysics Data System (ADS)

    Wyant, James C.

    1984-08-01

    During the past two decades there have been many changes in precision surface metrology. The introduction of the laser and the large computer during the 1960s and 1970s produced many changes in testing capabilities and requirements. Several commercial interferometers became available in the 1970s, enabling people who were not necessarily experts in interferometry to use interferometers to produce better optics. Since both buyers and sellers could test optics, the quality of the optics manufactured and sold improved greatly. If a person ordered 1/10 wave optics, he would probably get 1/10 wave or better optics; if he got optics of lower quality, he would know it, and he could prove it and return it.

  2. On the evaluation of photogrammetric methods for dense 3D surface reconstruction in a metrological context

    NASA Astrophysics Data System (ADS)

    Toschi, I.; Capra, A.; De Luca, L.; Beraldin, J.-A.; Cournoyer, L.

    2014-05-01

    This paper discusses a methodology to evaluate the accuracy of recently developed image-based 3D modelling techniques. So far, the emergence of these novel methods has not been supported by the definition of an internationally recognized standard which is fundamental for user confidence and market growth. In order to provide an element of reflection and solution to the different communities involved in 3D imaging, a promising approach is presented in this paper for the assessment of both metric quality and limitations of an open-source suite of tools (Apero/MicMac), developed for the extraction of dense 3D point clouds from a set of unordered 2D images. The proposed procedural workflow is performed within a metrological context, through inter-comparisons with "reference" data acquired with two hemispherical laser scanners, one total station, and one laser tracker. The methodology is applied to two case studies, designed in order to analyse the software performances in dealing with both outdoor and environmentally controlled conditions, i.e. the main entrance of Cathédrale de la Major (Marseille, France) and a custom-made scene located at National Research Council of Canada 3D imaging Metrology Laboratory (Ottawa). Comparative data and accuracy evidence produced for both tests allow the study of some key factors affecting 3D model accuracy.

  3. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  4. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    NASA Astrophysics Data System (ADS)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  5. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  6. Investigations into mirror fabrication metrology analysis

    NASA Technical Reports Server (NTRS)

    Dimmock, John O.

    1994-01-01

    This final report describes the work performed under this delivery order from June 1993 through August 1994. The scope of work included three distinct tasks in support of the AXAF-I program. The objective of the first task was to perform investigations of the grinding and polishing characteristics of the zerodur material by fabricating several samples. The second task was to continue the development of the integrated optical performance modeling software for AXAF-I. The purpose of third and final task was to develop and update the database of AXAF technical documents for an easy and rapid access. The MSFC optical and metrology shops were relocated from the B-wing of Building 4487 to Room BC 144 of Building 4466 in the beginning of this contract. This included dismantling, packing, and moving the equipment from its old location, and then reassembling it at the new location. A total of 65 zerodur samples, measuring 1 inch x 2 inches x 6 inches were ground and polished to a surface figure of lambda/10 p-v, and a surface finish of 5A rms were fabricated for coating tests. A number of special purpose tools and metal mirrors were also fabricated to support various AXAF-I development activities. In the metrology area, the ZYGO Mark 4 interferometer was relocated and also upgraded with a faster and more powerful processor. Surface metrology work was also performed on the coating samples and other optics using ZYGO interferometer and WYKO profilometer. A number of new features have been added to the GRAZTRACE program to enhance its analysis and modeling capabilities. A number of new commands have been added to the command mode GRAZTRACE program to provide a better control to the user on the program execution and data manipulation. Some commands and parameter entries have been reorganized for a uniform format. The command mode version of the convolution program CONVOLVE has been developed. An on-line help system and a user's manual have also been developed for the benefit of

  7. Theory-based metrological traceability in education: A reading measurement network.

    PubMed

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  8. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    NASA Astrophysics Data System (ADS)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  9. The ClaudicatioNet concept: design of a national integrated care network providing active and healthy aging for patients with intermittent claudication

    PubMed Central

    Lauret, Gert-Jan; Gijsbers, Harm JH; Hendriks, Erik JM; Bartelink, Marie-Louise; de Bie, Rob A; Teijink, Joep AW

    2012-01-01

    Introduction: Intermittent claudication (IC) is a manifestation of peripheral arterial occlusive disease (PAOD). Besides cardiovascular risk management, supervised exercise therapy (SET) should be offered to all patients with IC. Outdated guidelines, an insufficient number of specialized physiotherapists (PTs), lack of awareness of the importance of SET by referring physicians, and misguided financial incentives all seriously impede the availability of a structured SET program in The Netherlands. Description of care practice: By initiating regional care networks, ClaudicatioNet aims to improve the quality of care for patients with IC. Based on the chronic care model as a conceptual framework, these networks should enhance the access, continuity, and (cost) efficiency of the health care system. With the aid of a national database, health care professionals will be able to benchmark patient results while ClaudicatioNet will be able to monitor quality of care by way of functional and patient reported outcome measures. Discussion: The success of ClaudicatioNet is dependent on several factors. Vascular surgeons, general practitioners and coordinating central caregivers will need to team up and work in close collaboration with specialized PTs. A substantial task in the upcoming years will be to monitor the quality, volume, and distribution of ClaudicatioNet PTs. Finally, misguided financial incentives within the Dutch health care system need to be tackled. Conclusion: With ClaudicatioNet, integrated care pathways are likely to improve in the upcoming years. This should result in the achievement of optimal quality of care for all patients with IC. PMID:22942648

  10. Electrical test prediction using hybrid metrology and machine learning

    NASA Astrophysics Data System (ADS)

    Breton, Mary; Chao, Robin; Muthinti, Gangadhara Raja; de la Peña, Abraham A.; Simon, Jacques; Cepler, Aron J.; Sendelbach, Matthew; Gaudiello, John; Emans, Susan; Shifrin, Michael; Etzioni, Yoav; Urenski, Ronen; Lee, Wei Ti

    2017-03-01

    Electrical test measurement in the back-end of line (BEOL) is crucial for wafer and die sorting as well as comparing intended process splits. Any in-line, nondestructive technique in the process flow to accurately predict these measurements can significantly improve mean-time-to-detect (MTTD) of defects and improve cycle times for yield and process learning. Measuring after BEOL metallization is commonly done for process control and learning, particularly with scatterometry (also called OCD (Optical Critical Dimension)), which can solve for multiple profile parameters such as metal line height or sidewall angle and does so within patterned regions. This gives scatterometry an advantage over inline microscopy-based techniques, which provide top-down information, since such techniques can be insensitive to sidewall variations hidden under the metal fill of the trench. But when faced with correlation to electrical test measurements that are specific to the BEOL processing, both techniques face the additional challenge of sampling. Microscopy-based techniques are sampling-limited by their small probe size, while scatterometry is traditionally limited (for microprocessors) to scribe targets that mimic device ground rules but are not necessarily designed to be electrically testable. A solution to this sampling challenge lies in a fast reference-based machine learning capability that allows for OCD measurement directly of the electrically-testable structures, even when they are not OCD-compatible. By incorporating such direct OCD measurements, correlation to, and therefore prediction of, resistance of BEOL electrical test structures is significantly improved. Improvements in prediction capability for multiple types of in-die electrically-testable device structures is demonstrated. To further improve the quality of the prediction of the electrical resistance measurements, hybrid metrology using the OCD measurements as well as X-ray metrology (XRF) is used. Hybrid metrology

  11. Computational modeling of colorimetric primary transducer for metrological assurance in additive manufacturing

    NASA Astrophysics Data System (ADS)

    Skliarov, Volodymyr

    2018-03-01

    Many additive manufacturing (AM) systems are based on laser technology. The advantage of laser technology is that it provides a high-intensity and high-collimation energy beam that can be controlled. Since AM requires that the material on each layer has to be solid or connected to the previous one, the energy of laser radiation is exactly the needed technical tool for the processing of the material. AM uses two types of laser processing: cutting and heating. One of the most popular (common) types of measurements in the field of laser metrology is the control of the energy parameters of the sources of laser radiation. At present, calorimeters provide the highest accuracy of absolute measurements of laser radiation in the power range from several watts to tens of kilowatts. The main elements that determine the accuracy of reproduction, maintenance and transfer of the unit of laser power are the primary measuring converters (PMCs), which are the part of the equipment of the national primary measurement standards of Ukraine. A significant contribution to the uncertainty budget of the primary measuring calorimetric converter is the unbalanced replacement of laser radiation by the heat flux that calibrates this converter. The heterogeneous internal structure of the calorimetric primary converter, the nonlinearity of processes occurring in it, and the multifactorial process of its calibration substantially complicate the development of primary measuring converters. The purpose of this paper is to simulate the thermal field of the primary converter for maximum reduction of the uncertainty of calibration. The presented research is a part of the scientific work that NSC "Institute of Metrology" carries out under COOMET and EMPIRE projects. The modeling was performed in the academic version of ANSYS.

  12. The research progress of metrological 248nm deep ultraviolent microscope inspection device

    NASA Astrophysics Data System (ADS)

    Wang, Zhi-xin; Li, Qi; Gao, Si-tian; Shi, Yu-shu; Li, Wei; Li, Shi

    2016-01-01

    In lithography process, the precision of wafer pattern to a large extent depends on the geometric dimensioning and tolerance of photomasks when accuracy of lithography aligner is certain. Since the minimum linewidth (Critical Dimension) of the aligner exposing shrinks to a few tens of nanometers in size, one-tenth of tolerance errors in fabrication may lead to microchip function failure, so it is very important to calibrate these errors of photomasks. Among different error measurement instruments, deep ultraviolent (DUV) microscope because of its high resolution, as well as its advantages compared to scanning probe microscope restrained by measuring range and scanning electron microscope restrained by vacuum environment, makes itself the most suitable apparatus. But currently there is very few DUV microscope adopting 248nm optical system, means it can attain 80nm resolution; furthermore, there is almost no DUV microscope possessing traceable calibration capability. For these reason, the National Institute of Metrology, China is developing a metrological 248nm DUV microscope mainly consists of DUV microscopic components, PZT and air supporting stages as well as interferometer calibration framework. In DUV microscopic component, the Köhler high aperture transmit condenser, DUV splitting optical elements and PMT pinhole scanning elements are built. In PZT and air supporting stages, a novel PZT actuating flexural hinge stage nested separate X, Y direction kinematics and a friction wheel driving long range air supporting stage are researched. In interferometer framework, a heterodyne multi-pass interferometer measures XY axis translation and Z axis rotation through Zerodur mirror mounted on stage. It is expected the apparatus has the capability to calibrate one dimensional linewidths and two dimensional pitches ranging from 200nm to 50μm with expanded uncertainty below 20nm.

  13. EDITORIAL: Nanoscale metrology Nanoscale metrology

    NASA Astrophysics Data System (ADS)

    Picotto, G. B.; Koenders, L.; Wilkening, G.

    2009-08-01

    characterization. The papers in the first part report on new or improved instrumentation, details of developments of metrology SFM, improvements to SFM, probes and scanning methods in the direction of nanoscale coordinate measuring machines and true 3D measurements as well as of progress of a 2D encoder based on a regular crystalline lattice. To ensure traceability to the SI unit of length many highly sophisticated instruments are equipped with laser interferometers to measure small displacements in the nanometre range very accurately. Improving these techniques is still a challenge and therefore new interferometric techniques are considered in several papers as well as improved sensors for nanodisplacement measurements or the development of a deep UV microscope for micro- and nanostructures. The tactile measurement of small structures also calls for a better control of forces in the nano- and piconewton range. A nanoforce facility, based on a disk-pendulum with electrostatic stiffness reduction and electrostatic force compensation, is presented for the measurement of small forces. In the second part the contributions are related to calibration and correction strategies and standards such as the development of test objects based on 3D silicon structures, and of samples with irregular surface profiles, and their use for calibration. The shape of the tip and its influence on measurements is still a contentious issue and addressed in several papers: use of nanospheres for tip characterization, a geometrical approach for reconstruction errors by tactile probing. Molecular dynamical calculations, classical as well as ab initio (based on density functional theory), are used to discuss effects of tip-sample relaxation on the topography and to have a better base from which to estimate uncertainties in measurements of small particles or features. Some papers report about measurements of air refractivity fluctuations by phase modulation interferometry, angle-scale traceability by laser

  14. A heterodyne interferometer for high-performance industrial metrology

    NASA Astrophysics Data System (ADS)

    Schuldt, Thilo; Gohlke, Martin; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2008-11-01

    We developed a compact, fiber-coupled heterodyne interferometer for translation and tilt metrology. Noise levels below 5 pm/√Hz in translation and below 10 nrad/√Hz in tilt measurement, both for frequencies above 10-2 Hz, were demonstrated in lab experiments. While this setup was developed with respect to the LISA (Laser Interferometer Space Antenna) space mission current activities focus on its adaptation for dimensional characterization of ultra-stable materials and industrial metrology. The interferometer is used in high-accuracy dilatometry measuring the coefficient of thermal expansion (CTE) of dimensionally highly stable materials such as carbon-fiber reinforced plastic (CFRP) and Zerodur. The facility offers the possibility to measure the CTE with an accuracy better 10-8/K. We also develop a very compact and quasi-monolithic sensor head utilizing ultra-low expansion glass material which is the basis for a future space-qualifiable interferometer setup and serves as a prototype for a sensor head used in industrial environment. For high resolution 3D profilometry and surface property measurements (i. e. roughness, evenness and roundness), a low-noise (<=1nm/√ Hz) actuator will be implemented which enables a scan of the measurement beam over the surface under investigation.

  15. Error Modeling of Multi-baseline Optical Truss. Part II; Application to SIM Metrology Truss Field Dependent Error

    NASA Technical Reports Server (NTRS)

    Zhang, Liwei Dennis; Milman, Mark; Korechoff, Robert

    2004-01-01

    The current design of the Space Interferometry Mission (SIM) employs a 19 laser-metrology-beam system (also called L19 external metrology truss) to monitor changes of distances between the fiducials of the flight system's multiple baselines. The function of the external metrology truss is to aid in the determination of the time-variations of the interferometer baseline. The largest contributor to truss error occurs in SIM wide-angle observations when the articulation of the siderostat mirrors (in order to gather starlight from different sky coordinates) brings to light systematic errors due to offsets at levels of instrument components (which include comer cube retro-reflectors, etc.). This error is labeled external metrology wide-angle field-dependent error. Physics-based model of field-dependent error at single metrology gauge level is developed and linearly propagated to errors in interferometer delay. In this manner delay error sensitivity to various error parameters or their combination can be studied using eigenvalue/eigenvector analysis. Also validation of physics-based field-dependent model on SIM testbed lends support to the present approach. As a first example, dihedral error model is developed for the comer cubes (CC) attached to the siderostat mirrors. Then the delay errors due to this effect can be characterized using the eigenvectors of composite CC dihedral error. The essence of the linear error model is contained in an error-mapping matrix. A corresponding Zernike component matrix approach is developed in parallel, first for convenience of describing the RMS of errors across the field-of-regard (FOR), and second for convenience of combining with additional models. Average and worst case residual errors are computed when various orders of field-dependent terms are removed from the delay error. Results of the residual errors are important in arriving at external metrology system component requirements. Double CCs with ideally co-incident vertices

  16. Application of Vision Metrology to In-Orbit Measurement of Large Reflector Onboard Communication Satellite for Next Generation Mobile Satellite Communication

    NASA Astrophysics Data System (ADS)

    Akioka, M.; Orikasa, T.; Satoh, M.; Miura, A.; Tsuji, H.; Toyoshima, M.; Fujino, Y.

    2016-06-01

    Satellite for next generation mobile satellite communication service with small personal terminal requires onboard antenna with very large aperture reflector larger than twenty meters diameter because small personal terminal with lower power consumption in ground base requires the large onboard reflector with high antenna gain. But, large deployable antenna will deform in orbit because the antenna is not a solid dish but the flexible structure with fine cable and mesh supported by truss. Deformation of reflector shape deteriorate the antenna performance and quality and stability of communication service. However, in case of digital beam forming antenna with phased array can modify the antenna beam performance due to adjustment of excitation amplitude and excitation phase. If we can measure the reflector shape precisely in orbit, beam pattern and antenna performance can be compensated with the updated excitation amplitude and excitation phase parameters optimized for the reflector shape measured every moment. Softbank Corporation and National Institute of Information and Communications Technology has started the project "R&D on dynamic beam control technique for next generation mobile communication satellite" as a contracted research project sponsored by Ministry of Internal Affairs and Communication of Japan. In this topic, one of the problem in vision metrology application is a strong constraints on geometry for camera arrangement on satellite bus with very limited space. On satellite in orbit, we cannot take many images from many different directions as ordinary vision metrology measurement and the available area for camera positioning is quite limited. Feasibility of vision metrology application and general methodology to apply to future mobile satellite communication satellite is to be found. Our approach is as follows: 1) Development of prototyping simulator to evaluate the expected precision for network design in zero order and first order 2) Trial

  17. Bed Net Durability Assessments: Exploring a Composite Measure of Net Damage

    PubMed Central

    Vanden Eng, Jodi L.; Chan, Adeline; Abílio, Ana Paula; Wolkon, Adam; Ponce de Leon, Gabriel; Gimnig, John; Morgan, Juliette

    2015-01-01

    Background The durability of Long Lasting Insecticidal Nets (LLINs) in field conditions is of great importance for malaria prevention and control efforts; however, the physical integrity of the net fabric is not well understood making it challenging to determine overall effectiveness of nets as they age. The 2011 World Health Organization Pesticide Evaluation Scheme (WHOPES) guidelines provide a simple, standardized method using a proportional hole index (PHI) for assessing net damage with the intent to provide national malaria control programs with guidelines to assess the useful life of LLINS and estimate the rate of replacement. Methods We evaluated the utility of the PHI measure using 409 LLINs collected over three years in Nampula Province, Mozambique following a mass distribution campaign in 2008. For each LLIN the diameter and distance from the bottom of the net were recorded for every hole. Holes were classified into four size categories and a PHI was calculated following WHOPES guidelines. We investigate how the size, shape, and location of holes influence the PHI. The areas of the WHOPES defined categories were compared to circular and elliptical areas based on approximate shape and actual measured axes of each hole and the PHI was compared to cumulative damaged surface area of the LLIN. Results The damaged area of small, medium, large, and extra-large holes was overestimated using the WHOPES categories compared to elliptical areas using the actual measured axes. Similar results were found when comparing to circular areas except for extra-large holes which were underestimated. (Wilcoxon signed rank test of differences p< 0.0001 for all sizes). Approximating holes as circular overestimated hole surface area by 1.5 to 2 times or more. There was a significant difference in the mean number of holes < 0.5 cm by brand and there were more holes of all sizes on the bottom of nets than the top. For a range of hypothetical PHI thresholds used to designate a

  18. Bed Net Durability Assessments: Exploring a Composite Measure of Net Damage.

    PubMed

    Vanden Eng, Jodi L; Chan, Adeline; Abílio, Ana Paula; Wolkon, Adam; Ponce de Leon, Gabriel; Gimnig, John; Morgan, Juliette

    2015-01-01

    The durability of Long Lasting Insecticidal Nets (LLINs) in field conditions is of great importance for malaria prevention and control efforts; however, the physical integrity of the net fabric is not well understood making it challenging to determine overall effectiveness of nets as they age. The 2011 World Health Organization Pesticide Evaluation Scheme (WHOPES) guidelines provide a simple, standardized method using a proportional hole index (PHI) for assessing net damage with the intent to provide national malaria control programs with guidelines to assess the useful life of LLINS and estimate the rate of replacement. We evaluated the utility of the PHI measure using 409 LLINs collected over three years in Nampula Province, Mozambique following a mass distribution campaign in 2008. For each LLIN the diameter and distance from the bottom of the net were recorded for every hole. Holes were classified into four size categories and a PHI was calculated following WHOPES guidelines. We investigate how the size, shape, and location of holes influence the PHI. The areas of the WHOPES defined categories were compared to circular and elliptical areas based on approximate shape and actual measured axes of each hole and the PHI was compared to cumulative damaged surface area of the LLIN. The damaged area of small, medium, large, and extra-large holes was overestimated using the WHOPES categories compared to elliptical areas using the actual measured axes. Similar results were found when comparing to circular areas except for extra-large holes which were underestimated. (Wilcoxon signed rank test of differences p< 0.0001 for all sizes). Approximating holes as circular overestimated hole surface area by 1.5 to 2 times or more. There was a significant difference in the mean number of holes < 0.5 cm by brand and there were more holes of all sizes on the bottom of nets than the top. For a range of hypothetical PHI thresholds used to designate a "failed LLIN", roughly 75 to 80

  19. Context-based virtual metrology

    NASA Astrophysics Data System (ADS)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  20. NetMOD Version 2.0 Mathematical Framework

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Merchant, Bion J.; Young, Christopher J.; Chael, Eric P.

    2015-08-01

    NetMOD ( Net work M onitoring for O ptimal D etection) is a Java-based software package for conducting simulation of seismic, hydroacoustic and infrasonic networks. Network simulations have long been used to study network resilience to station outages and to determine where additional stations are needed to reduce monitoring thresholds. NetMOD makes use of geophysical models to determine the source characteristics, signal attenuation along the path between the source and station, and the performance and noise properties of the station. These geophysical models are combined to simulate the relative amplitudes of signal and noise that are observed at each ofmore » the stations. From these signal-to-noise ratios (SNR), the probabilities of signal detection at each station and event detection across the network of stations can be computed given a detection threshold. The purpose of this document is to clearly and comprehensively present the mathematical framework used by NetMOD, the software package developed by Sandia National Laboratories to assess the monitoring capability of ground-based sensor networks. Many of the NetMOD equations used for simulations are inherited from the NetSim network capability assessment package developed in the late 1980s by SAIC (Sereno et al., 1990).« less

  1. 25 CFR 502.16 - Net revenues.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 25 Indians 2 2010-04-01 2010-04-01 false Net revenues. 502.16 Section 502.16 Indians NATIONAL INDIAN GAMING COMMISSION, DEPARTMENT OF THE INTERIOR GENERAL PROVISIONS DEFINITIONS OF THIS CHAPTER § 502... consistent with professional accounting pronouncements, excluding management fees. [74 FR 36932, July 27...

  2. Knowledge and perception towards net care and repair practice in Ethiopia.

    PubMed

    Zewde, Ayele; Irish, Seth; Woyessa, Adugna; Wuletaw, Yonas; Nahusenay, Honelgn; Abdelmenan, Semira; Demissie, Meaza; Gulema, Hanna; Dissanayake, Gunawardena; Chibsa, Sheleme; Solomon, Hiwot; Yenehun, Meseret A; Kebede, Amha; Lorenz, Lena M; Ponce-de-Leon, Gabriel; Keating, Joseph; Worku, Alemayehu; Berhane, Yemane

    2017-10-02

    Long-lasting insecticidal nets (LLINs) are a key malaria control intervention. Although LLINs are presumed to be effective for 3 years under field or programmatic conditions, net care and repair approaches by users influence the physical and chemical durability. Understanding how knowledge, perception and practices influence net care and repair practices could guide the development of targeted behavioural change communication interventions related to net care and repair in Ethiopia and elsewhere. This population-based, household survey was conducted in four regions of Ethiopia [Amhara, Oromia, Tigray, Southern Nations Nationalities Peoples Region (SNNPR)] in June 2015. A total of 1839 households were selected using multi-stage sampling procedures. The household respondents were the heads of households. A questionnaire was administered and the data were captured electronically. STATA software version 12 was used to analyse the data. Survey commands were used to account for the multi-stage sampling approach. Household descriptive statistics related to characteristics and levels of knowledge and perception on net care and repair are presented. Ordinal logistic regression was used to identify factors associated with net care and repair perceptions. Less than a quarter of the respondents (22.3%: 95% CI 20.4-24.3%) reported adequate knowledge of net care and repair; 24.6% (95% CI 22.7-26.5%) of the respondents reported receiving information on net care and repair in the previous 6 months. Thirty-five per cent of the respondents (35.1%: 95% CI 32.9-37.4%) reported positive perceptions towards net care and repair. Respondents with adequate knowledge on net care and repair (AOR 1.58: 95% CI 1.2-2.02), and those who discussed net care and repair with their family (AOR 1.47: 95% CI 1.14-1.89) had higher odds of having positive perceptions towards net care and repair. The low level of reported knowledge on net care and repair, as well as the low level of reported positive

  3. Quantum metrology for gravitational wave astronomy.

    PubMed

    Schnabel, Roman; Mavalvala, Nergis; McClelland, David E; Lam, Ping K

    2010-11-16

    Einstein's general theory of relativity predicts that accelerating mass distributions produce gravitational radiation, analogous to electromagnetic radiation from accelerating charges. These gravitational waves (GWs) have not been directly detected to date, but are expected to open a new window to the Universe once the detectors, kilometre-scale laser interferometers measuring the distance between quasi-free-falling mirrors, have achieved adequate sensitivity. Recent advances in quantum metrology may now contribute to provide the required sensitivity boost. The so-called squeezed light is able to quantum entangle the high-power laser fields in the interferometer arms, and could have a key role in the realization of GW astronomy.

  4. Data fusion for CD metrology: heterogeneous hybridization of scatterometry, CDSEM, and AFM data

    NASA Astrophysics Data System (ADS)

    Hazart, J.; Chesneau, N.; Evin, G.; Largent, A.; Derville, A.; Thérèse, R.; Bos, S.; Bouyssou, R.; Dezauzier, C.; Foucher, J.

    2014-04-01

    The manufacturing of next generation semiconductor devices forces metrology tool providers for an exceptional effort in order to meet the requirements for precision, accuracy and throughput stated in the ITRS. In the past years hybrid metrology (based on data fusion theories) has been investigated as a new methodology for advanced metrology [1][2][3]. This paper provides a new point of view of data fusion for metrology through some experiments and simulations. The techniques are presented concretely in terms of equations to be solved. The first point of view is High Level Fusion which is the use of simple numbers with their associated uncertainty postprocessed by tools. In this paper, it is divided into two stages: one for calibration to reach accuracy, the second to reach precision thanks to Bayesian Fusion. From our perspective, the first stage is mandatory before applying the second stage which is commonly presented [1]. However a reference metrology system is necessary for this fusion. So, precision can be improved if and only if the tools to be fused are perfectly matched at least for some parameters. We provide a methodology similar to a multidimensional TMU able to perform this matching exercise. It is demonstrated on a 28 nm node backend lithography case. The second point of view is Deep Level Fusion which works on the contrary with raw data and their combination. In the approach presented here, the analysis of each raw data is based on a parametric model and connections between the parameters of each tool. In order to allow OCD/SEM Deep Level Fusion, a SEM Compact Model derived from [4] has been developed and compared to AFM. As far as we know, this is the first time such techniques have been coupled at Deep Level. A numerical study on the case of a simple stack for lithography is performed. We show strict equivalence of Deep Level Fusion and High Level Fusion when tools are sensitive and models are perfect. When one of the tools can be considered as a

  5. RadNet Map Interface for Near-Real-Time Radiation Monitoring Data

    EPA Pesticide Factsheets

    RadNet is a national network of monitoring stations that regularly collect air, precipitation, drinking water, and milk samples for analysis of radioactivity. The RadNet network, which has stations in each state, has been used to track environmental releases of radioactivity from nuclear weapons tests and nuclear accidents.

  6. Review of FEWS NET Biophysical Monitoring Requirements

    NASA Technical Reports Server (NTRS)

    Ross, K. W.; Brown, Molly E.; Verdin, J.; Underwood, L. W.

    2009-01-01

    The Famine Early Warning System Network (FEWS NET) provides monitoring and early warning support to decision makers responsible for responding to famine and food insecurity. FEWS NET transforms satellite remote sensing data into rainfall and vegetation information that can be used by these decision makers. The National Aeronautics and Space Administration has recently funded activities to enhance remote sensing inputs to FEWS NET. To elicit Earth observation requirements, a professional review questionnaire was disseminated to FEWS NET expert end-users: it focused upon operational requirements to determine additional useful remote sensing data and; subsequently, beneficial FEWS NET biophysical supplementary inputs. The review was completed by over 40 experts from around the world, enabling a robust set of professional perspectives to be gathered and analyzed rapidly. Reviewers were asked to evaluate the relative importance of environmental variables and spatio-temporal requirements for Earth science data products, in particular for rainfall and vegetation products. The results showed that spatio-temporal resolution requirements are complex and need to vary according to place, time, and hazard: that high resolution remote sensing products continue to be in demand, and that rainfall and vegetation products were valued as data that provide actionable food security information.

  7. Design, implementation and evaluation of a national campaign to deliver 18 million free long-lasting insecticidal nets to uncovered sleeping spaces in Tanzania

    PubMed Central

    2013-01-01

    Background Since 2004, the Tanzanian National Voucher Scheme has increased availability and accessibility of insecticide-treated nets (ITNs) to pregnant women and infants by subsidizing the cost of nets purchased. From 2008 to 2010, a mass distribution campaign delivered nine million long-lasting insecticidal nets (LLINs) free-of-charge to children under-five years of age in Tanzania mainland. In 2010 and 2011, a Universal Coverage Campaign (UCC) led by the Ministry of Health and Social Welfare (MoHSW) was implemented to cover all sleeping spaces not yet reached through previous initiatives. Methods The UCC was coordinated through a unit within the National Malaria Control Programme. Partners were contracted by the MoHSW to implement different activities in collaboration with local government authorities. Volunteers registered the number of uncovered sleeping spaces in every household in the country. On this basis, LLINs were ordered and delivered to village level, where they were issued over a three-day period in each zone (three regions). Household surveys were conducted in seven districts immediately after the campaign to assess net ownership and use. Results The UCC was chiefly financed by the Global Fund to Fight AIDS, Tuberculosis and Malaria with important contributions from the US President’s Malaria Initiative. A total of 18.2 million LLINs were delivered at an average cost of USD 5.30 per LLIN. Overall, 83% of the expenses were used for LLIN procurement and delivery and 17% for campaign associated activities. Preliminary results of the latest Tanzania HIV Malaria Indicator Survey (2011–12) show that household ownership of at least one ITN increased to 91.5%. ITN use, among children under-five years of age, improved to 72.7% after the campaign. ITN ownership and use data post-campaign indicated high equity across wealth quintiles. Conclusion Close collaboration among the MoHSW, donors, contracted partners, local government authorities and volunteers

  8. Catalog of Federal metrology and calibration capabilities: 1980 edition

    NASA Astrophysics Data System (ADS)

    Leedy, K. O.

    1980-09-01

    Federal laboratories involved in metrology and calibration are listed. Included is the name of a person to contact at each laboratory telephone number and address. The capabilities of each laboratory are indicated in a tabular listing by agency. To provide geographical distribution, the laboratories are listed by States. In addition, the laboratories are shown on a map by coded number. Other references are described.

  9. Horizontal ichthyoplankton tow-net system with unobstructed net opening

    USGS Publications Warehouse

    Nester, Robert T.

    1987-01-01

    The larval fish sampler described here consists of a modified bridle, frame, and net system with an obstruction-free net opening and is small enough for use on boats 10 m or less in length. The tow net features a square net frame attached to a 0.5-m-diameter cylinder-on-cone plankton net with a bridle designed to eliminate all obstructions forward of the net opening, significantly reducing currents and vibrations in the water directly preceding the net. This system was effective in collecting larvae representing more than 25 species of fish at sampling depths ranging from surface to 10 m and could easily be used at greater depths.

  10. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    PubMed

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  11. Scatterometry-based metrology for SAQP pitch walking using virtual reference

    NASA Astrophysics Data System (ADS)

    Kagalwala, Taher; Vaid, Alok; Mahendrakar, Sridhar; Lenahan, Michael; Fang, Fang; Isbester, Paul; Shifrin, Michael; Etzioni, Yoav; Cepler, Aron; Yellai, Naren; Dasari, Prasad; Bozdog, Cornel

    2016-03-01

    Advanced technology nodes, 10nm and beyond, employing multi-patterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. Self-Aligned Quadruple Patterning (SAQP) process is used to create the Fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bares compounding effects from successive Reactive Ion Etch (RIE) and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes which work on an assumption that there is consistent spacing between fins. In SAQP there are 3 pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology such as Transmission Electron Microscopy (TEM). In this paper we will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.

  12. Metrological characterization of 3D imaging devices

    NASA Astrophysics Data System (ADS)

    Guidi, G.

    2013-04-01

    Manufacturers often express the performance of a 3D imaging device in various non-uniform ways for the lack of internationally recognized standard requirements for metrological parameters able to identify the capability of capturing a real scene. For this reason several national and international organizations in the last ten years have been developing protocols for verifying such performance. Ranging from VDI/VDE 2634, published by the Association of German Engineers and oriented to the world of mechanical 3D measurements (triangulation-based devices), to the ASTM technical committee E57, working also on laser systems based on direct range detection (TOF, Phase Shift, FM-CW, flash LADAR), this paper shows the state of the art about the characterization of active range devices, with special emphasis on measurement uncertainty, accuracy and resolution. Most of these protocols are based on special objects whose shape and size are certified with a known level of accuracy. By capturing the 3D shape of such objects with a range device, a comparison between the measured points and the theoretical shape they should represent is possible. The actual deviations can be directly analyzed or some derived parameters can be obtained (e.g. angles between planes, distances between barycenters of spheres rigidly connected, frequency domain parameters, etc.). This paper shows theoretical aspects and experimental results of some novel characterization methods applied to different categories of active 3D imaging devices based on both principles of triangulation and direct range detection.

  13. Swept Frequency Laser Metrology System

    NASA Technical Reports Server (NTRS)

    Zhao, Feng (Inventor)

    2010-01-01

    A swept frequency laser ranging system having sub-micron accuracy that employs multiple common-path heterodyne interferometers, one coupled to a calibrated delay-line for use as an absolute reference for the ranging system. An exemplary embodiment uses two laser heterodyne interferometers to create two laser beams at two different frequencies to measure distance and motions of target(s). Heterodyne fringes generated from reflections off a reference fiducial X(sub R) and measurement (or target) fiducial X(sub M) are reflected back and are then detected by photodiodes. The measured phase changes Delta phi(sub R) and Delta phi (sub m) resulting from the laser frequency swept gives target position. The reference delay-line is the only absolute reference needed in the metrology system and this provides an ultra-stable reference and simple/economical system.

  14. Metrology for Fuel Cell Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stocker, Michael; Stanfield, Eric

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. Themore » objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.« less

  15. CSAM Metrology Software Tool

    NASA Technical Reports Server (NTRS)

    Vu, Duc; Sandor, Michael; Agarwal, Shri

    2005-01-01

    CSAM Metrology Software Tool (CMeST) is a computer program for analysis of false-color CSAM images of plastic-encapsulated microcircuits. (CSAM signifies C-mode scanning acoustic microscopy.) The colors in the images indicate areas of delamination within the plastic packages. Heretofore, the images have been interpreted by human examiners. Hence, interpretations have not been entirely consistent and objective. CMeST processes the color information in image-data files to detect areas of delamination without incurring inconsistencies of subjective judgement. CMeST can be used to create a database of baseline images of packages acquired at given times for comparison with images of the same packages acquired at later times. Any area within an image can be selected for analysis, which can include examination of different delamination types by location. CMeST can also be used to perform statistical analyses of image data. Results of analyses are available in a spreadsheet format for further processing. The results can be exported to any data-base-processing software.

  16. Reference Materials for Food and Nutrition Metrology: Past, Present and Future

    USDA-ARS?s Scientific Manuscript database

    Establishment of a metrology-based measurement system requires the solid foundation of traceability of measurements to available, appropriate certified reference materials (CRM). In the early 1970’s the first “biological” RM of Bowens Kale, as well as Orchard Leaves and Bovine Liver SRMs, from the ...

  17. Efficient tools for quantum metrology with uncorrelated noise

    NASA Astrophysics Data System (ADS)

    Kołodyński, Jan; Demkowicz-Dobrzański, Rafał

    2013-07-01

    Quantum metrology offers enhanced performance in experiments on topics such as gravitational wave-detection, magnetometry or atomic clock frequency calibration. The enhancement, however, requires a delicate tuning of relevant quantum features, such as entanglement or squeezing. For any practical application, the inevitable impact of decoherence needs to be taken into account in order to correctly quantify the ultimate attainable gain in precision. We compare the applicability and the effectiveness of various methods of calculating the ultimate precision bounds resulting from the presence of decoherence. This allows us to place a number of seemingly unrelated concepts into a common framework and arrive at an explicit hierarchy of quantum metrological methods in terms of the tightness of the bounds they provide. In particular, we show a way to extend the techniques originally proposed in Demkowicz-Dobrzański et al (2012 Nature Commun. 3 1063), so that they can be efficiently applied not only in the asymptotic but also in the finite number of particles regime. As a result, we obtain a simple and direct method, yielding bounds that interpolate between the quantum enhanced scaling characteristic for a small number of particles and the asymptotic regime, where quantum enhancement amounts to a constant factor improvement. Methods are applied to numerous models, including noisy phase and frequency estimation, as well as the estimation of the decoherence strength itself.

  18. The ContiNet of the International Continence Society.

    PubMed

    Lim, P H; Fonda, D

    1997-01-01

    This is an account of the International Continence Society's ContiNet--the web server linking up continence organisations worldwide with provision to upload or download vast data stores of information on continence via e-mail, FTP, mailing lists, and special tools to seek information using "search engines." Special communication devices using internet voice/phone mail and real-time "text" or "voice" chats permit conversation globally over normal phone lines linked to the Net at local telephone rates. Special features of ContiNet include announcements of upcoming conventions, information for professionals and laypeople, and the capability to conduct research via the net and conduct consultations and discussions via newsgroups. In-built devices requiring special IDs and passwords permit privacy and security for users. Simple instructions are provided on how to get your PC up and running and get connected to fellow members of ICS, link up with national continence societies, or simply surf for professional enrichment and leisure. With the advent of advanced multimedia capabilities, the current poor quality videoconferencing on the Net will be replaced by excellent videophones by 1998.

  19. Unconditional violation of the shot-noise limit in photonic quantum metrology

    NASA Astrophysics Data System (ADS)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  20. Parasitic light scattered by complex optical coatings: modelization and metrology

    NASA Astrophysics Data System (ADS)

    Zerrad, Myriam; Lequime, Michel; Liukaityte, Simona; Amra, Claude

    2017-12-01

    Optical components realized for space applications have to be mastered in term of parasitic light. This paper present the last improvements performed at the Institute Fresnel to predict and measure scattering losses of optical components with a special care to complex optical coatings. Agreement between numerical models and metrology is now excellent. Some examples will be presented.

  1. Which family members use the best nets? An analysis of the condition of mosquito nets and their distribution within households in Tanzania.

    PubMed

    Tsuang, Angela; Lines, Jo; Hanson, Kara

    2010-07-22

    Household ownership of insecticide-treated mosquito nets (ITNs) is increasing, and coverage targets have been revised to address universal coverage with ITNs. However, many households do not have enough nets to cover everyone, and the nets available vary in physical condition and insecticide treatment status. Since 2004, the Government of Tanzania has been implementing the Tanzania National Voucher Scheme (TNVS), which distributes vouchers for ITNs through antenatal clinics to target pregnant women and their infants. This analysis aimed to determine the following: (1) coverage patterns of bed nets within households according to physical condition and treatment status; (2) who might be at risk if mosquitoes were diverted from occupants of untreated nets to those not using nets? (3) the degree to which those at highest risk of malaria use the most protective nets. Data from the 2006 TNVS household survey were analysed to assess within-household distribution of net use. The associations between net characteristics and net user were also evaluated. Multivariate analysis was applied to the relationship between the number of holes per net and user characteristics while adjusting for confounders. In households with a net:person ratio better than 1:4 (one net for every four household members), more than 80% of the people in such households reported using a net the previous night. ITNs were most likely to be used by infants, young children (1-4 y), and women of childbearing age; they were least likely to be used by older women (>or=50 y), older children (5-14 y), and adult men. The nets used by infants and women of childbearing age were in better-than-average physical condition; the nets used by older women and older children were in worse-than-average condition; while young children and adult men used nets in intermediate (average) condition. When adjusted for confounders, the nets used by young and older children had more holes than nets used by infants. Infants and other

  2. NetFlow Dynamics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Corbet Jr., Thomas F; Beyeler, Walter E; Vanwestrienen, Dirk

    NetFlow Dynamics is a web-accessible analysis environment for simulating dynamic flows of materials on model networks. Performing a simulation requires both the NetFlow Dynamics application and a network model which is a description of the structure of the nodes and edges of a network including the flow capacity of each edge and the storage capacity of each node, and the sources and sinks of the material flowing on the network. NetFlow Dynamics consists of databases for storing network models, algorithms to calculate flows on networks, and a GIS-based graphical interface for performing simulations and viewing simulation results. Simulated flows aremore » dynamic in the sense that flows on each edge of the network and inventories at each node change with time and can be out of equilibrium with boundary conditions. Any number of network models could be simulated using Net Flow Dynamics. To date, the models simulated have been models of petroleum infrastructure. The main model has been the National Transportation Fuels Model (NTFM), a network of U.S. oil fields, transmission pipelines, rail lines, refineries, tank farms, and distribution terminals. NetFlow Dynamics supports two different flow algorithms, the Gradient Flow algorithm and the Inventory Control algorithm, that were developed specifically for the NetFlow Dynamics application. The intent is to add additional algorithms in the future as needed. The ability to select from multiple algorithms is desirable because a single algorithm never covers all analysis needs. The current algorithms use a demand-driven capacity-constrained formulation which means that the algorithms strive to use all available capacity and stored inventory to meet desired flows to sinks, subject to the capacity constraints of each network component. The current flow algorithms are best suited for problems in which a material flows on a capacity-constrained network representing a supply chain in which the material supplied can be stored

  3. Metrology of vibration measurements by laser techniques

    NASA Astrophysics Data System (ADS)

    von Martens, Hans-Jürgen

    2008-06-01

    Metrology as the art of careful measurement has been understood as uniform methodology for measurements in natural sciences, covering methods for the consistent assessment of experimental data and a corpus of rules regulating application in technology and in trade and industry. The knowledge, methods and tools available for precision measurements can be exploited for measurements at any level of uncertainty in any field of science and technology. A metrological approach to the preparation, execution and evaluation (including expression of uncertainty) of measurements of translational and rotational motion quantities using laser interferometer methods and techniques will be presented. The realization and dissemination of the SI units of motion quantities (vibration and shock) have been based on laser interferometer methods specified in international documentary standards. New and upgraded ISO standards are reviewed with respect to their suitability for ensuring traceable vibration measurements and calibrations in an extended frequency range of 0.4 Hz to higher than 100 kHz. Using adequate vibration exciters to generate sufficient displacement or velocity amplitudes, the upper frequency limits of the laser interferometer methods specified in ISO 16063-11 for frequencies <= 10 kHz can be expanded to 100 kHz and beyond. A comparison of different methods simultaneously used for vibration measurements at 100 kHz will be demonstrated. A statistical analysis of numerous experimental results proves the highest accuracy achievable currently in vibration measurements by specific laser methods, techniques and procedures (i.e. measurement uncertainty 0.05 % at frequencies <= 10 kHz, <= 1 % up to 100 kHz).

  4. Fluid-flow-rate metrology: laboratory uncertainties and traceabilities

    NASA Astrophysics Data System (ADS)

    Mattingly, G. E.

    1991-03-01

    Increased concerns for improved fluid flowrate measurement are driving the fluid metering community-meter manufacturers and users alike-to search for better verification and documentation for their fluid measurements. These concerns affect both our domestic and international market places they permeate our technologies - aerospace chemical processes automotive bioengineering etc. They involve public health and safety and they impact our national defense. These concerns are based upon the rising value of fluid resources and products and the importance of critical material accountability. These values directly impact the accuracy needs of fluid buyers and sellers in custody transfers. These concerns impact the designers and operators of chemical process systems where control and productivity optimization depend critically upon measurement precision. Public health and safety depend upon the quality of numerous pollutant measurements - both liquid and gaseous. The performance testing of engines - both automotive and aircraft are critically based upon accurate fuel measurements - both liquid and oxidizer streams. Fluid flowrate measurements are established differently from counterparts in length and mass measurement systems because these have the benefits of " identity" standards. For rate measurement systems the metrology is based upon " derived standards" . These use facilities and transfer standards which are designed built characterized and used to constitute basic measurement capabilities and quantify performance - accuracy and precision. Because " identity standards" do not exist for flow measurements facsimiles or equivalents must

  5. Frequency Standards and Metrology

    NASA Astrophysics Data System (ADS)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  6. Programmed LWR metrology by multi-techniques approach

    NASA Astrophysics Data System (ADS)

    Reche, Jérôme; Besacier, Maxime; Gergaud, Patrice; Blancquaert, Yoann; Freychet, Guillaume; Labbaye, Thibault

    2018-03-01

    Nowadays, roughness control presents a huge challenge for the lithography step. For advanced nodes, this morphological aspect reaches the same order of magnitude than the Critical Dimension. Hence, the control of roughness needs an adapted metrology. In this study, specific samples with designed roughness have been manufactured using e-beam lithography. These samples have been characterized with three different methodologies: CD-SEM, OCD and SAXS. The main goal of the project is to compare the capability of each of these techniques in terms of reliability, type of information obtained, time to obtain the measurements and level of maturity for the industry.

  7. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tran, Sophie M; Tran, Hy D.

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  8. CancerNet redistribution via WWW.

    PubMed

    Quade, G; Püschel, N; Far, F

    1996-01-01

    CancerNet from the National Cancer Institute contains nearly 500 ASCII-files, updated monthly, with up-to-date information about cancer and the "Golden Standard" in tumor therapy. Perl scripts are used to convert these files to HTML-documents. A complex algorithm, using regular expression matching and extensive exception handling, detects headlines, listings and other constructs of the original ASCII-text and converts them into their HTML-counterparts. A table of contents is also created during the process. The resulting files are indexed for full-text search via WAIS. Building the complete CancerNet WWW redistribution takes less than two hours with a minimum of manual work. For 26,000 requests of information from our service per month the average costs for the worldwide delivery of one document is about 19 cents.

  9. Elements for successful sensor-based process control {Integrated Metrology}

    NASA Astrophysics Data System (ADS)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  10. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    NASA Astrophysics Data System (ADS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility.

  11. Fidelity of bats to forest sites revealed from mist-netting recaptures

    Treesearch

    Roger W. Perry

    2011-01-01

    Although site fidelity to permanent roost structures by bats is generally known, long-term fidelity to areas such as foraging or drinking sites is unknown. Furthermore, mist-net recaptures of bats over multiple years are rarely reported. Extensive mist-net surveys were conducted over the course of 8 y in the Ouachita National Forest of central Arkansas, United States...

  12. NREL and Army Validate Energy Savings for Net Zero Energy Installations |

    Science.gov Websites

    News | NREL and Army Validate Energy Savings for Net Zero Energy Installations News Release : NREL and Army Validate Energy Savings for Net Zero Energy Installations October 27, 2014 The U.S. Army (Army) has partnered with the Energy Department's National Renewable Energy Laboratory (NREL) to

  13. 12 CFR 702.302 - Net worth categories for new credit unions.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 12 Banks and Banking 6 2010-01-01 2010-01-01 false Net worth categories for new credit unions. 702.302 Section 702.302 Banks and Banking NATIONAL CREDIT UNION ADMINISTRATION REGULATIONS AFFECTING CREDIT UNIONS PROMPT CORRECTIVE ACTION Alternative Prompt Corrective Action for New Credit Unions § 702.302 Net worth categories for new credit unions....

  14. Designing clinical trials to address the needs of childhood and adult asthma: the National Heart, Lung, and Blood Institute's AsthmaNet.

    PubMed

    Sutherland, E Rand; Busse, William W

    2014-01-01

    In 2008, the National Heart, Lung, and Blood Institute announced its intent to support a new asthma network known as AsthmaNet. This clinical trials consortium, now in its fifth year, has been charged with developing and executing clinical trials to address the most important asthma management questions and identify new treatment approaches in pediatric and adult patients. This review will discuss the organization of AsthmaNet and the scientific context in which the network was developed and began its work, report the results of an internal priority-setting exercise designed to guide the network's scientific strategy, and highlight the portfolio of clinical trials, proof-of-concept studies, and mechanistic studies planned for the 7-year period of the network to update the global asthma community regarding the progress and processes of the network. Copyright © 2013 American Academy of Allergy, Asthma & Immunology. Published by Mosby, Inc. All rights reserved.

  15. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    NASA Astrophysics Data System (ADS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  16. Association of emergency department length of stay with safety net status

    PubMed Central

    Fee, Christopher; Burstin, Helen; Maselli, Judith H.; Hsia, Renee Y.

    2013-01-01

    Context Performance measures, particularly pay-for-performance, may have unintended consequences for safety-net institutions caring for disproportionate shares of Medicaid or uninsured patients. Objective Describe emergency department (ED) compliance with proposed length of stay measures for admissions (8 hours) and discharges, transfers, and observations (4 hours) by safety-net status. Design, Setting, and Participants 2008 National Hospital Ambulatory Medical Care Survey (NHAMCS) ED data were stratified by safety-net status (CDC definition) and disposition (admission, discharge, observation, transfer). The 2008 NHAMCS is a national probability sample of 396 hospitals (90.2% unweighted response rate) and 34,134 patient records. Visits were excluded for age <18, missing length of stay, or dispositions of: missing, “other”, left against medical advice, dead on arrival. Median and 90th percentile ED lengths of stay were calculated for each disposition and admission/discharge subcategories (critical care, psychiatric, routine) stratified by safety-net status. Multivariate analyses determined associations with length of stay measure compliance. Results are presented as odds ratios with 95% confidence intervals. Main Outcome ED length of stay measure compliance by disposition and safety-net status. Results 27.87% of the 2008 ED visits from the weighted NHAMCS dataset were excluded leaving 72.13% for analysis. Of these, 42.3% were to safety-net and 57.7% to non-safety-net EDs. The median (interquartile range) ED lengths of stay for safety-net and non-safety-net ED visits respectively are as follows: 269 minutes (178, 397) and 281 (178, 401) for admissions, 156 (95, 239) and 148 (88, 238) for discharges, 355 (221, 675) and 298 (195, 440) for observations, and 235 (155, 378) and 239 (142, 368) for transfers. Safety-net status is not independently associated with compliance with ED length of stay measures for admissions (OR 0.83, [95%CI 0.52, 1.34]), discharges (1.03 [0

  17. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform

    PubMed Central

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-01-01

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform’s mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument’s working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform. PMID:27869722

  18. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform.

    PubMed

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-11-18

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform's mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument's working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform.

  19. Mineral oil certified reference materials for the determination of polychlorinated biphenyls from the National Metrology Institute of Japan (NMIJ)

    PubMed Central

    Aoyagi, Yoshie; Matsuo, Mayumi; Ishikawa, Keiichiro; Hanari, Nobuyasu; Otsuka, Satoko; Tsuda, Yoko; Yarita, Takashi

    2008-01-01

    Four mineral oil certified reference materials (CRMs), NMIJ CRM 7902-a, CRM 7903-a, CRM 7904-a, and CRM 7905-a, have been issued by the National Metrology Institute of Japan, which is part of the National Institute of Advanced Industrial Science and Technology (NMIJ/AIST), for the determination of polychlorinated biphenyls (PCBs). The raw materials for the CRMs were an insulation oil (CRM 7902-a and CRM 7903-a) and a fuel oil (CRM7904-a and CRM 7905-a). A solution of PCB3, PCB8, and technical PCB products, comprising four types of Kaneclor, was added to the oil matrices. The total PCB concentrations in the PCB-fortified oils (CRM 7902-a and CRM 7904-a) are approximately 6 mg kg−1. In addition, the mineral oils which were not fortified with PCBs were also distributed as CRMs (CRM 7903-a and CRM 7905-a). Characterization of these CRMs was conducted by the NMIJ/AIST, where the mineral oils and the PCB solution were analyzed using multiple analytical methods such as dimethylsulfoxide extraction, normal-phase liquid chromatography, gel permeation chromatography, reversed-phase liquid chromatography, and chromatography using sulfoxide-bonded silica; and/or various capillary columns for gas chromatography, and two ionization modes for mass spectrometry. The target compounds in the mineral oils and those in the PCB solution were determined by one of the primary methods of measurement, isotope dilution–mass spectrometry (ID-MS). Certified values have been provided for 11 PCB congeners (PCB3, 8, 28, 52, 101, 118, 138, 153, 180, 194, and 206) in the CRMs. These CRMs have information values for PCB homologue concentrations determined by using a Japanese official method for determination of PCBs in wastes and densities determined with an oscillational density meter. Because oil samples having arbitrary PCB concentrations between respective property values of the PCB-fortified and nonfortified CRMs can be prepared by gravimetric mixing of the CRM pairs, these CRMs can be

  20. A 3D metrology system for the GMT

    NASA Astrophysics Data System (ADS)

    Rakich, A.; Dettmann, Lee; Leveque, S.; Guisard, S.

    2016-08-01

    The Giant Magellan Telescope (GMT)1 is a 25 m telescope composed of seven 8.4 m "unit telescopes", on a common mount. Each primary and conjugated secondary mirror segment will feed a common instrument interface, their focal planes co-aligned and co-phased. During telescope operation, the alignment of the optical components will deflect due to variations in thermal environment and gravity induced structural flexure of the mount. The ultimate co-alignment and co-phasing of the telescope is achieved by a combination of the Acquisition Guiding and Wavefront Sensing system and two segment edge-sensing systems2. An analysis of the capture range of the wavefront sensing system indicates that it is unlikely that that system will operate efficiently or reliably with initial mirror positions provided by open-loop corrections alone3. The project is developing a Telescope Metrology System (TMS) which incorporates a large number of absolute distance measuring interferometers. The system will align optical components of the telescope to the instrument interface to (well) within the capture range of the active optics wavefront sensing systems. The advantages offered by this technological approach to a TMS, over a network of laser trackers, are discussed. Initial investigations of the Etalon Absolute Multiline Technology™ by Etalon Ag4 show that a metrology network based on this product is capable of meeting requirements. A conceptual design of the system is presented and expected performance is discussed.

  1. NetCDF-U - Uncertainty conventions for netCDF datasets

    NASA Astrophysics Data System (ADS)

    Bigagli, Lorenzo; Nativi, Stefano; Domenico, Ben

    2013-04-01

    To facilitate the automated processing of uncertain data (e.g. uncertainty propagation in modeling applications), we have proposed a set of conventions for expressing uncertainty information within the netCDF data model and format: the NetCDF Uncertainty Conventions (NetCDF-U). From a theoretical perspective, it can be said that no dataset is a perfect representation of the reality it purports to represent. Inevitably, errors arise from the observation process, including the sensor system and subsequent processing, differences in scales of phenomena and the spatial support of the observation mechanism, lack of knowledge about the detailed conversion between the measured quantity and the target variable. This means that, in principle, all data should be treated as uncertain. The most natural representation of an uncertain quantity is in terms of random variables, with a probabilistic approach. However, it must be acknowledged that almost all existing data resources are not treated in this way. Most datasets come simply as a series of values, often without any uncertainty information. If uncertainty information is present, then it is typically within the metadata, as a data quality element. This is typically a global (dataset wide) representation of uncertainty, often derived through some form of validation process. Typically, it is a statistical measure of spread, for example the standard deviation of the residuals. The introduction of a mechanism by which such descriptions of uncertainty can be integrated into existing geospatial applications is considered a practical step towards a more accurate modeling of our uncertain understanding of any natural process. Given the generality and flexibility of the netCDF data model, conventions on naming, syntax, and semantics have been adopted by several communities of practice, as a means of improving data interoperability. Some of the existing conventions include provisions on uncertain elements and concepts, but, to our

  2. Advances in engineering nanometrology at the National Physical Laboratory

    NASA Astrophysics Data System (ADS)

    Leach, Richard K.; Claverley, James; Giusca, Claudiu; Jones, Christopher W.; Nimishakavi, Lakshmi; Sun, Wenjuan; Tedaldi, Matthew; Yacoot, Andrew

    2012-07-01

    The National Physical Laboratory, UK, has been active in the field of engineering nanometrology for a number of years. A summary of progress over the last five years is presented in this paper and the following research projects discussed in detail. (1) Development of an infrastructure for the calibration of instruments for measuring areal surface topography, along with the development of areal software measurement standards. This work comprises the use of the optical transfer function and a technique for the simultaneous measurement of topography and the phase change on reflection, allowing composite materials to be measured. (2) Development of a vibrating micro-CMM probe with isotropic probing reaction and the ability to operate in a non-contact mode. (3) A review of x-ray computed tomography and its use in dimensional metrology. (4) The further development of a metrology infrastructure for atomic force microscopy and the development of an instrument for the measurement of the effect of the probe-surface interaction. (5) Traceable measurement of displacement using optical and x-ray interferometry to picometre accuracy. (6) Development of an infrastructure for low-force metrology, including the development of appropriate transfer artefacts.

  3. Addressing the NETS*S in K-12 Classrooms: Implications for Teacher Education

    ERIC Educational Resources Information Center

    Niederhauser, Dale S.; Lindstrom, Denise L.; Strobel, Johannes

    2007-01-01

    The National Educational Technology Standards for Students (NETS*S) were developed to provide guidelines for effective and meaningful technology use with K-12 students. In the present study we used the NETS*S as a framework to analyze ways that teachers integrated instructional technology use and provided opportunities for their students to…

  4. Axial-Stereo 3-D Optical Metrology for Inner Profile of Pipes Using a Scanning Laser Endoscope

    NASA Astrophysics Data System (ADS)

    Gong, Yuanzheng; Johnston, Richard S.; Melville, C. David; Seibel, Eric J.

    2015-07-01

    As the rapid progress in the development of optoelectronic components and computational power, 3-D optical metrology becomes more and more popular in manufacturing and quality control due to its flexibility and high speed. However, most of the optical metrology methods are limited to external surfaces. This article proposed a new approach to measure tiny internal 3-D surfaces with a scanning fiber endoscope and axial-stereo vision algorithm. A dense, accurate point cloud of internally machined threads was generated to compare with its corresponding X-ray 3-D data as ground truth, and the quantification was analyzed by Iterative Closest Points algorithm.

  5. Miniaturization as a key factor to the development and application of advanced metrology systems

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Dobrev, Ivo; Harrington, Ellery; Hefti, Peter; Khaleghi, Morteza

    2012-10-01

    Recent technological advances of miniaturization engineering are enabling the realization of components and systems with unprecedented capabilities. Such capabilities, which are significantly beneficial to scientific and engineering applications, are impacting the development and the application of optical metrology systems for investigations under complex boundary, loading, and operating conditions. In this paper, and overview of metrology systems that we are developing is presented. Systems are being developed and applied to high-speed and high-resolution measurements of shape and deformations under actual operating conditions for such applications as sustainability, health, medical diagnosis, security, and urban infrastructure. Systems take advantage of recent developments in light sources and modulators, detectors, microelectromechanical (MEMS) sensors and actuators, kinematic positioners, rapid prototyping fabrication technologies, as well as software engineering.

  6. Metrology system for the Terrestrial Planet Finder Coronagraph

    NASA Technical Reports Server (NTRS)

    Shaklin, Stuart; Marchen, Luis; Zhao, Feng; Peters, Robert D.; Ho, Tim; Holmes, Buck

    2004-01-01

    The Terrestrial Planet Finder (TPF) employs an aggressive coronagraph designed to obtain better than 1e-10 contrast inside the third Airy ring. Minute changes in low-order aberration content scatter significant light at this position. One implication is the requirement to control low-order aberrations induced by motion of the secondary mirror relative to the primary mirror; sub-nanometer relative positional stability is required. We propose a 6-beam laser truss to monitor the relative positions of the two mirrors. The truss is based on laser metrology developed for the Space Interferometry Mission.

  7. Laser Metrology In Biomechanics

    NASA Astrophysics Data System (ADS)

    Pryputniewicz, Ryszard J.

    1983-12-01

    Modern treatment of sceletal disharmonies and malocclusions utilizes application of external forces. In order to effectively use these therapeutic forces, knowledge of three-dimensional displacements of bones with correlation to biological changes is required. In the past, this problem has been studied in a number of ways using, for example, strain gauges, brittle coatings, photoelasticity, as well as clinical observations and mathematical modeling. Becouse of their inherent limitations, these techniques did not always provide all the information necessary for development of meaningful relationships between the applied force system and the resulting biological remodeling. However, recent advances in the field of la-ser metrology allowed to overcome some of the dificulties found in the earlier methods and permitted development of new techniques for non-invasive measurements of bone motions in three-dimensional space. These laser techniques are particularly useful in biomechanics because they provide for rapid and accurate determination of displacements over the entire surface of the investigate object. In this paper, application of laser techniques for quantitative in-vivo and in-vitro measurements in biomechanics will be discussed and illustrated with representative examples.

  8. In Situ Metrology for the Corrective Polishing of Replicating Mandrels

    DTIC Science & Technology

    2010-06-08

    distribution is unlimited. 13. SUPPLEMENTARY NOTES Presented at Mirror Technology Days, Boulder, Colorado, USA, 7-9 June 2010. 14...ABSTRACT The International X-ray Observatory (IXO) will require mandrel metrology with extremely tight tolerances on mirrors with up to 1.6 meter radii...ideal. Error budgets for the IXO mirror segments are presented. A potential solution is presented that uses a voice-coil controlled gauging head, air

  9. Ultraviolet Radiation Dose National Standard of México

    NASA Astrophysics Data System (ADS)

    Cardoso, R.; Rosas, E.

    2006-09-01

    We present the Ultraviolet (UV) Radiation Dose National Standard for México. The establishment of this measurement reference at Centro Nacional de Metrología (CENAM) eliminates the need of contacting foreign suppliers in the search for traceability towards the SI units when calibrating instruments at 365 nm. Further more, the UV Radiation Dose National Standard constitutes a highly accurate and reliable source for the UV radiation dose measurements performed in medical and cosmetic treatments as in the the food and pharmaceutics disinfection processes, among other.

  10. Metrology measurements for large-aperture VPH gratings

    NASA Astrophysics Data System (ADS)

    Zheng, Jessica R.; Gers, Luke; Heijmans, Jeroen

    2013-09-01

    The High Efficiency and Resolution Multi Element Spectrograph (HERMES) for the Australian Astronomical Observatory (AAO) uses four large aperture, high angle of incidence volume phase holographic gratings (VPHG) for high resolution `Galactic archaeology' spectroscopy. The large clear aperture, the high diffraction efficiency, the line frequency homogeneity, and mosaic alignment made manufacturing and testing challenging. We developed new metrology systems at the AAO to verify the performance of these VPH gratings. The measured diffraction efficiencies and line frequency of the VPH gratings received so far meet the vendor's provided data. The wavefront quality for the Blue VPH grating is good but the Green and Red VPH gratings need to be post polishing.

  11. Experiment on building Sundanese lexical database based on WordNet

    NASA Astrophysics Data System (ADS)

    Dewi Budiwati, Sari; Nurani Setiawan, Novihana

    2018-03-01

    Sundanese language is the second biggest local language used in Indonesia. Currently, Sundanese language is rarely used since we have the Indonesian language in everyday conversation and as the national language. We built a Sundanese lexical database based on WordNet and Indonesian WordNet as an alternative way to preserve the language as one of local culture. WordNet was chosen because of Sundanese language has three levels of word delivery, called language code of conduct. Web user participant involved in this research for specifying Sundanese semantic relations, and an expert linguistic for validating the relations. The merge methodology was implemented in this experiment. Some words are equivalent with WordNet while another does not have its equivalence since some words are not exist in another culture.

  12. Quantum Error Correction for Metrology

    NASA Astrophysics Data System (ADS)

    Sushkov, Alex; Kessler, Eric; Lovchinsky, Igor; Lukin, Mikhail

    2014-05-01

    The question of the best achievable sensitivity in a quantum measurement is of great experimental relevance, and has seen a lot of attention in recent years. Recent studies [e.g., Nat. Phys. 7, 406 (2011), Nat. Comms. 3, 1063 (2012)] suggest that in most generic scenarios any potential quantum gain (e.g. through the use of entangled states) vanishes in the presence of environmental noise. To overcome these limitations, we propose and analyze a new approach to improve quantum metrology based on quantum error correction (QEC). We identify the conditions under which QEC allows one to improve the signal-to-noise ratio in quantum-limited measurements, and we demonstrate that it enables, in certain situations, Heisenberg-limited sensitivity. We discuss specific applications to nanoscale sensing using nitrogen-vacancy centers in diamond in which QEC can significantly improve the measurement sensitivity and bandwidth under realistic experimental conditions.

  13. Metrological aspects of enzyme production

    NASA Astrophysics Data System (ADS)

    Kerber, T. M.; Dellamora-Ortiz, G. M.; Pereira-Meirelles, F. V.

    2010-05-01

    Enzymes are frequently used in biotechnology to carry out specific biological reactions, either in industrial processes or for the production of bioproducts and drugs. Microbial lipases are an important group of biotechnologically valuable enzymes that present widely diversified applications. Lipase production by microorganisms is described in several published papers; however, none of them refer to metrological evaluation and the estimation of the uncertainty in measurement. Moreover, few of them refer to process optimization through experimental design. The objectives of this work were to enhance lipase production in shaken-flasks with Yarrowia lipolytica cells employing experimental design and to evaluate the uncertainty in measurement of lipase activity. The highest lipolytic activity obtained was about three- and fivefold higher than the reported activities of CRMs BCR-693 and BCR-694, respectively. Lipase production by Y. lipolytica cells aiming the classification as certified reference material is recommended after further purification and stability studies.

  14. Metrological Traceability in the Social Sciences: A Model from Reading Measurement

    NASA Astrophysics Data System (ADS)

    Stenner, A. Jackson; Fisher, William P., Jr.

    2013-09-01

    The central importance of reading ability in learning makes it the natural place to start in formative and summative assessments in education. The Lexile Framework for Reading constitutes a commercial metrological traceability network linking books, test results, instructional materials, and students in elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia.

  15. Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaoxiao; Zhou, Hua; Ge, Zhenhua; Vaid, Alok; Konduparthi, Deepasree; Osorio, Carmen; Ventola, Stefano; Meir, Roi; Shoval, Ori; Kris, Roman; Adan, Ofer; Bar-Zvi, Maayan

    2014-04-01

    At 1X node, 3D FinFETS raise a number of new metrology challenges. Gate height and fin height are two of the most important parameters for process control. At present there is a metrology gap in inline in-die measurement of these parameters. In order to fill this metrology gap, in-column beam tilt has been developed and implemented on Applied Materials V4i+ top-down CD-SEM for height measurement. A low tilt (5°) beam and a high tilt (14°) beam have been calibrated to obtain two sets of images providing measurement of sidewall edge width to calculate height in the host. Evaluations are done with applications in both gate height and fin height. TEM correlation with R2 being 0.89 and precision of 0.81nm have been achieved on various in-die features in gate height application. Fin height measurement shows less accuracy (R2 being 0.77) and precision (1.49 nm) due to challenges brought by fin geometry, yet still promising as first attempt. Sensitivity to DOE offset, die-to-die and in-die variation is demonstrated in both gate height and fin height. Process defect is successfully captured from inline wafers with gate height measurement implemented in production. This is the first successful demonstration of inline in-die gate height measurement for 14nm FinFET process control.

  16. Diffraction gratings metrology and ray-tracing results for an XUV Raman spectrometer at FLASH

    PubMed Central

    Dziarzhytski, Siarhei; Siewert, Frank; Gwalt, Grzegorz; Seliger, Tino; Rübhausen, Michael; Weigelt, Holger; Brenner, Günter

    2018-01-01

    The extreme-ultraviolet double-stage imaging Raman spectrometer is a permanent experimental endstation at the plane-grating monochromator beamline branch PG1 at FLASH at DESY in Hamburg, Germany. This unique instrument covers the photon energy range from 20 to 200 eV with high energy resolution of about 2 to 20 meV (design values) featuring an efficient elastic line suppression as well as effective stray light rejection. Such a design enables studies of low-energy excitations like, for example, phonons in solids close to the vicinity of the elastic line. The Raman spectrometer effectively operates with four reflective off-axial parabolic mirrors and two plane-grating units. The optics quality and their precise alignment are crucial to guarantee best performance of the instrument. Here, results on a comprehensive investigation of the quality of the spectrometer diffraction gratings are presented. The gratings have been characterized by ex situ metrology at the BESSY-II Optics Laboratory, employing slope measuring deflectometry and interferometry as well as atomic force microscopy studies. The efficiency of these key optical elements has been measured at the at-wavelength metrology laboratory using the reflectometer at the BESSY-II Optics beamline. Also, the metrology results are discussed with respect to the expected resolving power of the instrument by including them in ray-tracing studies of the instrument. PMID:29271763

  17. DFM flow by using combination between design based metrology system and model based verification at sub-50nm memory device

    NASA Astrophysics Data System (ADS)

    Kim, Cheol-kyun; Kim, Jungchan; Choi, Jaeseung; Yang, Hyunjo; Yim, Donggyu; Kim, Jinwoong

    2007-03-01

    As the minimum transistor length is getting smaller, the variation and uniformity of transistor length seriously effect device performance. So, the importance of optical proximity effects correction (OPC) and resolution enhancement technology (RET) cannot be overemphasized. However, OPC process is regarded by some as a necessary evil in device performance. In fact, every group which includes process and design, are interested in whole chip CD variation trend and CD uniformity, which represent real wafer. Recently, design based metrology systems are capable of detecting difference between data base to wafer SEM image. Design based metrology systems are able to extract information of whole chip CD variation. According to the results, OPC abnormality was identified and design feedback items are also disclosed. The other approaches are accomplished on EDA companies, like model based OPC verifications. Model based verification will be done for full chip area by using well-calibrated model. The object of model based verification is the prediction of potential weak point on wafer and fast feed back to OPC and design before reticle fabrication. In order to achieve robust design and sufficient device margin, appropriate combination between design based metrology system and model based verification tools is very important. Therefore, we evaluated design based metrology system and matched model based verification system for optimum combination between two systems. In our study, huge amount of data from wafer results are classified and analyzed by statistical method and classified by OPC feedback and design feedback items. Additionally, novel DFM flow would be proposed by using combination of design based metrology and model based verification tools.

  18. Ensuring Food Integrity by Metrology and FAIR Data Principles

    PubMed Central

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F. X.; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C.; Presser, Karl; Zoani, Claudia

    2018-01-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue) and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases. PMID:29872651

  19. Ensuring Food Integrity by Metrology and FAIR Data Principles

    NASA Astrophysics Data System (ADS)

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F. X.; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C.; Presser, Karl; Zoani, Claudia

    2018-05-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour and oyster tissue) and establishing a system how to compile, process and store the generated data and how to exchange, compare them and make them accessible in data bases.

  20. Ensuring Food Integrity by Metrology and FAIR Data Principles.

    PubMed

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F X; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C; Presser, Karl; Zoani, Claudia

    2018-01-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its "Early Phase" as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue) and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  1. Metrology Arrangement for Measuring the Positions of Mirrors of a Submillimeter Telescope

    NASA Technical Reports Server (NTRS)

    Abramovici, Alex; Bartman, Randall K.

    2011-01-01

    The position of the secondary mirror of a submillimeter telescope with respect to the primary mirror needs to be known .0.03 mm in three dimensions. At the time of this reporting, no convenient, reasonably priced arrangement that offers this capability exists. The solution proposed here relies on measurement devices developed and deployed for the GeoSAR mission, and later adapted for the ISAT (Innovative Space Based Radar Antenna Technology) demonstration. The measurement arrangement consists of four metrology heads, located on an optical bench, attached to the secondary mirror. Each metrology head has a dedicated target located at the edge of the primary mirror. One laser beam, launched from the head and returned by the target, is used to measure distance. Another beam, launched from a beacon on the target, is monitored by the metrology head and generates a measurement of the target position in the plane perpendicular to the laser beam. A 100-MHz modulation is carried by a collimated laser beam. The relevant wavelength is the RF one, 3 m, divided by two, because the light carries it to the target and back. The phase change due to travel to the target and back is measured by timing the zero-crossing of the RF modulation, using a 100-MHz clock. In order to obtain good resolution, the 100-MHz modulation signal is down-converted to 1 kHz. Then, the phase change corresponding to the round-trip to the target is carried by a 1-kHz signal. Since the 100-MHz clock beats 100,000 times during one period of the 1-kHz signal, the least-significant-bit (LSB) resolution is LSB = 0.015 mm.

  2. Traceable quantum sensing and metrology relied up a quantum electrical triangle principle

    NASA Astrophysics Data System (ADS)

    Fang, Yan; Wang, Hengliang; Yang, Xinju; Wei, Jingsong

    2016-11-01

    Hybrid quantum state engineering in quantum communication and imaging1-2 needs traceable quantum sensing and metrology, which are especially critical to quantum internet3 and precision measurements4 that are important across all fields of science and technology-. We aim to set up a mode of traceable quantum sensing and metrology. We developed a method by specially transforming an atomic force microscopy (AFM) and a scanning tunneling microscopy (STM) into a conducting atomic force microscopy (C-AFM) with a feedback control loop, wherein quantum entanglement enabling higher precision was relied upon a set-point, a visible light laser beam-controlled an interferometer with a surface standard at z axis, diffractometers with lateral standards at x-y axes, four-quadrant photodiode detectors, a scanner and its image software, a phase-locked pre-amplifier, a cantilever with a kHz Pt/Au conducting tip, a double barrier tunneling junction model, a STM circuit by frequency modulation and a quantum electrical triangle principle involving single electron tunneling effect, quantum Hall effect and Josephson effect5. The average and standard deviation result of repeated measurements on a 1 nm height local micro-region of nanomedicine crystal hybrid quantum state engineering surface and its differential pA level current and voltage (dI/dV) in time domains by using C-AFM was converted into an international system of units: Siemens (S), an indicated value 0.86×10-12 S (n=6) of a relative standard uncertainty was superior over a relative standard uncertainty reference value 2.3×10-10 S of 2012 CODADA quantized conductance6. It is concluded that traceable quantum sensing and metrology is emerging.

  3. FOREWORD: The 4th CCM International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa)

    NASA Astrophysics Data System (ADS)

    Legras, Jean-Claude; Jousten, Karl; Severn, Ian

    2005-12-01

    The fourth CCM (Consultative Committee for Mass and related quantities) International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa) was held at the Institute of Physics in London from 19-21 April 2005. The event, which was organized by the Low, Medium and High Pressure working groups of the CCM, was attended by in excess of one hundred participants with representatives from five continents and every regional metrology organization. The purpose of this conference is to review all the work that is devoted to the highest quality of pressure measurement by primary standards as well as the dissemination of the pressure scale. A total of 52 papers were presented orally, and 26 as posters, in sessions that covered the following topics: Latest scientific advances in pressure and vacuum metrology Innovative transfer standards, advanced sensors and new instrument development Primary (top-level) measurement standards International and regional key comparisons New approaches to calibration It is interesting the note that since the third conference in 1999 the pressure range covered has increased by two orders of magnitude to 109 Pa, to take into account more exacting scientific and industrial demands for traceable vacuum measurement. A further feature of the conference was the increased range of instrumentation and techniques used in the realization and potential realization of pressure standards. Seton Bennett, Director of International Metrology at the National Physical Laboratory, opened the conference and Andrew Wallard, Director of the Bureau International des Poids et Mesures (BIPM), gave the keynote address which described the implementation of the mutual recognition arrangement and the resulting removal of metrological barriers to international trade. Many experts have contributed significant amounts of their time to organize the event and to review the submitted papers. Thanks are due to all of these people

  4. PulseNet China, a model for future laboratory-based bacterial infectious disease surveillance in China.

    PubMed

    Li, Wei; Lu, Shan; Cui, Zhigang; Cui, Jinghua; Zhou, Haijian; Wang, Yiqing; Shao, Zhujun; Ye, Changyun; Kan, Biao; Xu, Jianguo

    2012-12-01

    Surveillance is critical for the prevention and control of infectious disease. China's real-time web-based infectious disease reporting system is a distinguished achievement. However, many aspects of the current China Infectious Disease Surveillance System do not yet meet the demand for timely outbreak detection and identification of emerging infectious disease. PulseNet, the national molecular typing network for foodborne disease surveillance was first established by the Centers for Disease Control and Prevention of the United States in 1995 and has proven valuable in the early detection of outbreaks and tracing the pathogen source. Since 2001, the China CDC laboratory for bacterial pathogen analysis has been a member of the PulseNet International family; and has been adapting the idea and methodology of PulseNet to develop a model for a future national laboratory-based surveillance system for all bacterial infectious disease.We summarized the development progress for the PulseNet China system and discussed it as a model for the future of China's national laboratory-based surveillance system.

  5. Overlay improvement methods with diffraction based overlay and integrated metrology

    NASA Astrophysics Data System (ADS)

    Nam, Young-Sun; Kim, Sunny; Shin, Ju Hee; Choi, Young Sin; Yun, Sang Ho; Kim, Young Hoon; Shin, Si Woo; Kong, Jeong Heung; Kang, Young Seog; Ha, Hun Hwan

    2015-03-01

    To accord with new requirement of securing more overlay margin, not only the optical overlay measurement is faced with the technical limitations to represent cell pattern's behavior, but also the larger measurement samples are inevitable for minimizing statistical errors and better estimation of circumstance in a lot. From these reasons, diffraction based overlay (DBO) and integrated metrology (IM) were mainly proposed as new approaches for overlay enhancement in this paper.

  6. The equivalency between logic Petri workflow nets and workflow nets.

    PubMed

    Wang, Jing; Yu, ShuXia; Du, YuYue

    2015-01-01

    Logic Petri nets (LPNs) can describe and analyze batch processing functions and passing value indeterminacy in cooperative systems. Logic Petri workflow nets (LPWNs) are proposed based on LPNs in this paper. Process mining is regarded as an important bridge between modeling and analysis of data mining and business process. Workflow nets (WF-nets) are the extension to Petri nets (PNs), and have successfully been used to process mining. Some shortcomings cannot be avoided in process mining, such as duplicate tasks, invisible tasks, and the noise of logs. The online shop in electronic commerce in this paper is modeled to prove the equivalence between LPWNs and WF-nets, and advantages of LPWNs are presented.

  7. The Equivalency between Logic Petri Workflow Nets and Workflow Nets

    PubMed Central

    Wang, Jing; Yu, ShuXia; Du, YuYue

    2015-01-01

    Logic Petri nets (LPNs) can describe and analyze batch processing functions and passing value indeterminacy in cooperative systems. Logic Petri workflow nets (LPWNs) are proposed based on LPNs in this paper. Process mining is regarded as an important bridge between modeling and analysis of data mining and business process. Workflow nets (WF-nets) are the extension to Petri nets (PNs), and have successfully been used to process mining. Some shortcomings cannot be avoided in process mining, such as duplicate tasks, invisible tasks, and the noise of logs. The online shop in electronic commerce in this paper is modeled to prove the equivalence between LPWNs and WF-nets, and advantages of LPWNs are presented. PMID:25821845

  8. Linear Optical Quantum Metrology with Single Photons: Exploiting Spontaneously Generated Entanglement to Beat the Shot-Noise Limit

    NASA Astrophysics Data System (ADS)

    Motes, Keith R.; Olson, Jonathan P.; Rabeaux, Evan J.; Dowling, Jonathan P.; Olson, S. Jay; Rohde, Peter P.

    2015-05-01

    Quantum number-path entanglement is a resource for supersensitive quantum metrology and in particular provides for sub-shot-noise or even Heisenberg-limited sensitivity. However, such number-path entanglement has been thought to be resource intensive to create in the first place—typically requiring either very strong nonlinearities, or nondeterministic preparation schemes with feedforward, which are difficult to implement. Very recently, arising from the study of quantum random walks with multiphoton walkers, as well as the study of the computational complexity of passive linear optical interferometers fed with single-photon inputs, it has been shown that such passive linear optical devices generate a superexponentially large amount of number-path entanglement. A logical question to ask is whether this entanglement may be exploited for quantum metrology. We answer that question here in the affirmative by showing that a simple, passive, linear-optical interferometer—fed with only uncorrelated, single-photon inputs, coupled with simple, single-mode, disjoint photodetection—is capable of significantly beating the shot-noise limit. Our result implies a pathway forward to practical quantum metrology with readily available technology.

  9. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    NASA Astrophysics Data System (ADS)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  10. Linear optical quantum metrology with single photons: exploiting spontaneously generated entanglement to beat the shot-noise limit.

    PubMed

    Motes, Keith R; Olson, Jonathan P; Rabeaux, Evan J; Dowling, Jonathan P; Olson, S Jay; Rohde, Peter P

    2015-05-01

    Quantum number-path entanglement is a resource for supersensitive quantum metrology and in particular provides for sub-shot-noise or even Heisenberg-limited sensitivity. However, such number-path entanglement has been thought to be resource intensive to create in the first place--typically requiring either very strong nonlinearities, or nondeterministic preparation schemes with feedforward, which are difficult to implement. Very recently, arising from the study of quantum random walks with multiphoton walkers, as well as the study of the computational complexity of passive linear optical interferometers fed with single-photon inputs, it has been shown that such passive linear optical devices generate a superexponentially large amount of number-path entanglement. A logical question to ask is whether this entanglement may be exploited for quantum metrology. We answer that question here in the affirmative by showing that a simple, passive, linear-optical interferometer--fed with only uncorrelated, single-photon inputs, coupled with simple, single-mode, disjoint photodetection--is capable of significantly beating the shot-noise limit. Our result implies a pathway forward to practical quantum metrology with readily available technology.

  11. Holistic metrology qualification extension and its application to characterize overlay targets with asymmetric effects

    NASA Astrophysics Data System (ADS)

    Dos Santos Ferreira, Olavio; Sadat Gousheh, Reza; Visser, Bart; Lie, Kenrick; Teuwen, Rachel; Izikson, Pavel; Grzela, Grzegorz; Mokaberi, Babak; Zhou, Steve; Smith, Justin; Husain, Danish; Mandoy, Ram S.; Olvera, Raul

    2018-03-01

    Ever increasing need for tighter on-product overlay (OPO), as well as enhanced accuracy in overlay metrology and methodology, is driving semiconductor industry's technologists to innovate new approaches to OPO measurements. In case of High Volume Manufacturing (HVM) fabs, it is often critical to strive for both accuracy and robustness. Robustness, in particular, can be challenging in metrology since overlay targets can be impacted by proximity of other structures next to the overlay target (asymmetric effects), as well as symmetric stack changes such as photoresist height variations. Both symmetric and asymmetric contributors have impact on robustness. Furthermore, tweaking or optimizing wafer processing parameters for maximum yield may have an adverse effect on physical target integrity. As a result, measuring and monitoring physical changes or process abnormalities/artefacts in terms of new Key Performance Indicators (KPIs) is crucial for the end goal of minimizing true in-die overlay of the integrated circuits (ICs). IC manufacturing fabs often relied on CD-SEM in the past to capture true in-die overlay. Due to destructive and intrusive nature of CD-SEMs on certain materials, it's desirable to characterize asymmetry effects for overlay targets via inline KPIs utilizing YieldStar (YS) metrology tools. These KPIs can also be integrated as part of (μDBO) target evaluation and selection for final recipe flow. In this publication, the Holistic Metrology Qualification (HMQ) flow was extended to account for process induced (asymmetric) effects such as Grating Imbalance (GI) and Bottom Grating Asymmetry (BGA). Local GI typically contributes to the intrafield OPO whereas BGA typically impacts the interfield OPO, predominantly at the wafer edge. Stack height variations highly impact overlay metrology accuracy, in particular in case of multi-layer LithoEtch Litho-Etch (LELE) overlay control scheme. Introducing a GI impact on overlay (in nm) KPI check quantifies the

  12. Rapid Scale-Up of Long-Lasting Insecticide-Treated Bed Nets through Integration into the National Immunization Program during Child Health Week in Togo, 2004

    PubMed Central

    Wolkon, Adam; Vanden Eng, Jodi L.; Morgah, Kodjo; Eliades, M. James; Thwing, Julie; Terlouw, Dianne J.; Takpa, Vincent; Dare, Aboudou; Sodahlon, Yao K.; Doumanou, Yao; Hightower, Allen W.; Lama, Marcel; Thawani, Neeta; Slutsker, Laurence; Hawley, William A.

    2010-01-01

    In December 2004, Togo was the first country to conduct a nationwide free insecticide-treated net (ITN) distribution as part of its National Integrated Child Health Campaign. Community-based cross-sectional surveys were conducted one and nine months post-campaign as part of a multidisciplinary evaluation of the nationwide distribution of ITNs to children 9–59 months of age to evaluate ITN ownership, equity, and use. Our results demonstrated that at one month post-campaign, 93.1% of all eligible children received an ITN. Household ITN ownership and equity increased significantly post-campaign. Nine months post-campaign, 78.6% of households with a child eligible to participate in the campaign retained at least one campaign net. Use by eligible children was 43.5% at one month post-campaign (during the dry season) and 52.9% at nine months post-campaign (during the rainy season). Household ownership of at least one ITN increased from 8.0% pre-campaign to 62.5% one month post-campaign. Together, these findings demonstrate that in this setting, increased household ITN ownership, equity, and retention can be achieved on a national scale through free ITN distribution during an integrated campaign. PMID:21036829

  13. Encapsulation and backsheet adhesion metrology for photovoltaic modules

    DOE PAGES

    Tracy, Jared; Bosco, Nick; Novoa, Fernando; ...

    2016-09-26

    Photovoltaic modules are designed to operate for decades in terrestrial environments. However, mechanical stress, moisture, and ultraviolet radiation eventually degrade protective materials in modules, particularly their adhesion properties, eventually leading to reduced solar cell performance. Despite the significance of interfacial adhesion to module durability, currently there is no reliable technique for characterizing module adhesion properties. We present a simple and reproducible metrology for characterizing adhesion in photovoltaic modules that is grounded in fundamental concepts of beam and fracture mechanics. Using width-tapered cantilever beam fracture specimens, interfacial adhesion was evaluated on relevant interfaces of encapsulation and backsheet structures of new andmore » 27-year-old historic modules. The adhesion energy, Gc [J/m 2], was calculated from the critical value of the strain energy release rate, G, using G = βP2, where β (a mechanical and geometric parameter of the fracture specimen) and P (the experimentally measured critical load) are constants. Under some circumstances where testing may result in cracking of brittle layers in the test specimen, measurement of the delamination length in addition to the critical load was necessary to determine G. Relative to new module materials, backsheet adhesion was 95% and 98% lower for historic modules that were exposed (operated in the field) and unexposed (stored on-site, but out of direct sunlight), respectively. Encapsulation adhesion was 87-94% lower in the exposed modules and 31% lower in the unexposed module. As a result, the metrology presented here can be used to improve module materials and assess long-term reliability.« less

  14. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  15. Net one, net two: the primary care network income statement.

    PubMed

    Halley, M D; Little, A W

    1999-10-01

    Although hospital-owned primary care practices have been unprofitable for most hospitals, some hospitals are achieving competitive advantage and sustainable practice operations. A key to the success of some has been a net income reporting tool that separates practice operating expenses from the costs of creating and operating a network of practices to help healthcare organization managers, physicians, and staff to identify opportunities to improve the network's financial performance. This "Net One, Net Two" reporting allows operations leadership to be held accountable for Net One expenses and strategic leadership to be held accountable for Net Two expenses.

  16. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    NASA Astrophysics Data System (ADS)

    Fisher, William P., Jr.; Stenner, A. Jackson

    2013-09-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice.

  17. Optimization of pencil beam f-theta lens for high-accuracy metrology

    NASA Astrophysics Data System (ADS)

    Peng, Chuanqian; He, Yumei; Wang, Jie

    2018-01-01

    Pencil beam deflectometric profilers are common instruments for high-accuracy surface slope metrology of x-ray mirrors in synchrotron facilities. An f-theta optical system is a key optical component of the deflectometric profilers and is used to perform the linear angle-to-position conversion. Traditional optimization procedures of the f-theta systems are not directly related to the angle-to-position conversion relation and are performed with stops of large size and a fixed working distance, which means they may not be suitable for the design of f-theta systems working with a small-sized pencil beam within a working distance range for ultra-high-accuracy metrology. If an f-theta system is not well-designed, aberrations of the f-theta system will introduce many systematic errors into the measurement. A least-squares' fitting procedure was used to optimize the configuration parameters of an f-theta system. Simulations using ZEMAX software showed that the optimized f-theta system significantly suppressed the angle-to-position conversion errors caused by aberrations. Any pencil-beam f-theta optical system can be optimized with the help of this optimization method.

  18. Machine learning and predictive data analytics enabling metrology and process control in IC fabrication

    NASA Astrophysics Data System (ADS)

    Rana, Narender; Zhang, Yunlin; Wall, Donald; Dirahoui, Bachir; Bailey, Todd C.

    2015-03-01

    Integrate circuit (IC) technology is going through multiple changes in terms of patterning techniques (multiple patterning, EUV and DSA), device architectures (FinFET, nanowire, graphene) and patterning scale (few nanometers). These changes require tight controls on processes and measurements to achieve the required device performance, and challenge the metrology and process control in terms of capability and quality. Multivariate data with complex nonlinear trends and correlations generally cannot be described well by mathematical or parametric models but can be relatively easily learned by computing machines and used to predict or extrapolate. This paper introduces the predictive metrology approach which has been applied to three different applications. Machine learning and predictive analytics have been leveraged to accurately predict dimensions of EUV resist patterns down to 18 nm half pitch leveraging resist shrinkage patterns. These patterns could not be directly and accurately measured due to metrology tool limitations. Machine learning has also been applied to predict the electrical performance early in the process pipeline for deep trench capacitance and metal line resistance. As the wafer goes through various processes its associated cost multiplies. It may take days to weeks to get the electrical performance readout. Predicting the electrical performance early on can be very valuable in enabling timely actionable decision such as rework, scrap, feedforward, feedback predicted information or information derived from prediction to improve or monitor processes. This paper provides a general overview of machine learning and advanced analytics application in the advanced semiconductor development and manufacturing.

  19. Threats to the health care safety net.

    PubMed

    Taylor, T B

    2001-11-01

    The American health care safety net is threatened due to inadequate funding in the face of increasing demand for services by virtually every segment of our society. The safety net is vital to public safety because it is the sole provider for first-line emergency care, as well as for routine health care of last resort, through hospital emergency departments (ED), emergency medical services providers (EMS), and public/free clinics. Despite the perceived complexity, the causes and solutions for the current crisis reside in simple economics. During the last two decades health care funding has radically changed, yet the fundamental infrastructure of the safety net has change little. In 1986, the Emergency Medical Treatment and Active Labor Act established federally mandated safety net care that inadvertently encouraged reliance on hospital EDs as the principal safety net resource. At the same time, decreasing health care funding from both private and public sources resulted in declining availability of services necessary to support this shift in demand, including hospital inpatient beds, EDs, EMS providers, on-call specialists, hospital-based nurses, and public hospitals/clinics. The result has been ED/hospital crowding and resource shortages that at times limit the ability to provide even true emergency care and threaten the ability of the traditional safety net to protect public health and safety. This paper explores the composition of the American health care safety net, the root causes for its disintegration, and offers short- and long-term solutions. The solutions discussed include restructuring of disproportionate share funding; presumed (deemed) eligibility for Medicaid eligibility; restructuring of funding for emergency care; health care for foreign nationals; the nursing shortage; utilization of a "health care resources commission"; "episodic (periodic)" health care coverage; best practices and health care services coordination; and government and hospital

  20. Advanced in-line metrology strategy for self-aligned quadruple patterning

    NASA Astrophysics Data System (ADS)

    Chao, Robin; Breton, Mary; L'herron, Benoit; Mendoza, Brock; Muthinti, Raja; Nelson, Florence; De La Pena, Abraham; Le, Fee li; Miller, Eric; Sieg, Stuart; Demarest, James; Gin, Peter; Wormington, Matthew; Cepler, Aron; Bozdog, Cornel; Sendelbach, Matthew; Wolfling, Shay; Cardinal, Tom; Kanakasabapathy, Sivananda; Gaudiello, John; Felix, Nelson

    2016-03-01

    Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming

  1. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sawhney, Kawal

    2016-05-15

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds intomore » the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.« less

  2. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    NASA Astrophysics Data System (ADS)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  3. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.

    2008-07-14

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performancemore » and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation.« less

  4. Adhesive Bonding for Optical Metrology Systems in Space Applications

    NASA Astrophysics Data System (ADS)

    Gohlke, Martin; Schuldt, Thilo; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis; Braxmaier, Claus

    2015-05-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10-15 range for longer integration times. The EM setup was thermally cycled and vibration tested.

  5. Metrological challenges for measurements of key climatological observables, Part 4: Atmospheric relative humidity

    PubMed Central

    Lovell-Smith, J W; Feistel, R; Harvey, A H; Hellmuth, O; Bell, S A; Heinonen, M; Cooper, J R

    2016-01-01

    Water in its three ambient phases plays the central thermodynamic role in the terrestrial climate system. Clouds control Earth’s radiation balance, atmospheric water vapour is the strongest “greenhouse” gas, and non-equilibrium relative humidity at the air-sea interface drives evaporation and latent heat export from the ocean. In this paper, we examine the climatologically relevant atmospheric relative humidity, noting fundamental deficiencies in the definition of this key observable. The metrological history of this quantity is reviewed, problems with its current definition and measurement practice are analysed, and options for future improvements are discussed in conjunction with the recent seawater standard TEOS-10. It is concluded that the International Bureau of Weights and Measures, (BIPM), in cooperation with the International Association for the Properties of Water and Steam, IAPWS, along with other international organisations and institutions, can make significant contributions by developing and recommending state-of-the-art solutions for this long standing metrological problem, such as are suggested here. PMID:26877551

  6. The need for LWR metrology standardization: the imec roughness protocol

    NASA Astrophysics Data System (ADS)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  7. A three-fingered, touch-sensitive, metrological micro-robotic assembly tool

    NASA Astrophysics Data System (ADS)

    Torralba, Marta; Hastings, D. J.; Thousand, Jeffery D.; Nowakowski, Bartosz K.; Smith, Stuart T.

    2015-12-01

    This article describes a metrological, robotic hand to manipulate and measure micrometer size objects. The presented work demonstrates not only assembly operations, but also positioning control and metrology capability. Sample motion is achieved by a commercial positioning stage, which provides XYZ-displacements for assembly of components. A designed and manufactured gripper tool that incorporates 21 degrees-of-freedom for independent alignment of actuators, sensors, and the three fingers of this hand is presented. These fingers can be opened and closed by piezoelectric actuators through levered flexures providing an 80 μm displacement range measured with calibrated opto-interrupter based, knife-edge sensors. The operational ends of the fingers comprise of a quartz tuning fork with a 7 μm diameter 3.2 mm long carbon fiber extending from the end of one tuning fork tine. Finger-tip force-sensing is achieved by the monitoring of individual finger resonances typically at around 32 kHz. Experimental results included are focused on probe performance analysis. Pick and place operation using the three fingers is demonstrated with all fingers being continuously oscillated, a capability not possible with the previous single or two finger tweezer type designs. By monitoring electrical feedback during pick and place operations, changes in the response of the three probes demonstrate the ability to identify both grab and release operations. Component metrology has been assessed by contacting different micro-spheres of diameters 50(±7.5) μm, 135(±20) μm, and 140(±20) μm. These were measured by the micro robot to have diameters of 67, 133, and 126 μm respectively with corresponding deviations of 4.2, 4.9, and 4.3 μm. This deviation in the measured results was primarily due to the manual, joystick-based, contacting of the fingers, difficulties associated with centering the components to the axis of the hand, and lower contact sensitivity for the smallest sphere

  8. Low-Value Medical Services in the Safety-Net Population

    PubMed Central

    Linder, Jeffrey A.; Clark, Cheryl R.; Sommers, Benjamin D.

    2017-01-01

    Importance National patterns of low-value and high-value care delivered to patients without insurance or with Medicaid could inform public policy but have not been previously examined. Objective To measure rates of low-value care and high-value care received by patients without insurance or with Medicaid, compared with privately insured patients, and provided by safety-net physicians vs non–safety-net physicians. Design, Setting, and Participants This multiyear cross-sectional observational study included all patients ages 18 to 64 years from the National Ambulatory Medical Care Survey (2005-2013) and the National Hospital Ambulatory Medical Care Survey (2005-2011) eligible for any of the 21 previously defined low-value or high-value care measures. All measures were analyzed with multivariable logistic regression and adjusted for patient and physician characteristics. Exposures Comparison of patients by insurance status (uninsured/Medicaid vs privately insured) and safety-net physicians (seeing >25% uninsured/Medicaid patients) vs non–safety-net physicians (seeing 1%-10%). Main Outcomes and Measures Delivery of 9 low-value or 12 high-value care measures, based on previous research definitions, and composite measures for any high-value or low-value care delivery during an office visit. Results Overall, 193 062 office visits were eligible for at least 1 measure. Mean (95% CI) age for privately insured patients (n = 94 707) was 44.7 (44.5-44.9) years; patients on Medicaid (n = 45 123), 39.8 (39.3-40.3) years; and uninsured patients (n = 19 530), 41.9 (41.5-42.4) years. Overall, low-value and high-value care was delivered in 19.4% (95% CI, 18.5%-20.2%) and 33.4% (95% CI, 32.4%-34.3%) of eligible encounters, respectively. Rates of low-value and high-value care delivery were similar across insurance types for the majority of services examined. Among Medicaid patients, adjusted rates of use were no different for 6 of 9 low-value and 9 of 12 high

  9. HiSeasNet: Oceanographic Ships Join the Grid

    NASA Astrophysics Data System (ADS)

    Berger, Jonathan; Orcutt, John; Foley, Steven; Bohlen, Steven

    2006-05-01

    HiSeasNet, the communications network providing full-period Internet access for the U.S. academic ocean research fleet, is an enabling technology that is changing the way oceanography is done in the 21st century. With the installation in March 2006 of a system on the research vessel (R/V) Seward Johnson and the planned installation on the R/V Marcus Langseth later this year, all but two of the Universities National Oceanographic Laboratories System (UNOLS) fleet of large/global and intermediate/ocean vessels will be equipped with HiSeasNet capability. HiSeasNet is a full-service Internet Protocol (IP) satellite network utilizing Cisco technology. In addition to the familiar IP services-such as e-mail, telnet, ssh, rlogin, Web traffic, and ftp-HiSeasNet can move real-time audio and video traffic across the satellite links. Phone systems onboard research ships can be connected to their home institutions' phone exchanges. Video teleconferencing with the current 96 kilobits per second circuits supports compressed video frame rates at about 10 frames per second, allowing for effective conversations and demonstrations with ship-to-shore video.

  10. Impact of 68Ga-DOTA-Peptide PET/CT on the Management of Gastrointestinal Neuroendocrine Tumour (GI-NET): Malaysian National Referral Centre Experience.

    PubMed

    Tan, Teik Hin; Boey, Ching Yeen; Lee, Boon Nang

    2018-04-01

    The National Cancer Institute is the only referral centre in Malaysia that provides 68 Ga-DOTA-peptide imaging. The purpose of this study is to determine the impact of 68 Ga-DOTA-peptide PET/CT on the management of gastrointestinal neuroendocrine tumours (GI-NET). A cross-sectional study was performed to review the impact of 68 Ga-DOTA-peptide ( 68 Ga-DOTATATE or 68 Ga-DOTATOC) PET/CT on patients with biopsy-proven GI-NET between January 2011 and December 2015. Suspected NET was excluded. Demographic data, tumoral characteristics, change of disease stage, pre-PET intended management and post-PET management were evaluated. Over a 5-year period, 82 studies of 68 Ga-DOTA-peptide PET/CT were performed on 44 GI-NET patients. The most common primary site was the rectum (50.0%) followed by the small bowel, stomach and colon. Using WHO 2010 grading, 40.9% of patients had low-grade (G1) tumour, 22.7% intermediate (G2) and 4.5% high (G3). Of ten patients scheduled for pre-operative staging, 68 Ga-DOTA-peptide PET/CT only led to therapeutic change in three patients. Furthermore, false-negative results of 68 Ga-DOTA-peptide PET/CT were reported in one patient after surgical confirmation. However, therapeutic changes were seen in 20/36 patients (55.6%) scheduled for post-surgical restaging or assessment of somatostatin analogue (SSA) eligibility. When 68 Ga-DOTA-peptide PET/CT was used for monitoring disease progress during systemic treatment (sandostatin, chemotherapy, everolimus and PRRT) in metastatic disease, impact on management modification was seen in 19/36 patients (52.8%), of which 84.2% had inter-modality change (switch to everolimus, chemotherapy or PRRT) and 15.8% had intra-modality change (increased SSA dosage). 68 Ga-DOTA-peptide PET/CT has a significant impact on management decisions in GI-NET patients as it can provide additional information on occult metastasis/equivocal lesions and supply the clinician an opportunity to select patients for targeted therapy.

  11. Current state of the art in small mass and force metrology within the International System of Units

    NASA Astrophysics Data System (ADS)

    Shaw, Gordon A.

    2018-07-01

    This review article summarizes new scientific trends in research for metrology of small mass (1 mg and lower) and small force (10 micronewtons and lower). After a brief introduction to the field, this paper provides an overview of recent developments in methods that demonstrate traceability to the International System of Units (SI) with emphasis on the implications of redefining the kilogram in terms of Planck’s constant. Specific research applications include new metrology facilities, calibration of small mass and force references such as milligram to submilligram masses or atomic force microscope (AFM) cantilevers, and laser power measurement using radiation pressure forces. Also discussed are recent scientific developments that may impact the field moving forward in the study of ultrasmall forces present in trapped and cooled quantum mechanical systems, resonant micro- and nanomechanical mass sensors, and other areas that are potentially well suited for SI metrology. The work reviewed is not intended as a comprehensive review of all research in which small forces are measured, but rather as an overview of a field in which the accurate measurement of small mass and force with quantified uncertainty is the primary goal.

  12. Evaluation of a new photomask CD metrology tool

    NASA Astrophysics Data System (ADS)

    Dubuque, Leonard F.; Doe, Nicholas G.; St. Cin, Patrick

    1996-12-01

    In the integrated circuit (IC) photomask industry today, dense IC patterns, sub-micron critical dimensions (CD), and narrow tolerances for 64 M technologies and beyond are driving increased demands to minimize and characterize all components of photomask CD variation. This places strict requirements on photomask CD metrology in order to accurately characterize the mask CD error distribution. According to the gauge-maker's rule, measurement error must not exceed 30% of the tolerance on the product dimension measured or the gauge is not considered capable. The traditional single point repeatability tests are a poor measure of overall measurement system error in a dynamic, leading-edge technology environment. In such an environment, measurements may be taken at different points in the field- of-view due to stage in-accuracy, pattern recognition requirements, and throughput considerations. With this in mind, a set of experiments were designed to characterize thoroughly the metrology tool's repeatability and systematic error. Original experiments provided inconclusive results and had to be extended to obtain a full characterization of the system. Tests demonstrated a performance of better than 15 nm total CD error. Using this test as a tool for further development, the authors were able to determine the effects of various system components and measure the improvement with changes in optics, electronics, and software. Optimization of the optical path, electronics, and system software has yielded a new instrument with a total system error of better than 8 nm. Good collaboration between the photomask manufacturer and the equipment supplier has led to a realistic test of system performance and an improved CD measurement instrument.

  13. Deep sub-wavelength metrology for advanced defect classification

    NASA Astrophysics Data System (ADS)

    van der Walle, P.; Kramer, E.; van der Donck, J. C. J.; Mulckhuyse, W.; Nijsten, L.; Bernal Arango, F. A.; de Jong, A.; van Zeijl, E.; Spruit, H. E. T.; van den Berg, J. H.; Nanda, G.; van Langen-Suurling, A. K.; Alkemade, P. F. A.; Pereira, S. F.; Maas, D. J.

    2017-06-01

    Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.

  14. National Educational Technology. Standards for Students.

    ERIC Educational Resources Information Center

    International Society for Technology in Education, Eugene, OR.

    The primary goals of the National Educational Technology Standards (NETS) project is to enable stakeholders in PreK-12 education to develop national standards for the educational uses of technology that will facilitate school improvement in the United States. The NETS Project will develop standards to guide educational leaders in recognizing and…

  15. Radionuclide metrology research for nuclear site decommissioning

    NASA Astrophysics Data System (ADS)

    Judge, S. M.; Regan, P. H.

    2017-11-01

    The safe and cost-effective decommissioning of legacy nuclear sites relies on accurate measurement of the radioactivity content of the waste materials, so that the waste can be assigned to the most appropriate disposal route. Such measurements are a new challenge for the science of radionuclide metrology which was established largely to support routine measurements on operating nuclear sites and other applications such as nuclear medicine. In this paper, we provide a brief summary of the international measurement system that is established to enable nuclear site operators to demonstrate that measurements are accurate, independent and fit for purpose, and highlight some of the projects that are underway to adapt the measurement system to meet the changing demands from the industry.

  16. Fabrication High Resolution Metrology Target By Step And Repeat Method

    NASA Astrophysics Data System (ADS)

    Dusa, Mircea

    1983-10-01

    Based on the photolithography process generally used to generate high resolution masks for semiconductor I.C.S, we found a very useful industrial application of laser technology.First, we have generated high resolution metrology targets which are used in industrial measurement laser interferometers as difra.ction gratings. Secondi we have generated these targets using step and repeat machine, with He-Ne laser interferometer controlled state, as a pattern generator, due to suitable computer programming.Actually, high resolution metrology target, means two chromium plates, one of which is called the" rule" the other one the "vernier". In Fig.1 we have the configuration of the rule and the vernier. The rule has a succesion of 3 μM lines generated as a difraction grating on a 4 x 4 inch chromium blank. The vernier has several exposed fields( areas) having 3 - 15 μm lines, fields placed on very precise position on the chromium blank surface. High degree of uniformity, tight CD tolerances, low defect density required by the targets, creates specialised problems during processing. Details of the processing, together with experimental results will be presented. Before we start to enter into process details, we have to point out that the dimensional requirements of the reticle target, are quite similar or perhaps more strict than LSI master casks. These requirements presented in Fig.2.

  17. Catamaran Nets

    NASA Technical Reports Server (NTRS)

    1990-01-01

    West Coast Netting, Inc.'s net of Hyperester twine, is made of three strands of fiber twisted together by a company-invented sophisticated twisting machine and process that maintain precisely the same tension on each strand. The resulting twine offers higher strength and improved abrasion resistance. The technology that created the Hyperester supertwine has found spinoff applications, first as an extra-efficient seine for tuna fishing, then as a capture net for law enforcement agencies. The newest one is as a deck for racing catamarans. Hyperester twine net has been used on most of the high performance racing catamarans of recent years, including the America's Cup Challenge boats. They are tough and hold up well in the continual exposure to sunlight and saltwater.

  18. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maas, D. J., E-mail: diederik.maas@tno.nl; Herfst, R.; Veldhoven, E. van

    2015-10-15

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate samplemore » charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays.« less

  19. Three-dimensional digital holographic aperture synthesis for rapid and highly-accurate large-volume metrology

    NASA Astrophysics Data System (ADS)

    Crouch, Stephen; Kaylor, Brant M.; Barber, Zeb W.; Reibel, Randy R.

    2015-09-01

    Currently large volume, high accuracy three-dimensional (3D) metrology is dominated by laser trackers, which typically utilize a laser scanner and cooperative reflector to estimate points on a given surface. The dependency upon the placement of cooperative targets dramatically inhibits the speed at which metrology can be conducted. To increase speed, laser scanners or structured illumination systems can be used directly on the surface of interest. Both approaches are restricted in their axial and lateral resolution at longer stand-off distances due to the diffraction limit of the optics used. Holographic aperture ladar (HAL) and synthetic aperture ladar (SAL) can enhance the lateral resolution of an imaging system by synthesizing much larger apertures by digitally combining measurements from multiple smaller apertures. Both of these approaches only produce two-dimensional imagery and are therefore not suitable for large volume 3D metrology. We combined the SAL and HAL approaches to create a swept frequency digital holographic 3D imaging system that provides rapid measurement speed for surface coverage with unprecedented axial and lateral resolution at longer standoff ranges. The technique yields a "data cube" of Fourier domain data, which can be processed with a 3D Fourier transform to reveal a 3D estimate of the surface. In this paper, we provide the theoretical background for the technique and show experimental results based on an ultra-wideband frequency modulated continuous wave (FMCW) chirped heterodyne ranging system showing ~100 micron lateral and axial precisions at >2 m standoff distances.

  20. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    NASA Astrophysics Data System (ADS)

    Maas, D. J.; Fliervoet, T.; Herfst, R.; van Veldhoven, E.; Meessen, J.; Vaenkatesan, V.; Sadeghian, H.

    2015-10-01

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays.

  1. MoLeNET Mobile Learning Conference 2009: Research Papers

    ERIC Educational Resources Information Center

    Guy Parker, Ed.

    2010-01-01

    The Mobile Learning Network (MoLeNET) is a unique collaborative approach to encouraging, supporting, expanding and promoting mobile learning, primarily in English post-14 education and training, via supported shared cost mobile learning projects. Collaboration at national level involves participating institutions and the Learning and Skills…

  2. China's Chemical Information Online Service: ChI2Net.

    ERIC Educational Resources Information Center

    Naiyan, Yu; And Others

    1997-01-01

    Describes the Chemical Integrated Information Service Network (ChI2Net), a comprehensive online information service system which includes chemical, technical, economic, market, news, and management information based on computer and modern communication technology that was built by the China National Chemical Information Centre. (Author/LRW)

  3. Application of deconvolution interferometry with both Hi-net and KiK-net data

    NASA Astrophysics Data System (ADS)

    Nakata, N.

    2013-12-01

    Application of deconvolution interferometry to wavefields observed by KiK-net, a strong-motion recording network in Japan, is useful for estimating wave velocities and S-wave splitting in the near surface. Using this technique, for example, Nakata and Snieder (2011, 2012) found changed in velocities caused by Tohoku-Oki earthquake in Japan. At the location of the borehole accelerometer of each KiK-net station, a velocity sensor is also installed as a part of a high-sensitivity seismograph network (Hi-net). I present a technique that uses both Hi-net and KiK-net records for computing deconvolution interferometry. The deconvolved waveform obtained from the combination of Hi-net and KiK-net data is similar to the waveform computed from KiK-net data only, which indicates that one can use Hi-net wavefields for deconvolution interferometry. Because Hi-net records have a high signal-to-noise ratio (S/N) and high dynamic resolution, the S/N and the quality of amplitude and phase of deconvolved waveforms can be improved with Hi-net data. These advantages are especially important for short-time moving-window seismic interferometry and deconvolution interferometry using later coda waves.

  4. Factors associated with mosquito net use by individuals in households owning nets in Ethiopia

    PubMed Central

    2011-01-01

    Background Ownership of insecticidal mosquito nets has dramatically increased in Ethiopia since 2006, but the proportion of persons with access to such nets who use them has declined. It is important to understand individual level net use factors in the context of the home to modify programmes so as to maximize net use. Methods Generalized linear latent and mixed models (GLLAMM) were used to investigate net use using individual level data from people living in net-owning households from two surveys in Ethiopia: baseline 2006 included 12,678 individuals from 2,468 households and a sub-sample of the Malaria Indicator Survey (MIS) in 2007 included 14,663 individuals from 3,353 households. Individual factors (age, sex, pregnancy); net factors (condition, age, net density); household factors (number of rooms [2006] or sleeping spaces [2007], IRS, women's knowledge and school attendance [2007 only], wealth, altitude); and cluster level factors (rural or urban) were investigated in univariate and multi-variable models for each survey. Results In 2006, increased net use was associated with: age 25-49 years (adjusted (a) OR = 1.4, 95% confidence interval (CI) 1.2-1.7) compared to children U5; female gender (aOR = 1.4; 95% CI 1.2-1.5); fewer nets with holes (Ptrend = 0.002); and increasing net density (Ptrend < 0.001). Reduced net use was associated with: age 5-24 years (aOR = 0.2; 95% CI 0.2-0.3). In 2007, increased net use was associated with: female gender (aOR = 1.3; 95% CI 1.1-1.6); fewer nets with holes (aOR [all nets in HH good] = 1.6; 95% CI 1.2-2.1); increasing net density (Ptrend < 0.001); increased women's malaria knowledge (Ptrend < 0.001); and urban clusters (aOR = 2.5; 95% CI 1.5-4.1). Reduced net use was associated with: age 5-24 years (aOR = 0.3; 95% CI 0.2-0.4); number of sleeping spaces (aOR [per additional space] = 0.6, 95% CI 0.5-0.7); more old nets (aOR [all nets in HH older than 12 months] = 0.5; 95% CI 0.3-0.7); and increasing household altitude

  5. Manufacturing and metrology for IR conformal windows and domes

    NASA Astrophysics Data System (ADS)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  6. Optimized Biasing of Pump Laser Diodes in a Highly Reliable Metrology Source for Long-Duration Space Missions

    NASA Technical Reports Server (NTRS)

    Poberezhskiy, Ilya Y; Chang, Daniel H.; Erlig, Herman

    2011-01-01

    Optical metrology system reliability during a prolonged space mission is often limited by the reliability of pump laser diodes. We developed a metrology laser pump module architecture that meets NASA SIM Lite instrument optical power and reliability requirements by combining the outputs of multiple single-mode pump diodes in a low-loss, high port count fiber coupler. We describe Monte-Carlo simulations used to calculate the reliability of the laser pump module and introduce a combined laser farm aging parameter that serves as a load-sharing optimization metric. Employing these tools, we select pump module architecture, operating conditions, biasing approach and perform parameter sensitivity studies to investigate the robustness of the obtained solution.

  7. A process to estimate net infiltration using a site-scale water-budget approach, Rainier Mesa, Nevada National Security Site, Nevada, 2002–05

    USGS Publications Warehouse

    Smith, David W.; Moreo, Michael T.; Garcia, C. Amanda; Halford, Keith J.; Fenelon, Joseph M.

    2017-08-29

    This report documents a process used to estimate net infiltration from precipitation, evapotranspiration (ET), and soil data acquired at two sites on Rainier Mesa. Rainier Mesa is a groundwater recharge area within the Nevada National Security Site where recharged water flows through bedrock fractures to a deep (450 meters) water table. The U.S. Geological Survey operated two ET stations on Rainier Mesa from 2002 to 2005 at sites characterized by pinyon-juniper and scrub-brush vegetative cover. Precipitation and ET data were corrected to remove measurement biases and gap-filled to develop continuous datasets. Net infiltration (percolation below the root zone) and changes in root-zone water storage were estimated using a monthly water-balance model.Site-scale water-budget results indicate that the heavily-fractured welded-tuff bedrock underlying thin (<40 centimeters) topsoil is a critical water source for vegetation during dry periods. Annual precipitation during the study period ranged from fourth lowest (182 millimeters [mm]) to second highest (708 mm) on record (record = 55 years). Annual ET exceeded precipitation during dry years, indicating that the fractured-bedrock reservoir capacity is sufficient to meet atmospheric-evaporative demands and to sustain vegetation through extended dry periods. Net infiltration (82 mm) was simulated during the wet year after the reservoir was rapidly filled to capacity. These results support previous conclusions that preferential fracture flow was induced, resulting in an episodic recharge pulse that was detected in nearby monitoring wells. The occurrence of net infiltration only during the wet year is consistent with detections of water-level rises in nearby monitoring wells that occur only following wet years.

  8. Tritium technology development in EEC laboratories contributions to design goals for NET

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dinner, P.; Chazalon, M.; Leger, D.

    1988-09-01

    An overview is given of the tritium technology activities carried out in the European national laboratories associated with the European Fusion Programme and in the European Joint Research Center. The relationship of these activities to the Next European Torus (NET) design priorities is discussed, and the current status of the research is summarised. Future developments, required for NET, which will be addressed in the definition of the next 5-year programme are also presented.

  9. Metrology-based control and profitability in the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  10. Metrological approach to quantitative analysis of clinical samples by LA-ICP-MS: A critical review of recent studies.

    PubMed

    Sajnóg, Adam; Hanć, Anetta; Barałkiewicz, Danuta

    2018-05-15

    Analysis of clinical specimens by imaging techniques allows to determine the content and distribution of trace elements on the surface of the examined sample. In order to obtain reliable results, the developed procedure should be based not only on the properly prepared sample and performed calibration. It is also necessary to carry out all phases of the procedure in accordance with the principles of chemical metrology whose main pillars are the use of validated analytical methods, establishing the traceability of the measurement results and the estimation of the uncertainty. This review paper discusses aspects related to sampling, preparation and analysis of clinical samples by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) with emphasis on metrological aspects, i.e. selected validation parameters of the analytical method, the traceability of the measurement result and the uncertainty of the result. This work promotes the introduction of metrology principles for chemical measurement with emphasis to the LA-ICP-MS which is the comparative method that requires studious approach to the development of the analytical procedure in order to acquire reliable quantitative results. Copyright © 2018 Elsevier B.V. All rights reserved.

  11. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates.

    PubMed

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-06

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F=1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure-the local operations, the measurements, and the inequality-necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  12. Lightweight UAV with on-board photogrammetry and single-frequency GPS positioning for metrology applications

    NASA Astrophysics Data System (ADS)

    Daakir, M.; Pierrot-Deseilligny, M.; Bosser, P.; Pichard, F.; Thom, C.; Rabot, Y.; Martin, O.

    2017-05-01

    This article presents a coupled system consisting of a single-frequency GPS receiver and a light photogrammetric quality camera embedded in an Unmanned Aerial Vehicle (UAV). The aim is to produce high quality data that can be used in metrology applications. The issue of Integrated Sensor Orientation (ISO) of camera poses using only GPS measurements is presented and discussed. The accuracy reached by our system based on sensors developed at the French Mapping Agency (IGN) Opto-Electronics, Instrumentation and Metrology Laboratory (LOEMI) is qualified. These sensors are specially designed for close-range aerial image acquisition with a UAV. Lever-arm calibration and time synchronization are explained and performed to reach maximum accuracy. All processing steps are detailed from data acquisition to quality control of final products. We show that an accuracy of a few centimeters can be reached with this system which uses low-cost UAV and GPS module coupled with the IGN-LOEMI home-made camera.

  13. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates

    NASA Astrophysics Data System (ADS)

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-01

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F =1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure—the local operations, the measurements, and the inequality—necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  14. PREFACE: Advanced Metrology for Ultrasound in Medicine12-14 May 2010

    NASA Astrophysics Data System (ADS)

    Shaw, Adam

    2011-02-01

    Conference logo AMUM 2010 is the successor to the first AMUM conference held in 2004, which was the conference featured in the very first issue of the Journal of Physics: Conference Series (http://iopscience.iop.org/1742-6596/1/1). Like its predecessor, AMUM 2010 proved to be very successful and provided a fantastic opportunity for the world's ultrasound experts from medicine, industry and academia to explore the measurement challenges presented by new and emerging clinical ultrasound equipment. 2010 was a very difficult year economically for much of the world, and then air travel over Europe was thrown into chaos by prolonged ash clouds from the Icelandic volcano Eyjafjallajökull in April. So it was something of a relief to see such good attendance; this is a clear indication of the need for this conference with its focus on metrology in medical ultrasound. There were a total of 70 attendees: of these 34 were from the UK, 16 from the rest of Europe, and 20 from outside Europe. National Metrology Institutes from no fewer than nine different countries were represented, some of them very new to the field, some with a recent growth of interest in ultrasound, and others with a well-established reputation. I was particularly pleased to see younger researchers from those NMIs new to ultrasound attend and have the chance to mix with such a wide grouping of their peers and present their work. There was also a strong attendance by industry including the major imaging companies such as GE Healthcare, Siemens, Philips Healthcare, Hitachi Medical, Aloka, Medison and the newer Supersonic Imagine; and more specialist companies such as Imasonic, Onda Corporation, Unisyn Medical Technologies, and Polytec and Precision Acoustics, our partner in organizing the event. The conference was spread over 3 days with plenty of time for discussions over coffee; the afternoon of the 3rd day was dedicated to laboratory visits within NPL. We were fortunate to have some excellent Keynote

  15. Estimating Green Net National Product for Puerto Rico: An Economic Measure of Sustainability

    NASA Astrophysics Data System (ADS)

    Wu, Shanshan; Heberling, Matthew T.

    2016-04-01

    This paper presents the data sources and methodology used to estimate Green Net National Product (GNNP), an economic metric of sustainability, for Puerto Rico. Using the change in GNNP as a one-sided test of weak sustainability (i.e., positive growth in GNNP is not enough to show the economy is sustainable), we measure the movement away from sustainability by examining the change in GNNP from 1993 to 2009. In order to calculate GNNP, we require both economic and natural capital data, but limited data for Puerto Rico require a number of simplifying assumptions. Based on the environmental challenges faced by Puerto Rico, we include damages from air emissions and solid waste, the storm protection value of mangroves and the value of extracting crushed stone as components in the depreciation of natural capital. Our estimate of GNNP also includes the value of time, which captures the effects of technological progress. The results show that GNNP had an increasing trend over the 17 years studied with two periods of negative growth (2004-2006 and 2007-2008). Our additional analysis suggests that the negative growth in 2004-2006 was possibly due to a temporary economic downturn. However, the negative growth in 2007-2008 was likely from the decline in the value of time, suggesting the island of Puerto Rico was moving away from sustainability during this time.

  16. Estimating Green Net National Product for Puerto Rico: An Economic Measure of Sustainability.

    PubMed

    Wu, Shanshan; Heberling, Matthew T

    2016-04-01

    This paper presents the data sources and methodology used to estimate Green Net National Product (GNNP), an economic metric of sustainability, for Puerto Rico. Using the change in GNNP as a one-sided test of weak sustainability (i.e., positive growth in GNNP is not enough to show the economy is sustainable), we measure the movement away from sustainability by examining the change in GNNP from 1993 to 2009. In order to calculate GNNP, we require both economic and natural capital data, but limited data for Puerto Rico require a number of simplifying assumptions. Based on the environmental challenges faced by Puerto Rico, we include damages from air emissions and solid waste, the storm protection value of mangroves and the value of extracting crushed stone as components in the depreciation of natural capital. Our estimate of GNNP also includes the value of time, which captures the effects of technological progress. The results show that GNNP had an increasing trend over the 17 years studied with two periods of negative growth (2004-2006 and 2007-2008). Our additional analysis suggests that the negative growth in 2004-2006 was possibly due to a temporary economic downturn. However, the negative growth in 2007-2008 was likely from the decline in the value of time, suggesting the island of Puerto Rico was moving away from sustainability during this time.

  17. Metrological traceability and harmonization of medical tests: a quantum leap forward is needed to keep pace with globalization and stringent IVD-regulations in the 21st century!

    PubMed

    Cobbaert, Christa; Smit, Nico; Gillery, Philippe

    2018-05-07

    In our efforts to advance the profession and practice of clinical laboratory medicine, strong coordination and collaboration are needed more than ever before. At the dawn of the 21st century, medical laboratories are facing many unmet clinical needs, a technological revolution promising a plethora of better biomarkers, financial constraints, a growing scarcity of well-trained laboratory technicians and a sharply increasing number of International Organization for Standardization guidelines and new regulations to which medical laboratories should comply in order to guarantee safety and effectiveness of medical test results. Although this is a global trend, medical laboratories across continents and countries are in distinct phases and experience various situations. A universal underlying requirement for safe and global use of medical test results is the standardization and harmonization of test results. Since two decades and after a number of endeavors on standardization/harmonization of medical tests, it is time to reflect on the effectiveness of the approaches used. To keep laboratory medicine sustainable, viable and affordable, clarification of the promises of metrological traceability of test results for improving sick and health care, realization of formal commitment among all stakeholders of the metrological traceability chain and preparation of a joint and global plan for action are essential prerequisites. Policy makers and regulators should not only overwhelm the diagnostic sector with oversight and regulations but should also create the conditions by establishing a global professional forum for anchoring the metrological traceability concept in the medical test domain. Even so, professional societies should have a strong voice in their (inter-) national governments to negotiate long-lasting public policy commitment and funds for global standardization of medical tests.

  18. Probabilistic Metrology Attains Macroscopic Cloning of Quantum Clocks

    NASA Astrophysics Data System (ADS)

    Gendra, B.; Calsamiglia, J.; Muñoz-Tapia, R.; Bagan, E.; Chiribella, G.

    2014-12-01

    It has recently been shown that probabilistic protocols based on postselection boost the performances of the replication of quantum clocks and phase estimation. Here we demonstrate that the improvements in these two tasks have to match exactly in the macroscopic limit where the number of clones grows to infinity, preserving the equivalence between asymptotic cloning and state estimation for arbitrary values of the success probability. Remarkably, the cloning fidelity depends critically on the number of rationally independent eigenvalues of the clock Hamiltonian. We also prove that probabilistic metrology can simulate cloning in the macroscopic limit for arbitrary sets of states when the performance of the simulation is measured by testing small groups of clones.

  19. Diffraction based overlay metrology for α-carbon applications

    NASA Astrophysics Data System (ADS)

    Saravanan, Chandra Saru; Tan, Asher; Dasari, Prasad; Goelzer, Gary; Smith, Nigel; Woo, Seouk-Hoon; Shin, Jang Ho; Kang, Hyun Jae; Kim, Ho Chul

    2008-03-01

    Applications that require overlay measurement between layers separated by absorbing interlayer films (such as α- carbon) pose significant challenges for sub-50nm processes. In this paper scatterometry methods are investigated as an alternative to meet these stringent overlay metrology requirements. In this article, a spectroscopic Diffraction Based Overlay (DBO) measurement technique is used where registration errors are extracted from specially designed diffraction targets. DBO measurements are performed on detailed set of wafers with varying α-carbon (ACL) thicknesses. The correlation in overlay values between wafers with varying ACL thicknesses will be discussed. The total measurement uncertainty (TMU) requirements for these layers are discussed and the DBO TMU results from sub-50nm samples are reviewed.

  20. Research: The Effect of Wetland Mitigation Banking on the Achievement of No-Net-Loss.

    PubMed

    BROWN; LANT

    1999-04-01

    / This study determines whether the 68 wetland mitigation banks in existence in the United States through 1 January 1996 are achieving no-net-loss of wetland acreage nationally and regionally. Although 74% of the individual banks achieve no-net-loss by acreage, overall, wetland mitigation banks are projected to result in a net loss of 21,328 acres of wetlands nationally, 52% of the acreage in banks, as already credited wetland acreages are converted to otheruses. While most wetland mitigation banks are using appropriate compensation methods and ratios, several of the largest banks use preservation or enhancement, instead of restoration or creation. Most of these preservation/enhancement banks use minimum mitigation ratios of 1:1, which is much lower than ratios given in current guidelines. Assuming that mitigation occurs in these banks as preservation at the minimum allowable ratio, ten of these banks, concentrated in the western Gulf Coast region, will account for over 99% of projected net wetland acreage loss associated with banks. We conclude that wetland mitigation banking is a conceptually sound environmental policy and planning tool, but only if applied according to recently issued guidelines that ensure no-net-loss of wetland functions and values. Wetland mitigation banking inevitably leads to geographic relocation of wetlands, and therefore changes, either positively or negatively, the functions they perform and ecosystem services they provide. KEY WORDS: Mitigation banking; Wetlands; Army Corps of Engineers; No-net-loss

  1. Performance of the Primary Mirror Center-of-Curvature Optical Metrology System during Cryogenic Testing of the JWST Pathfinder Telescope

    NASA Technical Reports Server (NTRS)

    Hadaway, James B.; Wells, Conrad; Olczak, Gene; Waldman, Mark; Whitman, Tony; Cosentino, Joseph; Connolly, Mark; Chaney, David; Telfer, Randal

    2016-01-01

    The JWST primary mirror consists of 18 1.5 m hexagonal segments, each with 6-DoF and RoC adjustment. The telescope will be tested at its cryogenic operating temperature at Johnson Space Center. The testing will include center-of-curvature measurements of the PM, using the Center-of-Curvature Optical Assembly (COCOA) and the Absolute Distance Meter Assembly (ADMA). The performance of these metrology systems, including hardware, software, procedures, was assessed during two cryogenic tests at JSC, using the JWST Pathfinder telescope. This paper describes the test setup, the testing performed, and the resulting metrology system performance.

  2. Small town health care safety nets: report on a pilot study.

    PubMed

    Taylor, Pat; Blewett, Lynn; Brasure, Michelle; Call, Kathleen Thiede; Larson, Eric; Gale, John; Hagopian, Amy; Hart, L Gary; Hartley, David; House, Peter; James, Mary Katherine; Ricketts, Thomas

    2003-01-01

    Very little is known about the health care safety net in small towns, especially in towns where there is no publicly subsidized safety-net health care. This pilot study of the primary care safety net in 7 such communities was conducted to start building knowledge about the rural safety net. Interviews were conducted and secondary data collected to assess the community need for safety-net care, the health care safety-net role of public officials, and the availability of safety-net care at private primary care practices and its financial impact on these practices. An estimated 20% to 40% of the people in these communities were inadequately insured and needed access to affordable health care, and private primary care practices in most towns played an important role in making primary care available to them. Most of the physician practices were owned or subsidized by a hospital or regional network, though not explicitly to provide charity care. It is likely this ownership or support enabled the practices to sustain a higher level of charity care than would have been possible otherwise. In the majority of communities studied, the leading public officials played no role in ensuring access to safety-net care. State and national government policy makers should consider subsidy programs for private primary care practices that attempt to meet the needs of the inadequately insured in the many rural communities where no publicly subsidized primary safety-net care is available. Subsidies should be directed to physicians in primary care shortage areas who provide safety-net care; this will improve safety-net access and, at the same time, improve physician retention by bolstering physician incomes. Options include enhanced Medicare physician bonuses and grants or tax credits to support income-related sliding fee scales.

  3. Visualizing NetCDF Files by Using the EverVIEW Data Viewer

    USGS Publications Warehouse

    Conzelmann, Craig; Romañach, Stephanie S.

    2010-01-01

    Over the past few years, modelers in South Florida have started using Network Common Data Form (NetCDF) as the standard data container format for storing hydrologic and ecologic modeling inputs and outputs. With its origins in the meteorological discipline, NetCDF was created by the Unidata Program Center at the University Corporation for Atmospheric Research, in conjunction with the National Aeronautics and Space Administration and other organizations. NetCDF is a portable, scalable, self-describing, binary file format optimized for storing array-based scientific data. Despite attributes which make NetCDF desirable to the modeling community, many natural resource managers have few desktop software packages which can consume NetCDF and unlock the valuable data contained within. The U.S. Geological Survey and the Joint Ecosystem Modeling group, an ecological modeling community of practice, are working to address this need with the EverVIEW Data Viewer. Available for several operating systems, this desktop software currently supports graphical displays of NetCDF data as spatial overlays on a three-dimensional globe and views of grid-cell values in tabular form. An included Open Geospatial Consortium compliant, Web-mapping service client and charting interface allows the user to view Web-available spatial data as additional map overlays and provides simple charting visualizations of NetCDF grid values.

  4. Sub-microradian Surface Slope Metrology with the ALS Developmental Long Trace Profiler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V.; Barber, Samuel; Domning, Edward E.

    2009-06-15

    Development of X-ray optics for 3rd and 4th generation X-ray light sources with a level of surface slope precision of 0.1-0.2 {micro}rad requires the development of adequate fabrication technologies and dedicated metrology instrumentation and methods. Currently, the best performance of surface slope measurement has been achieved with the NOM (Nanometer Optical Component Measuring Machine) slope profiler at BESSY (Germany) [1] and the ESAD (Extended Shear Angle Difference) profiler at the PTB (Germany) [2]. Both instruments are based on electronic autocollimators (AC) precisely calibrated for the specific application [3] with small apertures of 2.5-5 mm in diameter. In the present work,more » we describe the design, initial alignment and calibration procedures, the instrumental control and data acquisition system, as well as the measurement performance of the Developmental Long Trace Profiler (DLTP) slope measuring instrument recently brought into operation at the Advanced Light Source (ALS) Optical Metrology Laboratory (OML). Similar to the NOM and ESAD, the DLTP is based on a precisely calibrated autocollimator. However, this is a reasonably low budget instrument used at the ALS OML for the development and testing of new measuring techniques and methods. Some of the developed methods have been implemented into the ALS LTP-II (slope measuring long trace profiler [4]) which was recently upgraded and has demonstrated a capability for 0.25 {micro}rad surface metrology [5]. Performance of the DLTP was verified via a number of measurements with high quality reference mirrors. A comparison with the corresponding results obtained with the world's best slope measuring instrument, the BESSY NOM, proves the accuracy of the DLTP measurements on the level of 0.1-0.2 {micro}rad depending on the curvature of a surface under test. The directions of future work to develop a surface slope measuring profiler with nano-radian performance are also discussed.« less

  5. Ice flood velocity calculating approach based on single view metrology

    NASA Astrophysics Data System (ADS)

    Wu, X.; Xu, L.

    2017-02-01

    Yellow River is the river in which the ice flood occurs most frequently in China, hence, the Ice flood forecasting has great significance for the river flood prevention work. In various ice flood forecast models, the flow velocity is one of the most important parameters. In spite of the great significance of the flow velocity, its acquisition heavily relies on manual observation or deriving from empirical formula. In recent years, with the high development of video surveillance technology and wireless transmission network, the Yellow River Conservancy Commission set up the ice situation monitoring system, in which live videos can be transmitted to the monitoring center through 3G mobile networks. In this paper, an approach to get the ice velocity based on single view metrology and motion tracking technique using monitoring videos as input data is proposed. First of all, River way can be approximated as a plane. On this condition, we analyze the geometry relevance between the object side and the image side. Besides, we present the principle to measure length in object side from image. Secondly, we use LK optical flow which support pyramid data to track the ice in motion. Combining the result of camera calibration and single view metrology, we propose a flow to calculate the real velocity of ice flood. At last we realize a prototype system by programming and use it to test the reliability and rationality of the whole solution.

  6. Validation and correction of rainfall data from the WegenerNet high density network in southeast Austria

    NASA Astrophysics Data System (ADS)

    O, Sungmin; Foelsche, U.; Kirchengast, G.; Fuchsberger, J.

    2018-01-01

    Eight years of daily rainfall data from WegenerNet were analyzed by comparison with data from Austrian national weather stations. WegenerNet includes 153 ground level weather stations in an area of about 15 km × 20 km in the Feldbach region in southeast Austria. Rainfall has been measured by tipping bucket gauges at 150 stations of the network since the beginning of 2007. Since rain gauge measurements are considered close to true rainfall, there are increasing needs for WegenerNet data for the validation of rainfall data products such as remote sensing based estimates or model outputs. Serving these needs, this paper aims at providing a clearer interpretation on WegenerNet rainfall data for users in hydro-meteorological communities. Five clusters - a cluster consists of one national weather station and its four closest WegenerNet stations - allowed us close comparison of datasets between the stations. Linear regression analysis and error estimation with statistical indices were conducted to quantitatively evaluate the WegenerNet daily rainfall data. It was found that rainfall data between the stations show good linear relationships with an average correlation coefficient (r) of 0.97 , while WegenerNet sensors tend to underestimate rainfall according to the regression slope (0.87). For the five clusters investigated, the bias and relative bias were - 0.97 mm d-1 and - 11.5 % on average (except data from new sensors). The average of bias and relative bias, however, could be reduced by about 80 % through a simple linear regression-slope correction, with the assumption that the underestimation in WegenerNet data was caused by systematic errors. The results from the study have been employed to improve WegenerNet data for user applications so that a new version of the data (v5) is now available at the WegenerNet data portal (www.wegenernet.org).

  7. Process and system - A dual definition, revisited with consequences in metrology

    NASA Astrophysics Data System (ADS)

    Ruhm, K. H.

    2010-07-01

    Lets assert that metrology life could be easier scientifically as well as technologically, if we, intentionally, would make an explicit distinction between two outstanding domains, namely the given, really existent domain of processes and the just virtually existent domain of systems, the latter of which is designed and used by the human mind. The abstract domain of models, by which we map the manifold reality of processes, is itself part of the domain of systems. Models support comprehension and communication, although they are normally extreme simplifications of properties and behaviour of a concrete reality. So, systems and signals represent processes and quantities, which are described by means of Signal and System Theory as well as by Stochastics and Statistics. The following presentation of this new, demanding and somehow irritating definition of the terms process and system as a dual pair is unusual indeed, but it opens the door widely to a better and more consistent discussion and understanding of manifold scientific tools in many areas. Metrology [4] is one of the important fields of concern due to many reasons: One group of the soft and hard links between the domain of processes and the domain of systems is realised by concepts of measurement science on the one hand and by instrumental tools of measurement technology on the other hand.

  8. Flatness metrology based on small-angle deflectometric procedures with electronic tiltmeters

    NASA Astrophysics Data System (ADS)

    Ehret, G.; Laubach, S.; Schulz, M.

    2017-06-01

    The measurement of optical flats, e. g. synchrotron or XFEL mirrors, with single nanometer topography uncertainty is still challenging. At PTB, we apply for this task small-angle deflectometry in which the angle between the direction of the beam sent to the surface and the beam detected is small. Conventional deflectometric systems measure the surface angle with autocollimators whose light beam also represents the straightness reference. An advanced flatness metrology system was recently implemented at PTB that separates the straightness reference task from the angle detection task. We call it `Exact Autocollimation Deflectometric Scanning' because the specimen is slightly tilted in such a way that at every scanning position the specimen is `exactly' perpendicular to the reference light beam directed by a pentaprism to the surface under test. The tilt angle of the surface is then measured with an additional autocollimator. The advantage of the EADS method is that the two tasks (straightness reference and measurement of surface slope) are separated and each of these can be optimized independently. The idea presented in this paper is to replace this additional autocollimator by one or more electro-mechanical tiltmeters, which are typically faster and have a higher resolution than highly accurate commercially available autocollimators. We investigate the point stability and the linearity of a highly accurate electronic tiltmeter. The pros and cons of using tiltmeters in flatness metrology are discussed.

  9. Bi-directional transition nets

    NASA Astrophysics Data System (ADS)

    Staines, Anthony Spiteri

    2017-06-01

    Ordinary Petri nets are forward directed transition systems. Modern transition systems events and event flows are reversible. Hence modeling structures that reflect this are important. The creation of a bi-directional Petri net extends the modeling power of Petri nets. This work presents the successful implementation of a bi-directional transition net. Some toy examples in comparison to Petri nets are given showing the increased modeling power in a compacted form. The results show some interesting findings on how the expressive power of these structures has been increased.

  10. Metrology: Measurement Assurance Program Guidelines

    NASA Technical Reports Server (NTRS)

    Eicke, W. G.; Riley, J. P.; Riley, K. J.

    1995-01-01

    The 5300.4 series of NASA Handbooks for Reliability and Quality Assurance Programs have provisions for the establishment and utilization of a documented metrology system to control measurement processes and to provide objective evidence of quality conformance. The intent of these provisions is to assure consistency and conformance to specifications and tolerances of equipment, systems, materials, and processes procured and/or used by NASA, its international partners, contractors, subcontractors, and suppliers. This Measurement Assurance Program (MAP) guideline has the specific objectives to: (1) ensure the quality of measurements made within NASA programs; (2) establish realistic measurement process uncertainties; (3) maintain continuous control over the measurement processes; and (4) ensure measurement compatibility among NASA facilities. The publication addresses MAP methods as applied within and among NASA installations and serves as a guide to: control measurement processes at the local level (one facility); conduct measurement assurance programs in which a number of field installations are joint participants; and conduct measurement integrity (round robin) experiments in which a number of field installations participate to assess the overall quality of particular measurement processes at a point in time.

  11. Net Gains

    ERIC Educational Resources Information Center

    Fielker, David

    2008-01-01

    The Easter conference 2008 had several activities which for the author raised the same questions on cube nets in some work with eight-year-olds some time ago. In this article, the author muses on some problems from the Easter conference regarding nets of shapes. (Contains 1 note.)

  12. Official USP Reference Standards: metrology concepts, overview, and scientific issues and opportunities.

    PubMed

    Williams, Roger L

    2006-01-23

    The United States Pharmacopeia (USP) is a private standards-setting body created in 1820 by practitioners who wished to promote the quality of therapeutic products in commerce. The principal product of USP, then and now, is the United StatesPharmacopeia (USP), to which was added the National Formulary (NF) in 1975. The two compendia are published as a combined text annually (USP-NF). Originally a book of process standards, USP-NF evolved over time into compendia containing primarily product standards that are expressed in monographs for therapeutic ingredients, products, and excipients. As a public health service, USP supplies official USP Reference Standards to manufacturers and others who wish to test an article according to selected procedures of a monograph or General Chapter. During the past decade, understanding of USP monographs and official USP Reference Standards as a means of controlling the quality of a therapeutic article has evolved, based on advances in metrology, on activities in the International Conference on Harmonization of Technical Requirements for the Registration of Pharmaceuticals for Human Use (ICH), and on considerations by the USP Council of Experts and its Expert Committees and USP staff. This article discusses the evolution of this understanding, focusing on drug substances and excipients for well-characterized small molecules and their corresponding dosage forms.

  13. Signal processing for order 10 pm accuracy displacement metrology in real-world scientific applications

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Loya, Frank M.

    2004-01-01

    This paper describes heterodyne displacement metrology gauge signal processing methods that achieve satisfactory robustness against low signal strength and spurious signals, and good long-term stability. We have a proven displacement-measuring approach that is useful not only to space-optical projects at JPL, but also to the wider field of distance measurements.

  14. Introduction to United States Department of Agriculture VetNet: status of Salmonella and Campylobacter databases from 2004 through 2005.

    PubMed

    Jackson, Charlene R; Fedorka-Cray, Paula J; Wineland, Nora; Tankson, Jeanetta D; Barrett, John B; Douris, Aphrodite; Gresham, Cheryl P; Jackson-Hall, Carolina; McGlinchey, Beth M; Price, Maria Victoria

    2007-01-01

    In 2003 the United States Department of Agriculture established USDA VetNet. It was modeled after PulseNet USA, the national molecular subtyping network for foodborne disease surveillance. The objectives of USDA VetNet are: to use pulsed-field gel electrophoresis (PFGE) to subtype zoonotic pathogens submitted to the animal arm of the National Antimicrobial Resistance Monitoring System (NARMS); examine VetNet and PulseNet PFGE patterns; and use the data for surveillance and investigation of suspected foodborne illness outbreaks. Whereas PulseNet subtypes 7 foodborne disease-causing bacteria- Escherichia coli O157:H7, Salmonella, Shigella, Listeria monocytogenes, Campylobacter, Yersinia pestis, and Vibrio cholerae-VetNet at present subtypes nontyphoidal Salmonella serotypes and Campylobacter from animals, including diagnostic specimens, healthy farm animals, and carcasses of food-producing animals at slaughter. By the end of 2005, VetNet had two functioning databases: the NARMS Salmonella and the NARMS Campylobacter databases. The Salmonella database contained 6763 Salmonella isolates and 2514 unique XbaI patterns, while the Campylobacter database contained 58 Campylobacter isolates and 53 unique SmaI patterns. Both databases contain the PFGE tagged image file format (TIFF) images, demographic information, and the antimicrobial resistance profiles assigned by NARMS. In the future, veterinary diagnostic laboratories will be invited to participate in VetNet. The establishment of USDA VetNet enhances the mission of the agriculture and public health communities in the surveillance and investigation of foodborne illness outbreaks.

  15. Net Zero Water Update

    DTIC Science & Technology

    2011-05-12

    www.epa.gov/nrmrl/pubs/600r09048/600r09048.pdf • http://www.epa.gov/awi/res_rehabilitation.html Net Zero Waste • http://www.army.mil/-news/2011/02...24/52403-net- zero - waste -goal-becoming-a-reality- at-jblm/ • http://www.operationfree.net/2011/04/11/u-s-army-looks-to-net- zero - waste / 27

  16. An exploratory study of treated-bed nets in Timor-Leste: patterns of intended and alternative usage

    PubMed Central

    2011-01-01

    Background The Timor-Leste Ministry of Health has recently finalized the National Malaria Control Strategy for 2010-2020. A key component of this roadmap is to provide universal national coverage with long-lasting insecticide-treated nets (LLINs) in support of achieving the primary goal of reducing both morbidity and mortality from malaria by 30% in the first three years, followed by a further reduction of 20% by end of the programme cycle in 2020 [1]. The strategic plan calls for this target to be supported by a comprehensive information, education and communication (IEC) programme; however, there is limited prior research into household and personal usage patterns to assist in the creation of targeted, effective, and socio-culturally specific behaviour change materials. Methods Nine separate focus group discussions (FGDs) were carried out in Dili, Manatuto, and Covalima districts, Democratic Republic of Timor-Leste, in July 2010. These focus groups primarily explored themes of perceived malaria risk, causes of malaria, net usage patterns within families, barriers to correct and consistent usage, and the daily experience of users (both male and female) in households with at least one net. Comprehensive qualitative analysis utilized open source analysis software. Results The primary determinants of net usage were a widespread perception that nets could or should only be used by pregnant women and young children, and the availability of sufficient sleeping space under a limited number of nets within households. Both nuisance biting and disease prevention were commonly cited as primary motivations for usage, while seasonality was not a significant factor. Long-term net durability and ease of hanging were seen as key attributes in net design preference. Very frequent washing cycles were common, potentially degrading net effectiveness. Finally, extensive re-purposing of nets (fishing, protecting crops) was both reported and observed, and may significantly decrease

  17. The Science Camp Model based on maker movement and tinkering activity for developing concept of electricity in middle school students to meet standard evaluation of ordinary national educational test (O-NET)

    NASA Astrophysics Data System (ADS)

    Chamrat, Suthida

    2018-01-01

    The standard evaluation of Thai education relies excessively on the Ordinary National Educational Test, widely known as O-NET. However, a focus on O-Net results can lead to unsatisfactory teaching practices, especially in science subjects. Among the negative consequences, is that schools frequently engage in "cramming" practices in order to elevate their O-NET scores. Higher education, which is committed to generating and applying knowledge by socially engaged scholars, needs to take account of this situation. This research article portrays the collaboration between the faculty of education at Chiang Mai University and an educational service area to develop the model of science camp. The activities designed for the Science Camp Model were based on the Tinkering and Maker Movement. Specifically, the Science Camp Model was designed to enhance the conceptualization of electricity for Middle School Students in order to meet the standard evaluation of the Ordinary National Educational Test. The hands-on activities consisted of 5 modules which were simple electrical circuits, paper circuits, electrical measurement roleplay motor art robots and Force from Motor. The data were collected by 11 items of Electricity Socratic-based Test adapted from cumulative published O-NET tests focused on the concept of electricity concept. The qualitative data were also collected virtually via Flinga.com. The results indicated that students after participating in 5modules of science camp based on the Maker Movement and tinkering activity developed average percentage of test scores from 33.64 to 65.45. Gain score analysis using dependent t-test compared pretest and posttest mean scores. The p value was found to be statistically significant (less than 0.001). The posttest had a considerably higher mean score compared with the pretest. Qualitative data also indicated that students could explain the main concepts of electrical circuits, and the transformation of electrical energy to

  18. Experiments and simulation of a net closing mechanism for tether-net capture of space debris

    NASA Astrophysics Data System (ADS)

    Sharf, Inna; Thomsen, Benjamin; Botta, Eleonora M.; Misra, Arun K.

    2017-10-01

    This research addresses the design and testing of a debris containment system for use in a tether-net approach to space debris removal. The tether-net active debris removal involves the ejection of a net from a spacecraft by applying impulses to masses on the net, subsequent expansion of the net, the envelopment and capture of the debris target, and the de-orbiting of the debris via a tether to the chaser spacecraft. To ensure a debris removal mission's success, it is important that the debris be successfully captured and then, secured within the net. To this end, we present a concept for a net closing mechanism, which we believe will permit consistently successful debris capture via a simple and unobtrusive design. This net closing system functions by extending the main tether connecting the chaser spacecraft and the net vertex to the perimeter and around the perimeter of the net, allowing the tether to actuate closure of the net in a manner similar to a cinch cord. A particular embodiment of the design in a laboratory test-bed is described: the test-bed itself is comprised of a scaled-down tether-net, a supporting frame and a mock-up debris. Experiments conducted with the facility demonstrate the practicality of the net closing system. A model of the net closure concept has been integrated into the previously developed dynamics simulator of the chaser/tether-net/debris system. Simulations under tether tensioning conditions demonstrate the effectiveness of the closure concept for debris containment, in the gravity-free environment of space, for a realistic debris target. The on-ground experimental test-bed is also used to showcase its utility for validating the dynamics simulation of the net deployment, and a full-scale automated setup would make possible a range of validation studies of other aspects of a tether-net debris capture mission.

  19. Metrology of Large Parts. Chapter 5

    NASA Technical Reports Server (NTRS)

    Stahl, H. Philip

    2012-01-01

    As discussed in the first chapter of this book, there are many different methods to measure a part using optical technology. Chapter 2 discussed the use of machine vision to measure macroscopic features such as length and position, which was extended to the use of interferometry as a linear measurement tool in chapter 3, and laser or other trackers to find the relation of key points on large parts in chapter 4. This chapter looks at measuring large parts to optical tolerances in the sub-micron range using interferometry, ranging, and optical tools discussed in the previous chapters. The purpose of this chapter is not to discuss specific metrology tools (such as interferometers or gauges), but to describe a systems engineering approach to testing large parts. Issues such as material warpage and temperature drifts that may be insignificant when measuring a part to micron levels under a microscope, as will be discussed in later chapters, can prove to be very important when making the same measurement over a larger part. In this chapter, we will define a set of guiding principles for successfully overcoming these challenges and illustrate the application of these principles with real world examples. While these examples are drawn from specific large optical testing applications, they inform the problems associated with testing any large part to optical tolerances. Manufacturing today relies on micrometer level part performance. Fields such as energy and transportation are demanding higher tolerances to provide increased efficiencies and fuel savings. By looking at how the optics industry approaches sub-micrometer metrology, one can gain a better understanding of the metrology challenges for any larger part specified to micrometer tolerances. Testing large parts, whether optical components or precision structures, to optical tolerances is just like testing small parts, only harder. Identical with what one does for small parts, a metrologist tests large parts and optics

  20. RIPGIS-NET: a GIS tool for riparian groundwater evapotranspiration in MODFLOW.

    PubMed

    Ajami, Hoori; Maddock, Thomas; Meixner, Thomas; Hogan, James F; Guertin, D Phillip

    2012-01-01

    RIPGIS-NET, an Environmental System Research Institute (ESRI's) ArcGIS 9.2/9.3 custom application, was developed to derive parameters and visualize results of spatially explicit riparian groundwater evapotranspiration (ETg), evapotranspiration from saturated zone, in groundwater flow models for ecohydrology, riparian ecosystem management, and stream restoration. Specifically RIPGIS-NET works with riparian evapotranspiration (RIP-ET), a modeling package that works with the MODFLOW groundwater flow model. RIP-ET improves ETg simulations by using a set of eco-physiologically based ETg curves for plant functional subgroups (PFSGs), and separates ground evaporation and plant transpiration processes from the water table. The RIPGIS-NET program was developed in Visual Basic 2005, .NET framework 2.0, and runs in ArcMap 9.2 and 9.3 applications. RIPGIS-NET, a pre- and post-processor for RIP-ET, incorporates spatial variability of riparian vegetation and land surface elevation into ETg estimation in MODFLOW groundwater models. RIPGIS-NET derives RIP-ET input parameters including PFSG evapotranspiration curve parameters, fractional coverage areas of each PFSG in a MODFLOW cell, and average surface elevation per riparian vegetation polygon using a digital elevation model. RIPGIS-NET also provides visualization tools for modelers to create head maps, depth to water table (DTWT) maps, and plot DTWT for a PFSG in a polygon in the Geographic Information System based on MODFLOW simulation results. © 2011, The Author(s). Ground Water © 2011, National Ground Water Association.

  1. Investigations of interpolation errors of angle encoders for high precision angle metrology

    NASA Astrophysics Data System (ADS)

    Yandayan, Tanfer; Geckeler, Ralf D.; Just, Andreas; Krause, Michael; Asli Akgoz, S.; Aksulu, Murat; Grubert, Bernd; Watanabe, Tsukasa

    2018-06-01

    Interpolation errors at small angular scales are caused by the subdivision of the angular interval between adjacent grating lines into smaller intervals when radial gratings are used in angle encoders. They are often a major error source in precision angle metrology and better approaches for determining them at low levels of uncertainty are needed. Extensive investigations of interpolation errors of different angle encoders with various interpolators and interpolation schemes were carried out by adapting the shearing method to the calibration of autocollimators with angle encoders. The results of the laboratories with advanced angle metrology capabilities are presented which were acquired by the use of four different high precision angle encoders/interpolators/rotary tables. State of the art uncertainties down to 1 milliarcsec (5 nrad) were achieved for the determination of the interpolation errors using the shearing method which provides simultaneous access to the angle deviations of the autocollimator and of the angle encoder. Compared to the calibration and measurement capabilities (CMC) of the participants for autocollimators, the use of the shearing technique represents a substantial improvement in the uncertainty by a factor of up to 5 in addition to the precise determination of interpolation errors or their residuals (when compensated). A discussion of the results is carried out in conjunction with the equipment used.

  2. MO-G-12A-01: Quantitative Imaging Metrology: What Should Be Assessed and How?

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Giger, M; Petrick, N; Obuchowski, N

    The first two symposia in the Quantitative Imaging Track focused on 1) the introduction of quantitative imaging (QI) challenges and opportunities, and QI efforts of agencies and organizations such as the RSNA, NCI, FDA, and NIST, and 2) the techniques, applications, and challenges of QI, with specific examples from CT, PET/CT, and MR. This third symposium in the QI Track will focus on metrology and its importance in successfully advancing the QI field. While the specific focus will be on QI, many of the concepts presented are more broadly applicable to many areas of medical physics research and applications. Asmore » such, the topics discussed should be of interest to medical physicists involved in imaging as well as therapy. The first talk of the session will focus on the introduction to metrology and why it is critically important in QI. The second talk will focus on appropriate methods for technical performance assessment. The third talk will address statistically valid methods for algorithm comparison, a common problem not only in QI but also in other areas of medical physics. The final talk in the session will address strategies for publication of results that will allow statistically valid meta-analyses, which is critical for combining results of individual studies with typically small sample sizes in a manner that can best inform decisions and advance the field. Learning Objectives: Understand the importance of metrology in the QI efforts. Understand appropriate methods for technical performance assessment. Understand methods for comparing algorithms with or without reference data (i.e., “ground truth”). Understand the challenges and importance of reporting results in a manner that allows for statistically valid meta-analyses.« less

  3. Pull-Up Nets

    ERIC Educational Resources Information Center

    Meenan, Liz

    2008-01-01

    When the author began teaching, she always hit a problem when it came to 3D shapes. She wanted the pupils to get a feel for them, and she would get them to make the shapes from their nets. The pupils would first try to visualize how the 2D nets could become 3D shapes and then they would physically fold the nets into the shapes for themselves.…

  4. ProSens: integrated production control by automated inspection planning and efficient multisensor metrology

    NASA Astrophysics Data System (ADS)

    Glaser, Ulf; Li, Zhichao; Bichmann, Stephan, II; Pfeifer, Tilo

    2003-05-01

    By China's entry into the WTO, Chinese as well as German companies are facing the question, how to minimize the risk of unfamiliar cooperation partners when developing products. The rise of customer demands concerning quality, product diversity and the reduction of expenses require flexibility and efficiency with reliable component suppliers. In order to build and strengthen sino-german cooperations, a manufacturing control using homogenized and efficient measures to assure high quality is of vital importance. Lack of unifications may cause identical measurements conducted at subcontractors or customers to be carried out with different measurement processes which leads to incomparable results. Rapidly growing company cooperations and simultaneously decreasing of manufacturing scope cause substantial difficulties when coordinating joint quality control activities. "ProSens," a sino-german project consortium consisting of industrial users, technology producers and research institutes, aims at improving selected production processes by: Creation of a homogeneous quality awareness in sino-german cooperations. Sensitization for process accompanying metrology at an early stage of product development. Increase of the process performance by the use of integrated metrology. Reduction of production time and cost. Unification of quality control of complex products by means of efficient measurement strategies and CAD-based inspection planning.

  5. Development of an ultrasensitive interferometry system as a key to precision metrology applications

    NASA Astrophysics Data System (ADS)

    Gohlke, Martin; Schuldt, Thilo; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2009-06-01

    We present a symmetric heterodyne interferometer as a prototype of a highly sensitive translation and tilt measurement system. This compact optical metrology system was developed over the past several years by EADS Astrium (Friedrichshafen) in cooperation with the Humboldt-University (Berlin) and the university of applied science Konstanz (HTWG-Konstanz). The noise performance was tested at frequencies between 10-4 and 3 Hz, the noise levels are below 1 nm/Hz 1/2 for translation and below 1 μrad/Hz1/2, for tilt measurements. For frequencies higher than 10 mHz noise levels below 5pm/Hz1/2 and 4 nrad/Hz1/2 respectively, were demonstrated. Based on this highly sensitive metrology system we also developed a dilatometer for the characterization of the CTE (coefficient of thermal expansion) of various materials, i.e. CFRP (carbon fiber reinforced plastic) or Zerodur. The currently achieved sensitivity of these measurements is better than 10-7 K-1. Future planned applications of the interferometer include ultra-high-precision surface profiling and characterization of actuator noise in low-noise opto-mechanics setups. We will give an overview of the current experimental setup and the latest measurement results.

  6. Measuring self-aligned quadruple patterning pitch walking with scatterometry-based metrology utilizing virtual reference

    NASA Astrophysics Data System (ADS)

    Kagalwala, Taher; Vaid, Alok; Mahendrakar, Sridhar; Lenahan, Michael; Fang, Fang; Isbester, Paul; Shifrin, Michael; Etzioni, Yoav; Cepler, Aron; Yellai, Naren; Dasari, Prasad; Bozdog, Cornel

    2016-10-01

    Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.

  7. Optical metrology at the Optical Sciences Center: an historical review

    NASA Astrophysics Data System (ADS)

    Creath, Katherine; Parks, Robert E.

    2014-10-01

    The Optical Sciences Center (OSC) begun as a graduate-level applied optics teaching institution to support the US space effort. The making of optics representative of those used in other space programs was deemed essential. This led to the need for optical metrology: at first Hartmann tests, but almost immediately to interferometric tests using the newly invented HeNe laser. Not only were new types of interferometers needed, but the whole infrastructure that went with testing, fringe location methods, aberration removal software and contour map generation to aid the opticians during polishing needed to be developed. Over the last half century more rapid and precise methods of interferogram data reduction, surface roughness measurement, and methods of instrument calibration to separate errors from those in the optic have been pioneered at OSC. Other areas of research included null lens design and the writing of lens design software that led into the design of computer generated holograms for asphere testing. More recently work has been done on the reduction of speckle noise in interferograms, methods to test large convex aspheres, and a return to slope measuring tests to increase the dynamic range of the types of aspheric surfaces amenable to optical testing including free-form surfaces. This paper documents the history of the development of optical testing projects at OSC and highlights the contributions some of the individuals associated with new methods of testing and the infrastructure needed to support the testing. We conclude with comments about the future trends optical metrology.

  8. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II) from 5 to 1200 ppmv using a metrological humidity generator

    NASA Astrophysics Data System (ADS)

    Buchholz, Bernhard; Ebert, Volker

    2018-01-01

    Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10-20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  9. ROOT.NET: Using ROOT from .NET languages like C# and F#

    NASA Astrophysics Data System (ADS)

    Watts, G.

    2012-12-01

    ROOT.NET provides an interface between Microsoft's Common Language Runtime (CLR) and .NET technology and the ubiquitous particle physics analysis tool, ROOT. ROOT.NET automatically generates a series of efficient wrappers around the ROOT API. Unlike pyROOT, these wrappers are statically typed and so are highly efficient as compared to the Python wrappers. The connection to .NET means that one gains access to the full series of languages developed for the CLR including functional languages like F# (based on OCaml). Many features that make ROOT objects work well in the .NET world are added (properties, IEnumerable interface, LINQ compatibility, etc.). Dynamic languages based on the CLR can be used as well, of course (Python, for example). Additionally it is now possible to access ROOT objects that are unknown to the translation tool. This poster will describe the techniques used to effect this translation, along with performance comparisons, and examples. All described source code is posted on the open source site CodePlex.

  10. A Toolbox of Metrology-Based Techniques for Optical System Alignment

    NASA Technical Reports Server (NTRS)

    Coulter, Phillip; Ohl, Raymond G.; Blake, Peter N.; Bos, Brent J.; Casto, Gordon V.; Eichhorn, William L.; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hagopian, John G.; Hayden, Joseph E.; hide

    2016-01-01

    The NASA Goddard Space Flight Center (GSFC) and its partners have broad experience in the alignment of flight optical instruments and spacecraft structures. Over decades, GSFC developed alignment capabilities and techniques for a variety of optical and aerospace applications. In this paper, we provide an overview of a subset of the capabilities and techniques used on several recent projects in a toolbox format. We discuss a range of applications, from small-scale optical alignment of sensors to mirror and bench examples that make use of various large-volume metrology techniques. We also discuss instruments and analytical tools.

  11. A Toolbox of Metrology-Based Techniques for Optical System Alignment

    NASA Technical Reports Server (NTRS)

    Coulter, Phillip; Ohl, Raymond G.; Blake, Peter N.; Bos, Brent J.; Eichhorn, William L.; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hagopian, John G.; Hayden, Joseph E.; Hetherington, Samuel E.; hide

    2016-01-01

    The NASA Goddard Space Flight Center (GSFC) and its partners have broad experience in the alignment of flight optical instruments and spacecraft structures. Over decades, GSFC developed alignment capabilities and techniques for a variety of optical and aerospace applications. In this paper, we provide an overview of a subset of the capabilities and techniques used on several recent projects in a "toolbox" format. We discuss a range of applications, from small-scale optical alignment of sensors to mirror and bench examples that make use of various large-volume metrology techniques. We also discuss instruments and analytical tools.

  12. An Optical Lever For The Metrology Of Grazing Incidence Optics

    NASA Astrophysics Data System (ADS)

    DeCew, Alan E.; Wagner, Robert W.

    1986-11-01

    Research Optics & Development, Inc. is using a slope tracing profilometer to measure the figure of optical surfaces which cannot be measured conveniently by interferometric means. As a metrological tool, the technique has its greatest advantage as an in-process easurement system. An optician can easily convert from polishing to measurement in less than a minute of time. This rapid feedback allows figure correction with minimal wasted effort and setup time. The present configuration of the slope scanner provides resolutions to 1 micro-radian. By implementing minor modifications, the resolution could be improved by an order of magnitude.

  13. New method of 2-dimensional metrology using mask contouring

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  14. Robust symmetry-protected metrology with the Haldane phase

    NASA Astrophysics Data System (ADS)

    Bartlett, Stephen D.; Brennen, Gavin K.; Miyake, Akimasa

    2018-01-01

    We propose a metrology scheme that is made robust to a wide range of noise processes by using the passive, error-preventing properties of symmetry-protected topological phases. The so-called fractionalized edge mode of an antiferromagnetic Heisenberg spin-1 chain in a rotationally- symmetric Haldane phase can be used to measure the direction of an unknown electric field, by exploiting the way in which the field direction reduces the symmetry of the chain. Specifically, the direction (and when supplementing with a known background field, also the strength) of the field is registered in the holonomy under an adiabatic sensing protocol, and the degenerate fractionalized edge mode is protected through this process by the remaining reduced symmetry. We illustrate the scheme with respect to a potential realization by Rydberg dressed atoms.

  15. Calibration of space instruments at the Metrology Light Source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klein, R., E-mail: roman.klein@ptb.de; Fliegauf, R.; Gottwald, A.

    2016-07-27

    PTB has more than 20 years of experience in the calibration of space-based instruments using synchrotron radiation to cover the UV, VUV and X-ray spectral range. New instrumentation at the electron storage ring Metrology Light Source (MLS) opens up extended calibration possibilities within this framework. In particular, the set-up of a large vacuum vessel that can accommodate entire space instruments opens up new prospects. Moreover, a new facility for the calibration of radiation transfer source standards with a considerably extended spectral range has been put into operation. Besides, characterization and calibration of single components like e.g. mirrors, filters, gratings, andmore » detectors is continued.« less

  16. Impact of mass distribution of free long-lasting insecticidal nets on childhood malaria morbidity: The Togo National Integrated Child Health Campaign

    PubMed Central

    2010-01-01

    Background An evaluation of the short-term impact on childhood malaria morbidity of mass distribution of free long-lasting insecticidal nets (LLINs) to households with children aged 9-59 months as part of the Togo National Integrated Child Health Campaign. Methods The prevalence of anaemia and malaria in children aged zero to 59 months was measured during two cross-sectional household cluster-sample surveys conducted during the peak malaria transmission, three months before (Sept 2004, n = 2521) and nine months after the campaign (Sept 2005, n = 2813) in three districts representative of Togo's three epidemiological malaria transmission regions: southern tropical coastal plains (Yoto), central fertile highlands (Ogou) and northern semi-arid savannah (Tone). Results In households with children <5 years of age, insecticide-treated net (ITN) ownership increased from <1% to >65% in all 3 districts. Reported ITN use by children during the previous night was 35.9%, 43.8% and 80.6% in Yoto, Ogou and Tone, respectively. Rainfall patterns were comparable in both years. The overall prevalence of moderate to severe anaemia (Hb < 8.0 g/dL) was reduced by 28% (prevalence ratio [PR] 0.72, 95% CI 0.62-0.84) and mean haemoglobin was increased by 0.35 g/dL (95% CI 0.25-0.45). The effect was predominantly seen in children aged 18-59 months and in the two southern districts: PR (95% CI) for moderate to severe anaemia and clinical malaria: Yoto 0.62 (0.44-0.88) and 0.49 (0.35-0.75); Ogou 0.54 (0.37-0.79) and 0.85 (0.57-1.27), respectively. Similar reductions occurred in children <18 months in Ogou, but not in Yoto. No effect was seen in the semi-arid northern district despite a high malaria burden and ITN coverage. Conclusions A marked reduction in childhood malaria associated morbidity was observed in the year following mass distribution of free LLINs in two of the three districts in Togo. Sub-national level impact evaluations will contribute to a better understanding of the impact

  17. Optical metrology for testing an all-composite 2-meter diameter mirror

    NASA Technical Reports Server (NTRS)

    Catanzaro, B.; Thomas, James A.; Small, D.; Johnston, R.; Barber, D.; Connell, S.; Whitmore, S.; Cohen, E.

    2001-01-01

    The Herschel Space Observatory (formerly known as FIRST) consists of a 3.5 m space telescope designed for use in the long IR and sub-milimeter wavebands. To demonstrate the viability of a carbon fiber composite telescope for this application, Composite Optics Incorporated (COI) manufactured a fast (f/1), large (2 m), lightweight (10.1 kg/m squared) demonstration mirror. A key challenge in demonstrating the performance of this novel mirror was to characterize the surface accuracy at cryogenic (70 K) temperatures. A wide variety of optical metrology techniques were investigated and a brief survey of empirical test results and limitations of the various techniques will be presented in this paper. Two complementary infrared (IR)techniques operating at a wavelength of 10.6 microns were chosen for further development: (1) IR Twyman-Green Phase Shifting Interferometry (IR PSI) and (2) IR Shack-Hartmann (IR SH) Wavefront Sensing. Innovative design modifications made to an existing IR PSI to achieve high-resolution, scannable, infrared measurements of the composite mirror are described. The modified interferometer was capable of measuring surface gradients larger than 350 microradians. The design and results of measurements made with a custom-built IR SH Wavefrong Sensor operating at 10.6 microns are also presented. A compact experimental setup permitting simultaneous operation of both the IR PSI and IR SH tools is shown. The advantages and the limitations of the two key IR metrology tools are discussed.

  18. Freeze-out conditions from net-proton and net-charge fluctuations at RHIC

    DOE PAGES

    Alba, Paolo; Alberico, Wanda; Bellwied, Rene; ...

    2014-09-26

    We calculate ratios of higher-order susceptibilities quantifying fluctuations in the number of net-protons and in the net-electric charge using the Hadron Resonance Gas (HRG) model. We take into account the effect of resonance decays, the kinematic acceptance cuts in rapidity, pseudo-rapidity and transverse momentum used in the experimental analysis, as well as a randomization of the isospin of nucleons in the hadronic phase. By comparing these results to the latest experimental data from the STAR Collaboration, we determine the freeze-out conditions from net-electric charge and net-proton distributions and discuss their consistency.

  19. Mentoring, Women in Engineering and Related Sciences, and MentorNet

    NASA Astrophysics Data System (ADS)

    Dockter, J.; Muller, C.

    2003-12-01

    Mentoring is a frequently employed strategy for retention of women in engineering and science. The power of mentoring is sometimes poorly understood, and mentoring is not always effectively practiced, however. At its strongest, mentoring is understood as a powerful learning process, which assures the intergenerational transfer of knowledge and "know-how" on an ongoing basis throughout one's life. Mentoring helps make explicit the tacit knowledge of a discipline and its professional culture, which is especially important for underrepresented groups. MentorNet (www.MentorNet.net), the E-Mentoring Network for Women in Engineering and Science, is a nonprofit organization focused on furthering women's progress in scientific and technical fields through the use of a dynamic, technology-supported mentoring program. Since 1998, nearly 10,000 undergraduate and graduate women studying engineering and related sciences at more than 100 colleges and universities across the U.S., and in several other nations, have been matched in structured, one-on-one, email-based mentoring relationships with male and female scientific and technical professionals working in industry and government. This poster will describe the MentorNet program, and provide findings of annual program evaluations related to outcomes for participants with particular focus on women in the planetary and earth sciences. We also address the development of the partnership of approximately 100 organizations currently involved in MentorNet and the value each gains from its affiliation. MentorNet is an ongoing effort which supports the interests of all organizations and individuals working to advance women in engineering and related sciences.

  20. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    NASA Astrophysics Data System (ADS)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  1. Metrological assessment of the methods for measuring the contents of acids and ion metals responsible for the exchangeable acidity of soils

    NASA Astrophysics Data System (ADS)

    Vanchikova, E. V.; Shamrikova, E. V.; Bespyatykh, N. V.; Kyz"yurova, E. V.; Kondratenok, B. M.

    2015-02-01

    Metrological characteristics—precision, trueness, and accuracy—of the results of measurements of the exchangeable acidity and its components by the potentiometric titration method were studied on the basis of multiple analyses of the soil samples with the examination of statistical data for the outliers and their correspondence to the normal distribution. Measurement errors were estimated. The applied method was certified by the Metrological Center of the Uralian Branch of the Russian Academy of Sciences (certificate no. 88-17641-094-2013) and included in the Federal Information Fund on Assurance of Measurements (FR 1.31.2013.16382).

  2. Legal Time of the Republic of Colombia and its international traceability using the Cesium Atomic Clock - Time and Frequency National Standard

    NASA Astrophysics Data System (ADS)

    Hernández Forero, Liz Catherine; Bahamón Cortés, Nelson

    2017-06-01

    Around the world, there are different providers of timestamp (mobile, radio or television operators, satellites of the GPS network, astronomical measurements, etc.), however, the source of the legal time for a country is either the national metrology institute or another designated laboratory. This activity requires a time standard based on an atomic time scale. The International Bureau of Weights and Measures (BIPM) calculates a weighted average of the time kept in more than 60 nations and produces a single international time scale, called Coordinated Universal Time (UTC). This article presents the current time scale that generates Legal Time for the Republic of Colombia produced by the Instituto Nacional de Metrología (INM) using the time and frequency national standard, a cesium atomic oscillator. It also illustrates how important it is for the academic, scientific and industrial communities, as well as the general public, to be synchronized with this time scale, which is traceable to the International System (SI) of units, through international comparisons that are made in real time.

  3. No Safety Net Required

    ERIC Educational Resources Information Center

    Benigni, Mark D.; Moylan, Maureen

    2009-01-01

    This article discusses the Berlin (Connecticut) High School's NET (Non-Traditional Educational Training) program. NET is a self-contained program that is composed of three components: academics, social and emotional support, and vocational training. Rather than treat students alike, the NET program tailors their high school experience to meet…

  4. Polarizability of Helium, Neon, and Argon: New Perspectives for Gas Metrology

    NASA Astrophysics Data System (ADS)

    Gaiser, Christof; Fellmuth, Bernd

    2018-03-01

    With dielectric-constant gas thermometry, the molar polarizability of helium, neon, and argon has been determined with relative standard uncertainties of about 2 parts per million. A series of isotherms measured with the three noble gases and two different experimental setups led to this unprecedented level of uncertainty. These data are crucial for scientists in the field of gas metrology, working on pressure and temperature standards. Furthermore, with the new benchmark values for neon and argon, theoretical calculations, today about 3 orders of magnitude larger in uncertainty, can be checked and improved.

  5. Polarizability of Helium, Neon, and Argon: New Perspectives for Gas Metrology.

    PubMed

    Gaiser, Christof; Fellmuth, Bernd

    2018-03-23

    With dielectric-constant gas thermometry, the molar polarizability of helium, neon, and argon has been determined with relative standard uncertainties of about 2 parts per million. A series of isotherms measured with the three noble gases and two different experimental setups led to this unprecedented level of uncertainty. These data are crucial for scientists in the field of gas metrology, working on pressure and temperature standards. Furthermore, with the new benchmark values for neon and argon, theoretical calculations, today about 3 orders of magnitude larger in uncertainty, can be checked and improved.

  6. 77 FR 25406 - Consortium on “Concrete Rheology: Enabling Metrology (CREME)”: Membership Fee Update

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-04-30

    ... Technology (NIST) published a notice of a public meeting, which was held on November 8, 2011, to explore the feasibility of establishing a NIST/Industry Consortium on Concrete Rheology: Enabling Metrology (CREME)''. The... INFORMATION CONTACT: Chiara Ferraris or Nicos Martys via email at [email protected]nist.gov ; [email protected]nist...

  7. Innovation in the safety net: integrating community health centers through accountable care.

    PubMed

    Lewis, Valerie A; Colla, Carrie H; Schoenherr, Karen E; Shortell, Stephen M; Fisher, Elliott S

    2014-11-01

    Safety net primary care providers, including as community health centers, have long been isolated from mainstream health care providers. Current delivery system reforms such as Accountable Care Organizations (ACOs) may either reinforce the isolation of these providers or may spur new integration of safety net providers. This study examines the extent of community health center involvement in ACOs, as well as how and why ACOs are partnering with these safety net primary care providers. Mixed methods study pairing the cross-sectional National Survey of ACOs (conducted 2012 to 2013), followed by in-depth, qualitative interviews with a subset of ACOs that include community health centers (conducted 2013). One hundred and seventy-three ACOs completed the National Survey of ACOs. Executives from 18 ACOs that include health centers participated in in-depth interviews, along with leadership at eight community health centers participating in ACOs. Key survey measures include ACO organizational characteristics, care management and quality improvement capabilities. Qualitative interviews used a semi-structured interview guide. Interviews were recorded and transcribed, then coded for thematic content using NVivo software. Overall, 28% of ACOs include a community health center (CHC). ACOs with CHCs are similar to those without CHCs in organizational structure, care management and quality improvement capabilities. Qualitative results showed two major themes. First, ACOs with CHCs typically represent new relationships or formal partnerships between CHCs and other local health care providers. Second, CHCs are considered valued partners brought into ACOs to expand primary care capacity and expertise. A substantial number of ACOs include CHCs. These results suggest that rather than reinforcing segmentation of safety net providers from the broader delivery system, the ACO model may lead to the integration of safety net primary care providers.

  8. National Evaluation Program CapWIN: the capital wireless integrated net phase III final report.

    DOT National Transportation Integrated Search

    2008-04-01

    The Capital Area Wireless Integrated Net (CapWIN) is comprised of first responder agencies in the Washington, DC metropolitan area. Through the use of the CapWIN application, responders are able to: 1. Exchange messages with other users at roadside l...

  9. Metrologically Traceable Determination of the Water Content in Biopolymers: INRiM Activity

    NASA Astrophysics Data System (ADS)

    Rolle, F.; Beltramino, G.; Fernicola, V.; Sega, M.; Verdoja, A.

    2017-03-01

    Water content in materials is a key factor affecting many chemical and physical properties. In polymers of biological origin, it influences their stability and mechanical properties as well as their biodegradability. The present work describes the activity carried out at INRiM on the determination of water content in samples of a commercial starch-derived biopolymer widely used in shopping bags (Mater-Bi^{circledR }). Its water content, together with temperature, is the most influencing parameter affecting its biodegradability, because of the considerable impact on the microbial activity which is responsible for the biopolymer degradation in the environment. The main scope of the work was the establishment of a metrologically traceable procedure for the determination of water content by using two electrochemical methods, namely coulometric Karl Fischer (cKF) titration and evolved water vapour (EWV) analysis. The obtained results are presented. The most significant operational parameters were considered, and a particular attention was devoted to the establishment of metrological traceability of the measurement results by using appropriate calibration procedures, calibrated standards and suitable certified reference materials. Sample homogeneity and oven-drying temperature were found to be the most important influence quantities in the whole water content measurement process. The results of the two methods were in agreement within the stated uncertainties. Further development is foreseen for the application of cKF and EWV to other polymers.

  10. Net use, care and repair practices following a universal distribution campaign in Mali.

    PubMed

    Leonard, Lori; Diop, Samba; Doumbia, Seydou; Sadou, Aboubacar; Mihigo, Jules; Koenker, Hannah; Berthe, Sara; Monroe, April; Bertram, Kathryn; Weber, Rachel

    2014-11-18

    The Government of Mali and the President's Malaria Initiative conducted a long-lasting, insecticidal net (LLIN) distribution campaign in April 2011 in the Sikasso region of Mali, with the aim of universal coverage, defined as one insecticide-treated net for every two persons. This study examines how households in post- and pre-campaign regions value and care for nets. The study was conducted in October 2012 in Sikasso and Kayes in the southeast and western regions of Mali, respectively. The regions were purposively selected to allow for comparison between areas that had already had a mass distribution campaign (Sikasso) and areas that had not yet had a mass distribution campaign (Kayes). Study sites and households were randomly selected. Sleeping space questionnaires and structured interviews with household heads were conducted to obtain information on net use, perceived value of free nets in relation to other malaria prevention activities, and net care and repair practices. The study included 40 households, split evenly across the two regions. Forty interviews were conducted with household heads and 151 sleeping spaces were inventoried using the sleeping space questionnaire. Nets obtained through the free distribution were reported to be highly valued in comparison to other malaria prevention strategies. Overall, net ownership and use were higher among households in areas that had already experienced a mass distribution. While participants reported using and valuing these nets, care and repair practices varied. National net use is high in Mali, and comparatively higher in the region covered by the universal distribution campaign than in the region not yet covered. While the Government of Mali and implementing partners have made strides to ensure high net coverage, some gaps remain related to communication messaging of correct and consistent net use throughout the year, and on improving net care and repair behaviour. By focusing on these areas as well as improved

  11. Planning long lasting insecticide treated net campaigns: should households’ existing nets be taken into account?

    PubMed Central

    2013-01-01

    Background Mass distribution of long-lasting insecticide treated bed nets (LLINs) has led to large increases in LLIN coverage in many African countries. As LLIN ownership levels increase, planners of future mass distributions face the challenge of deciding whether to ignore the nets already owned by households or to take these into account and attempt to target individuals or households without nets. Taking existing nets into account would reduce commodity costs but require more sophisticated, and potentially more costly, distribution procedures. The decision may also have implications for the average age of nets in use and therefore on the maintenance of universal LLIN coverage over time. Methods A stochastic simulation model based on the NetCALC algorithm was used to determine the scenarios under which it would be cost saving to take existing nets into account, and the potential effects of doing so on the age profile of LLINs owned. The model accounted for variability in timing of distributions, concomitant use of continuous distribution systems, population growth, sampling error in pre-campaign coverage surveys, variable net ‘decay’ parameters and other factors including the feasibility and accuracy of identifying existing nets in the field. Results Results indicate that (i) where pre-campaign coverage is around 40% (of households owning at least 1 LLIN), accounting for existing nets in the campaign will have little effect on the mean age of the net population and (ii) even at pre-campaign coverage levels above 40%, an approach that reduces LLIN distribution requirements by taking existing nets into account may have only a small chance of being cost-saving overall, depending largely on the feasibility of identifying nets in the field. Based on existing literature the epidemiological implications of such a strategy is likely to vary by transmission setting, and the risks of leaving older nets in the field when accounting for existing nets must be considered

  12. Planning long lasting insecticide treated net campaigns: should households' existing nets be taken into account?

    PubMed

    Yukich, Joshua; Bennett, Adam; Keating, Joseph; Yukich, Rudy K; Lynch, Matt; Eisele, Thomas P; Kolaczinski, Kate

    2013-06-14

    Mass distribution of long-lasting insecticide treated bed nets (LLINs) has led to large increases in LLIN coverage in many African countries. As LLIN ownership levels increase, planners of future mass distributions face the challenge of deciding whether to ignore the nets already owned by households or to take these into account and attempt to target individuals or households without nets. Taking existing nets into account would reduce commodity costs but require more sophisticated, and potentially more costly, distribution procedures. The decision may also have implications for the average age of nets in use and therefore on the maintenance of universal LLIN coverage over time. A stochastic simulation model based on the NetCALC algorithm was used to determine the scenarios under which it would be cost saving to take existing nets into account, and the potential effects of doing so on the age profile of LLINs owned. The model accounted for variability in timing of distributions, concomitant use of continuous distribution systems, population growth, sampling error in pre-campaign coverage surveys, variable net 'decay' parameters and other factors including the feasibility and accuracy of identifying existing nets in the field. Results indicate that (i) where pre-campaign coverage is around 40% (of households owning at least 1 LLIN), accounting for existing nets in the campaign will have little effect on the mean age of the net population and (ii) even at pre-campaign coverage levels above 40%, an approach that reduces LLIN distribution requirements by taking existing nets into account may have only a small chance of being cost-saving overall, depending largely on the feasibility of identifying nets in the field. Based on existing literature the epidemiological implications of such a strategy is likely to vary by transmission setting, and the risks of leaving older nets in the field when accounting for existing nets must be considered. Where pre-campaign coverage

  13. KM3NeT

    NASA Astrophysics Data System (ADS)

    de Jong, M.

    2015-07-01

    KM3NeT is a large research infrastructure, that will consist of a network of deep-sea neutrino telescopes in the Mediterranean Sea. The main objective of KM3NeT is the discovery and subsequent observation of high-energy neutrino sources in the Universe. A further physics perspective is the measurement of the mass hierarchy of neutrinos. A corresponding study, ORCA, is ongoing within KM3NeT. A cost effective technology for (very) large water Cherenkov detectors has been developed based on a new generation of low price 3-inch photo-multiplier tubes. Following the successful deployment and operation of two prototypes, the construction of the KM3NeT research infrastructure has started. The prospects of the different phases of the implementation of KM3NeT are summarised.

  14. Air temperature sensors: dependence of radiative errors on sensor diameter in precision metrology and meteorology

    NASA Astrophysics Data System (ADS)

    de Podesta, Michael; Bell, Stephanie; Underwood, Robin

    2018-04-01

    In both meteorological and metrological applications, it is well known that air temperature sensors are susceptible to radiative errors. However, it is not widely known that the radiative error measured by an air temperature sensor in flowing air depends upon the sensor diameter, with smaller sensors reporting values closer to true air temperature. This is not a transient effect related to sensor heat capacity, but a fluid-dynamical effect arising from heat and mass flow in cylindrical geometries. This result has been known historically and is in meteorology text books. However, its significance does not appear to be widely appreciated and, as a consequence, air temperature can be—and probably is being—widely mis-estimated. In this paper, we first review prior descriptions of the ‘sensor size’ effect from the metrological and meteorological literature. We develop a heat transfer model to describe the process for cylindrical sensors, and evaluate the predicted temperature error for a range of sensor sizes and air speeds. We compare these predictions with published predictions and measurements. We report measurements demonstrating this effect in two laboratories at NPL in which the air flow and temperature are exceptionally closely controlled. The results are consistent with the heat-transfer model, and show that the air temperature error is proportional to the square root of the sensor diameter and that, even under good laboratory conditions, it can exceed 0.1 °C for a 6 mm diameter sensor. We then consider the implications of this result. In metrological applications, errors of the order of 0.1 °C are significant, representing limiting uncertainties in dimensional and mass measurements. In meteorological applications, radiative errors can easily be much larger. But in both cases, an understanding of the diameter dependence allows assessment and correction of the radiative error using a multi-sensor technique.

  15. Investigating mosquito net durability for malaria control in Tanzania - attrition, bioefficacy, chemistry, degradation and insecticide resistance (ABCDR): study protocol.

    PubMed

    Lorenz, Lena M; Overgaard, Hans J; Massue, Dennis J; Mageni, Zawadi D; Bradley, John; Moore, Jason D; Mandike, Renata; Kramer, Karen; Kisinza, William; Moore, Sarah J

    2014-12-13

    Long-Lasting Insecticidal Nets (LLINs) are one of the major malaria vector control tools, with most countries adopting free or subsidised universal coverage campaigns of populations at-risk from malaria. It is essential to understand LLIN durability so that public health policy makers can select the most cost effective nets that last for the longest time, and estimate the optimal timing of repeated distribution campaigns. However, there is limited knowledge from few countries of the durability of LLINs under user conditions. This study investigates LLIN durability in eight districts of Tanzania, selected for their demographic, geographic and ecological representativeness of the country as a whole. We use a two-stage approach: First, LLINs from recent national net campaigns will be evaluated retrospectively in 3,420 households. Those households will receive one of three leading LLIN products at random (Olyset®, PermaNet®2.0 or Netprotect®) and will be followed up for three years in a prospective study to compare their performance under user conditions. LLIN durability will be evaluated by measuring Attrition (the rate at which nets are discarded by households), Bioefficacy (the insecticidal efficacy of the nets measured by knock-down and mortality of mosquitoes), Chemical content (g/kg of insecticide available in net fibres) and physical Degradation (size and location of holes). In addition, we will extend the current national mosquito insecticide Resistance monitoring program to additional districts and use these data sets to provide GIS maps for use in health surveillance and decision making by the National Malaria Control Program (NMCP). The data will be of importance to policy makers and vector control specialists both in Tanzania and the SSA region to inform best practice for the maintenance of high and cost-effective coverage and to maximise current health gains in malaria control.

  16. A universal quantum module for quantum communication, computation, and metrology

    NASA Astrophysics Data System (ADS)

    Hanks, Michael; Lo Piparo, Nicolò; Trupke, Michael; Schmiedmayer, Jorg; Munro, William J.; Nemoto, Kae

    2017-08-01

    In this work, we describe a simple module that could be ubiquitous for quantum information based applications. The basic modules comprises a single NV- center in diamond embedded in an optical cavity, where the cavity mediates interactions between photons and the electron spin (enabling entanglement distribution and efficient readout), while the nuclear spins constitutes a long-lived quantum memories capable of storing and processing quantum information. We discuss how a network of connected modules can be used for distributed metrology, communication and computation applications. Finally, we investigate the possible use of alternative diamond centers (SiV/GeV) within the module and illustrate potential advantages.

  17. The 2014 Annual(MCWG)Annual Metrology and Calibration Working Gr

    NASA Image and Video Library

    2014-05-07

    Annual Metrology and Calibration Working Group Face to Face meeting held at Langley Research Center; Front Row (L to R): Stacy Sigmon – LaRC, Brent Watling – JSC, Ralph Hickman – WFF, Kirk Foster – MSFC, Don Wilson – SSC, Greg Boyd – JSC, Perry King – KSC, Bobby Price – GSFC, Felicia Donnell – GSFC, Perry LaRosa – GRC. Second Row (L to R): Ken Mathews – KSC, Darrell Shoup – WSTF, Gary Kennedy – MSFC, Terry Fleet – GRC, Damon Flansburg – ARC, Salvatore Tomaselli - WFF, Bruce Farner - SSC, David Scott – JPL, Jim Wachter – KSC, Shawn Britton – LaRC.

  18. A novel methodology for building robust design rules by using design based metrology (DBM)

    NASA Astrophysics Data System (ADS)

    Lee, Myeongdong; Choi, Seiryung; Choi, Jinwoo; Kim, Jeahyun; Sung, Hyunju; Yeo, Hyunyoung; Shim, Myoungseob; Jin, Gyoyoung; Chung, Eunseung; Roh, Yonghan

    2013-03-01

    This paper addresses a methodology for building robust design rules by using design based metrology (DBM). Conventional method for building design rules has been using a simulation tool and a simple pattern spider mask. At the early stage of the device, the estimation of simulation tool is poor. And the evaluation of the simple pattern spider mask is rather subjective because it depends on the experiential judgment of an engineer. In this work, we designed a huge number of pattern situations including various 1D and 2D design structures. In order to overcome the difficulties of inspecting many types of patterns, we introduced Design Based Metrology (DBM) of Nano Geometry Research, Inc. And those mass patterns could be inspected at a fast speed with DBM. We also carried out quantitative analysis on PWQ silicon data to estimate process variability. Our methodology demonstrates high speed and accuracy for building design rules. All of test patterns were inspected within a few hours. Mass silicon data were handled with not personal decision but statistical processing. From the results, robust design rules are successfully verified and extracted. Finally we found out that our methodology is appropriate for building robust design rules.

  19. Cooperate to Validate: OBSERVAL-NET Experts' Report on Validation of Non-Formal and Informal Learning (VNIL) 2013

    ERIC Educational Resources Information Center

    Weber Guisan, Saskia; Voit, Janine; Lengauer, Sonja; Proinger, Eva; Duvekot, Ruud; Aagaard, Kirsten

    2014-01-01

    The present publication is one of the outcomes of the OBSERVAL-NET project (follow-up of the OBSERVAL project). The main aim of OBSERVAL-NET was to set up a stakeholder-centric network of organisations supporting the validation of non-formal and informal learning in Europe based on the formation of national working groups in the 8 participating…

  20. Cooperate to Validate. Observal-Net Experts' Report on Validation of Non-Formal and Informal Learning (VNIL) 2013

    ERIC Educational Resources Information Center

    Weber Guisan, Saskia; Voit, Janine; Lengauer, Sonja; Proinger, Eva; Duvekot, Ruud; Aagaard, Kirsten

    2014-01-01

    The present publication is one of the outcomes of the OBSERVAL-NET project (followup of the OBSERVAL project). The main aim of OBSERVAL-NET was to set up a stakeholder centric network of organisations supporting the validation of non-formal and informal learning in Europe based on the formation of national working groups in the 8 participating…

  1. BioNetSim: a Petri net-based modeling tool for simulations of biochemical processes.

    PubMed

    Gao, Junhui; Li, Li; Wu, Xiaolin; Wei, Dong-Qing

    2012-03-01

    BioNetSim, a Petri net-based software for modeling and simulating biochemistry processes, is developed, whose design and implement are presented in this paper, including logic construction, real-time access to KEGG (Kyoto Encyclopedia of Genes and Genomes), and BioModel database. Furthermore, glycolysis is simulated as an example of its application. BioNetSim is a helpful tool for researchers to download data, model biological network, and simulate complicated biochemistry processes. Gene regulatory networks, metabolic pathways, signaling pathways, and kinetics of cell interaction are all available in BioNetSim, which makes modeling more efficient and effective. Similar to other Petri net-based softwares, BioNetSim does well in graphic application and mathematic construction. Moreover, it shows several powerful predominances. (1) It creates models in database. (2) It realizes the real-time access to KEGG and BioModel and transfers data to Petri net. (3) It provides qualitative analysis, such as computation of constants. (4) It generates graphs for tracing the concentration of every molecule during the simulation processes.

  2. 64nm pitch metal1 double patterning metrology: CD and OVL control by SEMCD, image based overlay and diffraction based overlay

    NASA Astrophysics Data System (ADS)

    Ducoté, Julien; Dettoni, Florent; Bouyssou, Régis; Le-Gratiet, Bertrand; Carau, Damien; Dezauzier, Christophe

    2015-03-01

    Patterning process control of advanced nodes has required major changes over the last few years. Process control needs of critical patterning levels since 28nm technology node is extremely aggressive showing that metrology accuracy/sensitivity must be finely tuned. The introduction of pitch splitting (Litho-Etch-Litho-Etch) at 14FDSOInm node requires the development of specific metrologies to adopt advanced process control (for CD, overlay and focus corrections). The pitch splitting process leads to final line CD uniformities that are a combination of the CD uniformities of the two exposures, while the space CD uniformities are depending on both CD and OVL variability. In this paper, investigations of CD and OVL process control of 64nm minimum pitch at Metal1 level of 14FDSOI technology, within the double patterning process flow (Litho, hard mask etch, line etch) are presented. Various measurements with SEMCD tools (Hitachi), and overlay tools (KT for Image Based Overlay - IBO, and ASML for Diffraction Based Overlay - DBO) are compared. Metrology targets are embedded within a block instanced several times within the field to perform intra-field process variations characterizations. Specific SEMCD targets were designed for independent measurement of both line CD (A and B) and space CD (A to B and B to A) for each exposure within a single measurement during the DP flow. Based on those measurements correlation between overlay determined with SEMCD and with standard overlay tools can be evaluated. Such correlation at different steps through the DP flow is investigated regarding the metrology type. Process correction models are evaluated with respect to the measurement type and the intra-field sampling.

  3. History of reference materials for food and nutrition metrology: As represented in the series of BERM Symposia

    USDA-ARS?s Scientific Manuscript database

    Establishment of a metrology-based measurement system requires the solid foundation of traceability of measurements to available, appropriate certified reference materials (CRM). In the early 1970s the first “biological” Reference Material (RM) of Bowens Kale, Orchard Leaves, and Bovine Liver from ...

  4. Classical workflow nets and workflow nets with reset arcs: using Lyapunov stability for soundness verification

    NASA Astrophysics Data System (ADS)

    Clempner, Julio B.

    2017-01-01

    This paper presents a novel analytical method for soundness verification of workflow nets and reset workflow nets, using the well-known stability results of Lyapunov for Petri nets. We also prove that the soundness property is decidable for workflow nets and reset workflow nets. In addition, we provide evidence of several outcomes related with properties such as boundedness, liveness, reversibility and blocking using stability. Our approach is validated theoretically and by a numerical example related to traffic signal-control synchronisation.

  5. KM3NeT

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jong, M. de; Leiden Institute of Physics, Leiden University, Leiden; Collaboration: KM3NeT Collaboration

    2015-07-15

    KM3NeT is a large research infrastructure, that will consist of a network of deep-sea neutrino telescopes in the Mediterranean Sea. The main objective of KM3NeT is the discovery and subsequent observation of high-energy neutrino sources in the Universe. A further physics perspective is the measurement of the mass hierarchy of neutrinos. A corresponding study, ORCA, is ongoing within KM3NeT. A cost effective technology for (very) large water Cherenkov detectors has been developed based on a new generation of low price 3-inch photo-multiplier tubes. Following the successful deployment and operation of two prototypes, the construction of the KM3NeT research infrastructure hasmore » started. The prospects of the different phases of the implementation of KM3NeT are summarised.« less

  6. Characterizing opto-electret based paper speakers by using a real-time projection Moiré metrology system

    NASA Astrophysics Data System (ADS)

    Chang, Ya-Ling; Hsu, Kuan-Yu; Lee, Chih-Kung

    2016-03-01

    Advancement of distributed piezo-electret sensors and actuators facilitates various smart systems development, which include paper speakers, opto-piezo/electret bio-chips, etc. The array-based loudspeaker system possess several advantages over conventional coil speakers, such as light-weightness, flexibility, low power consumption, directivity, etc. With the understanding that the performance of the large-area piezo-electret loudspeakers or even the microfluidic biochip transport behavior could be tailored by changing their dynamic behaviors, a full-field real-time high-resolution non-contact metrology system was developed. In this paper, influence of the resonance modes and the transient vibrations of an arraybased loudspeaker system on the acoustic effect were measured by using a real-time projection moiré metrology system and microphones. To make the paper speaker even more versatile, we combine the photosensitive material TiOPc into the original electret loudspeaker. The vibration of this newly developed opto-electret loudspeaker could be manipulated by illuminating different light-intensity patterns. Trying to facilitate the tailoring process of the opto-electret loudspeaker, projection moiré was adopted to measure its vibration. By recording the projected fringes which are modulated by the contours of the testing sample, the phase unwrapping algorithm can give us a continuous phase distribution which is proportional to the object height variations. With the aid of the projection moiré metrology system, the vibrations associated with each distinctive light pattern could be characterized. Therefore, we expect that the overall acoustic performance could be improved by finding the suitable illuminating patterns. In this manuscript, the system performance of the projection moiré and the optoelectret paper speakers were cross-examined and verified by the experimental results obtained.

  7. Applying Metrological Techniques to Satellite Fundamental Climate Data Records

    NASA Astrophysics Data System (ADS)

    Woolliams, Emma R.; Mittaz, Jonathan PD; Merchant, Christopher J.; Hunt, Samuel E.; Harris, Peter M.

    2018-02-01

    Quantifying long-term environmental variability, including climatic trends, requires decadal-scale time series of observations. The reliability of such trend analysis depends on the long-term stability of the data record, and understanding the sources of uncertainty in historic, current and future sensors. We give a brief overview on how metrological techniques can be applied to historical satellite data sets. In particular we discuss the implications of error correlation at different spatial and temporal scales and the forms of such correlation and consider how uncertainty is propagated with partial correlation. We give a form of the Law of Propagation of Uncertainties that considers the propagation of uncertainties associated with common errors to give the covariance associated with Earth observations in different spectral channels.

  8. AdaNET executive summary

    NASA Technical Reports Server (NTRS)

    Digman, R. Michael

    1988-01-01

    The goal of AdaNET is to transfer existing and emerging software engineering technology from the Federal government to the private sector. The views and perspectives of the current project participants on long and short term goals for AdaNET; organizational structure; resources and returns; summary of identified AdaNET services; and the summary of the organizational model currently under discussion are presented.

  9. Software reuse issues affecting AdaNET

    NASA Technical Reports Server (NTRS)

    Mcbride, John G.

    1989-01-01

    The AdaNet program is reviewing its long-term goals and strategies. A significant concern is whether current AdaNet plans adequately address the major strategic issues of software reuse technology. The major reuse issues of providing AdaNet services that should be addressed as part of future AdaNet development are identified and reviewed. Before significant development proceeds, a plan should be developed to resolve the aforementioned issues. This plan should also specify a detailed approach to develop AdaNet. A three phased strategy is recommended. The first phase would consist of requirements analysis and produce an AdaNet system requirements specification. It would consider the requirements of AdaNet in terms of mission needs, commercial realities, and administrative policies affecting development, and the experience of AdaNet and other projects promoting the transfer software engineering technology. Specifically, requirements analysis would be performed to better understand the requirements for AdaNet functions. The second phase would provide a detailed design of the system. The AdaNet should be designed with emphasis on the use of existing technology readily available to the AdaNet program. A number of reuse products are available upon which AdaNet could be based. This would significantly reduce the risk and cost of providing an AdaNet system. Once a design was developed, implementation would proceed in the third phase.

  10. Metrological issues related to BRDF measurements around the specular direction in the particular case of glossy surfaces

    NASA Astrophysics Data System (ADS)

    Obein, Gaël.; Audenaert, Jan; Ged, Guillaume; Leloup, Frédéric B.

    2015-03-01

    Among the complete bidirectional reflectance distribution function (BRDF), visual gloss is principally related to physical reflection characteristics located around the specular reflection direction. This particular part of the BRDF is usually referred to as the specular peak. A good starting point for the physical description of gloss could be to measure the reflection properties around this specular peak. Unfortunately, such a characterization is not trivial, since for glossy surfaces the width of the specular peak can become very narrow (typically a full width at half maximum inferior to 0.5° is encountered). In result, new BRDF measurement devices with a very small solid angle of detection are being introduced. Yet, differences in the optical design of BRDF measurement instruments engender different measurement results for the same specimen, complicating direct comparison of the measurement results. This issue is addressed in this paper. By way of example, BRDF measurement results of two samples, one being matte and the other one glossy, obtained by use of two high level goniospectrophotometers with a different optical design, are described. Important discrepancies in the results of the glossy sample are discussed. Finally, luminance maps obtained from renderings with the acquired BRDF data are presented, exemplifying the large visual differences that might be obtained. This stresses the metrological aspects that must be known for using BRDF data. Indeed, the comprehension of parameters affecting the measurement results is an inevitable step towards progress in the metrology of surface gloss, and thus towards a better metrology of appearance in general.

  11. The coming of age of the first hybrid metrology software platform dedicated to nanotechnologies (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Foucher, Johann; Labrosse, Aurelien; Dervillé, Alexandre; Zimmermann, Yann; Bernard, Guilhem; Martinez, Sergio; Grönqvist, Hanna; Baderot, Julien; Pinzan, Florian

    2017-03-01

    The development and integration of new materials and structures at the nanoscale require multiple parallel characterizations in order to control mostly physico-chemical properties as a function of applications. Among all properties, we can list physical properties such as: size, shape, specific surface area, aspect ratio, agglomeration/aggregation state, size distribution, surface morphology/topography, structure (including crystallinity and defect structure), solubility and chemical properties such as: structural formula/molecular structure, composition (including degree of purity, known impurities or additives), phase identity, surface chemistry (composition, charge, tension, reactive sites, physical structure, photocatalytic properties, zeta potential), hydrophilicity/lipophilicity. Depending on the final material formulation (aerosol, powder, nanostructuration…) and the industrial application (semiconductor, cosmetics, chemistry, automotive…), a fleet of complementary characterization equipments must be used in synergy for accurate process tuning and high production yield. The synergy between equipment so-called hybrid metrology consists in using the strength of each technique in order to reduce the global uncertainty for better and faster process control. The only way to succeed doing this exercise is to use data fusion methodology. In this paper, we will introduce the work that has been done to create the first generic hybrid metrology software platform dedicated to nanotechnologies process control. The first part will be dedicated to process flow modeling that is related to a fleet of metrology tools. The second part will introduce the concept of entity model which describes the various parameters that have to be extracted. The entity model is fed with data analysis as a function of the application (automatic analysis or semi-automated analysis). The final part will introduce two ways of doing data fusion on real data coming from imaging (SEM, TEM, AFM

  12. The remarkable metrological history of 14C dating: From ancient Egyptian artifacts to particles of soot and grains of pollen

    NASA Astrophysics Data System (ADS)

    Currie, L. A.

    2003-01-01

    Radiocarbon dating would not have been possible if 14C had not had the “wrong” half-life—a fact that delayed its discovery [1]. Following the discovery of this 5730 year radionuclide in laboratory experiments by Ruben and Kamen, it became clear to W. F. Libby that 14C should exist in nature, and that it could serve as a quantitative means for dating artifacts and events marking the history of civilization. The search for natural radiocarbon was a metrological challenge; the level in the living biosphere [ca. 230 Bq/kg] lay far beyond the then current state of the measurement art. This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 μg to 100 μg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that spawned new multidisciplinary areas of application, ranging from cosmic ray physics to oceanography to the reconstruction of environmental history.

  13. Metrology Camera System Using Two-Color Interferometry

    NASA Technical Reports Server (NTRS)

    Dubovitsky, Serge; Liebe, Carl Christian; Peters, Robert; Lay, Oliver

    2007-01-01

    A metrology system that contains no moving parts simultaneously measures the bearings and ranges of multiple reflective targets in its vicinity, enabling determination of the three-dimensional (3D) positions of the targets with submillimeter accuracy. The system combines a direction-measuring metrology camera and an interferometric range-finding subsystem. Because the system is based partly on a prior instrument denoted the Modulation Sideband Technology for Absolute Ranging (MSTAR) sensor and because of its 3D capability, the system is denoted the MSTAR3D. Developed for use in measuring the shape (for the purpose of compensating for distortion) of large structures like radar antennas, it can also be used to measure positions of multiple targets in the course of conventional terrestrial surveying. A diagram of the system is shown in the figure. One of the targets is a reference target having a known, constant distance with respect to the system. The system comprises a laser for generating local and target beams at a carrier frequency; a frequency shifting unit to introduce a frequency shift offset between the target and local beams; a pair of high-speed modulators that apply modulation to the carrier frequency in the local and target beams to produce a series of modulation sidebands, the highspeed modulators having modulation frequencies of FL and FM; a target beam launcher that illuminates the targets with the target beam; optics and a multipixel photodetector; a local beam launcher that launches the local beam towards the multi-pixel photodetector; a mirror for projecting to the optics a portion of the target beam reflected from the targets, the optics being configured to focus the portion of the target beam at the multi-pixel photodetector; and a signal-processing unit connected to the photodetector. The portion of the target beam reflected from the targets produces spots on the multi-pixel photodetector corresponding to the targets, respectively, and the signal

  14. A ranking of net national contributions to climate change mitigation through tropical forest conservation.

    PubMed

    Carrasco, L R; Papworth, S K

    2014-12-15

    Deforestation in tropical regions causes 15% of global anthropogenic carbon emissions and reduces the mitigation potential of carbon sequestration services. A global market failure occurs as the value of many ecosystem services provided by forests is not recognised by the markets. Identifying the contribution of individual countries to tropical carbon stocks and sequestration might help identify responsibilities and facilitate debate towards the correction of the market failure through international payments for ecosystem services. We compare and rank tropical countries' contributions by estimating carbon sequestration services vs. emissions disservices. The annual value of tropical carbon sequestration services in 2010 from 88 tropical countries was estimated to range from $2.8 to $30.7 billion, using market and social prices of carbon respectively. Democratic Republic of Congo, India and Sudan contribute the highest net carbon sequestration, whereas Brazil, Nigeria and Indonesia are the highest net emitters. Copyright © 2014 Elsevier Ltd. All rights reserved.

  15. Multiflavor string-net models

    NASA Astrophysics Data System (ADS)

    Lin, Chien-Hung

    2017-05-01

    We generalize the string-net construction to multiple flavors of strings, each of which is labeled by the elements of an Abelian group Gi. The same flavor of strings can branch, while different flavors of strings can cross one another and thus they form intersecting string nets. We systematically construct the exactly soluble lattice Hamiltonians and the ground-state wave functions for the intersecting string-net condensed phases. We analyze the braiding statistics of the low-energy quasiparticle excitations and find that our model can realize all the topological phases as the string-net model with group G =∏iGi . In this respect, our construction provides various ways of building lattice models which realize topological order G , corresponding to different partitions of G and thus different flavors of string nets. In fact, our construction concretely demonstrates the Künneth formula by constructing various lattice models with the same topological order. As an example, we construct the G =Z2×Z2×Z2 string-net model which realizes a non-Abelian topological phase by properly intersecting three copies of toric codes.

  16. Assessing NETS.T Performance in Teacher Candidates: Exploring the Wayfind Teacher Assessment

    ERIC Educational Resources Information Center

    Banister, Savilla; Vannatta Reinhart, Rachel

    2013-01-01

    To effectively integrate digital technologies in K-12 schools, teachers must be provided with undergraduate experiences that strongly support these integration resources and strategies. The National Educational Technology Standards for Teachers (NETS.T) provide a framework for teacher candidates and inservice teachers to identify their…

  17. Attribution of Net Carbon Change by Disturbance Type across Forest Lands of the Continental United States

    NASA Astrophysics Data System (ADS)

    Hagen, S. C.; Harris, N.; Saatchi, S. S.; Domke, G. M.; Woodall, C. W.; Pearson, T.

    2016-12-01

    We generated spatially comprehensive maps of carbon stocks and net carbon changes from US forestlands between 2005 and 2010 and attributed the changes to natural and anthropogenic processes. The prototype system created to produce these maps is designed to assist with national GHG inventories and support decisions associated with land management. Here, we present the results and methodological framework of our analysis. In summary, combining estimates of net C losses and gains results in net carbon change of 269±49 Tg C yr-1 (sink) in the coterminous US forest land, with carbon loss from harvest acting as the predominent source process.

  18. Oscillator metrology with software defined radio.

    PubMed

    Sherman, Jeff A; Jördens, Robert

    2016-05-01

    Analog electrical elements such as mixers, filters, transfer oscillators, isolating buffers, dividers, and even transmission lines contribute technical noise and unwanted environmental coupling in time and frequency measurements. Software defined radio (SDR) techniques replace many of these analog components with digital signal processing (DSP) on rapidly sampled signals. We demonstrate that, generically, commercially available multi-channel SDRs are capable of time and frequency metrology, outperforming purpose-built devices by as much as an order-of-magnitude. For example, for signals at 10 MHz and 6 GHz, we observe SDR time deviation noise floors of about 20 fs and 1 fs, respectively, in under 10 ms of averaging. Examining the other complex signal component, we find a relative amplitude measurement instability of 3 × 10(-7) at 5 MHz. We discuss the scalability of a SDR-based system for simultaneous measurement of many clocks. SDR's frequency agility allows for comparison of oscillators at widely different frequencies. We demonstrate a novel and extreme example with optical clock frequencies differing by many terahertz: using a femtosecond-laser frequency comb and SDR, we show femtosecond-level time comparisons of ultra-stable lasers with zero measurement dead-time.

  19. Limitations of shallow nets approximation.

    PubMed

    Lin, Shao-Bo

    2017-10-01

    In this paper, we aim at analyzing the approximation abilities of shallow networks in reproducing kernel Hilbert spaces (RKHSs). We prove that there is a probability measure such that the achievable lower bound for approximating by shallow nets can be realized for all functions in balls of reproducing kernel Hilbert space with high probability, which is different with the classical minimax approximation error estimates. This result together with the existing approximation results for deep nets shows the limitations for shallow nets and provides a theoretical explanation on why deep nets perform better than shallow nets. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. QuarkNet: Benefits for Teachers, Their Students and Physicists

    NASA Astrophysics Data System (ADS)

    Bardeen, Marjorie

    2017-01-01

    The QuarkNet Collaboration has forged nontraditional relationships among particle physicists, high school teachers and their students. QuarkNet centers are located at 50 + universities and labs across the U.S. and Puerto Rico. We provide professional development for teachers and create opportunities for teachers and students to engage in particle physics data investigations and join research teams. Students develop scientific knowledge and habits of mind by working alongside scientists to make sense of the world using authentic experimental data. Our program is based a classroom vision where teaching strategies emulate closely the way scientists build knowledge through inquiry. We look at how student engagement in research and masterclasses develops an understanding about the process of scientific discovery and science using current scientific data. We also look at ways and to what extent teachers provide scientific discovery and science practices for students and how QuarkNet contributes to the professionalism of participating teachers. Also, we describe success factors that enhance local center programs and describe important benefits of the program that flow to university faculty. Funded by the National Science Foundation and the US Department of Energy.